blob: 3ca2a9e4bed63e19105846b73f849ac34071619d [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN mgmt_protect ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 850000 65000 ) ;
ROW ROW_1_1 unithd 1840 5440 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_2_1 unithd 1840 8160 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_3_1 unithd 1840 10880 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_4_1 unithd 1840 13600 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_5_1 unithd 1840 16320 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_6_1 unithd 1840 19040 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_7_1 unithd 1840 21760 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_8_1 unithd 1840 24480 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_9_1 unithd 1840 27200 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_10_1 unithd 1840 29920 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_11_1 unithd 1840 32640 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_12_1 unithd 1840 35360 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_13_1 unithd 1840 38080 N DO 1007 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 1840 40800 FS DO 1839 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 1840 43520 N DO 1839 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 1840 46240 FS DO 1839 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 1840 48960 N DO 1839 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 1840 51680 FS DO 1839 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 1840 54400 N DO 1839 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 1840 57120 FS DO 1839 BY 1 STEP 460 0 ;
ROW ROW_0_1 unithd 1840 2720 FS DO 1007 BY 1 STEP 460 0 ;
ROW ROW_0_2 unithd 510140 2720 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_1_2 unithd 510140 5440 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_2_2 unithd 510140 8160 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_3_2 unithd 510140 10880 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_4_2 unithd 510140 13600 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_5_2 unithd 510140 16320 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_6_2 unithd 510140 19040 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_7_2 unithd 510140 21760 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_8_2 unithd 510140 24480 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_9_2 unithd 510140 27200 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_10_2 unithd 510140 29920 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_11_2 unithd 510140 32640 N DO 734 BY 1 STEP 460 0 ;
ROW ROW_12_2 unithd 510140 35360 FS DO 734 BY 1 STEP 460 0 ;
ROW ROW_13_2 unithd 510140 38080 N DO 734 BY 1 STEP 460 0 ;
TRACKS X 230 DO 1848 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 191 STEP 340 LAYER li1 ;
TRACKS X 170 DO 2500 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 191 STEP 340 LAYER met1 ;
TRACKS X 230 DO 1848 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 141 STEP 460 LAYER met2 ;
TRACKS X 340 DO 1250 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 96 STEP 680 LAYER met3 ;
TRACKS X 460 DO 924 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 71 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 250 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 19 STEP 3400 LAYER met5 ;
VIAS 4 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 245 165 55 165 + ROWCOL 1 4 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
- via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
END VIAS
COMPONENTS 3482 ;
- _330_ sky130_fd_sc_hd__inv_2 + PLACED ( 605820 54400 ) N ;
- _331_ sky130_fd_sc_hd__inv_2 + PLACED ( 687700 10880 ) N ;
- _332_ sky130_fd_sc_hd__inv_2 + PLACED ( 615480 57120 ) FS ;
- _333_ sky130_fd_sc_hd__inv_2 + PLACED ( 697360 8160 ) FS ;
- _334_ sky130_fd_sc_hd__inv_2 + PLACED ( 620540 57120 ) FS ;
- _335_ sky130_fd_sc_hd__inv_2 + PLACED ( 710240 2720 ) FS ;
- _336_ sky130_fd_sc_hd__inv_2 + PLACED ( 616400 54400 ) N ;
- _337_ sky130_fd_sc_hd__inv_2 + PLACED ( 724500 5440 ) N ;
- _338_ sky130_fd_sc_hd__inv_2 + PLACED ( 678960 8160 ) FS ;
- _339_ sky130_fd_sc_hd__inv_2 + PLACED ( 633880 54400 ) N ;
- _340_ sky130_fd_sc_hd__inv_2 + PLACED ( 661940 2720 ) FS ;
- _341_ sky130_fd_sc_hd__inv_2 + PLACED ( 626980 48960 ) N ;
- _342_ sky130_fd_sc_hd__inv_2 + PLACED ( 665620 5440 ) N ;
- _343_ sky130_fd_sc_hd__inv_2 + PLACED ( 623300 51680 ) FS ;
- _344_ sky130_fd_sc_hd__inv_2 + PLACED ( 659640 5440 ) N ;
- _345_ sky130_fd_sc_hd__inv_2 + PLACED ( 633880 48960 ) N ;
- _346_ sky130_fd_sc_hd__inv_2 + PLACED ( 655500 2720 ) FS ;
- _347_ sky130_fd_sc_hd__inv_2 + PLACED ( 621920 48960 ) N ;
- _348_ sky130_fd_sc_hd__inv_2 + PLACED ( 644000 57120 ) FS ;
- _349_ sky130_fd_sc_hd__inv_2 + PLACED ( 693680 13600 ) FS ;
- _350_ sky130_fd_sc_hd__inv_2 + PLACED ( 649060 57120 ) FS ;
- _351_ sky130_fd_sc_hd__inv_2 + PLACED ( 707020 8160 ) FS ;
- _352_ sky130_fd_sc_hd__inv_2 + PLACED ( 655040 54400 ) N ;
- _353_ sky130_fd_sc_hd__inv_2 + PLACED ( 715300 2720 ) FS ;
- _354_ sky130_fd_sc_hd__inv_2 + PLACED ( 640320 51680 ) FS ;
- _355_ sky130_fd_sc_hd__inv_2 + PLACED ( 729560 5440 ) N ;
- _356_ sky130_fd_sc_hd__inv_2 + PLACED ( 633880 46240 ) FS ;
- _357_ sky130_fd_sc_hd__inv_2 + PLACED ( 756700 5440 ) N ;
- _358_ sky130_fd_sc_hd__inv_2 + PLACED ( 799020 8160 ) FS ;
- _359_ sky130_fd_sc_hd__inv_2 + PLACED ( 661940 54400 ) N ;
- _360_ sky130_fd_sc_hd__inv_2 + PLACED ( 833520 5440 ) N ;
- _361_ sky130_fd_sc_hd__inv_2 + PLACED ( 672520 57120 ) FS ;
- _362_ sky130_fd_sc_hd__inv_2 + PLACED ( 783380 8160 ) FS ;
- _363_ sky130_fd_sc_hd__inv_2 + PLACED ( 670220 51680 ) FS ;
- _364_ sky130_fd_sc_hd__inv_2 + PLACED ( 791200 8160 ) FS ;
- _365_ sky130_fd_sc_hd__inv_2 + PLACED ( 675740 51680 ) FS ;
- _366_ sky130_fd_sc_hd__inv_2 + PLACED ( 805460 5440 ) N ;
- _367_ sky130_fd_sc_hd__inv_2 + PLACED ( 677580 57120 ) FS ;
- _368_ sky130_fd_sc_hd__inv_2 + PLACED ( 532680 19040 ) FS ;
- _369_ sky130_fd_sc_hd__inv_2 + PLACED ( 538660 8160 ) FS ;
- _370_ sky130_fd_sc_hd__inv_2 + PLACED ( 538660 19040 ) FS ;
- _371_ sky130_fd_sc_hd__inv_2 + PLACED ( 551080 24480 ) FS ;
- _372_ sky130_fd_sc_hd__inv_2 + PLACED ( 532220 8160 ) FS ;
- _373_ sky130_fd_sc_hd__inv_2 + PLACED ( 538660 13600 ) FS ;
- _374_ sky130_fd_sc_hd__inv_2 + PLACED ( 541880 10880 ) N ;
- _375_ sky130_fd_sc_hd__inv_2 + PLACED ( 547860 13600 ) FS ;
- _376_ sky130_fd_sc_hd__inv_2 + PLACED ( 546940 10880 ) N ;
- _377_ sky130_fd_sc_hd__inv_2 + PLACED ( 552920 10880 ) N ;
- _378_ sky130_fd_sc_hd__inv_2 + PLACED ( 557980 10880 ) N ;
- _379_ sky130_fd_sc_hd__inv_2 + PLACED ( 543720 8160 ) FS ;
- _380_ sky130_fd_sc_hd__inv_2 + PLACED ( 563040 10880 ) N ;
- _381_ sky130_fd_sc_hd__inv_2 + PLACED ( 568100 10880 ) N ;
- _382_ sky130_fd_sc_hd__inv_2 + PLACED ( 554300 27200 ) N ;
- _383_ sky130_fd_sc_hd__inv_2 + PLACED ( 580980 8160 ) FS ;
- _384_ sky130_fd_sc_hd__inv_2 + PLACED ( 458620 21760 ) N ;
- _385_ sky130_fd_sc_hd__inv_2 + PLACED ( 568560 19040 ) FS ;
- _386_ sky130_fd_sc_hd__inv_2 + PLACED ( 458620 19040 ) FS ;
- _387_ sky130_fd_sc_hd__inv_2 + PLACED ( 458620 16320 ) N ;
- _388_ sky130_fd_sc_hd__inv_2 + PLACED ( 529000 24480 ) FS ;
- _389_ sky130_fd_sc_hd__inv_2 + PLACED ( 573620 19040 ) FS ;
- _390_ sky130_fd_sc_hd__inv_2 + PLACED ( 579140 19040 ) FS ;
- _391_ sky130_fd_sc_hd__inv_2 + PLACED ( 573160 27200 ) N ;
- _392_ sky130_fd_sc_hd__inv_2 + PLACED ( 568100 13600 ) FS ;
- _393_ sky130_fd_sc_hd__inv_2 + PLACED ( 588340 8160 ) FS ;
- _394_ sky130_fd_sc_hd__inv_2 + PLACED ( 585580 13600 ) FS ;
- _395_ sky130_fd_sc_hd__inv_2 + PLACED ( 586500 10880 ) N ;
- _396_ sky130_fd_sc_hd__inv_2 + PLACED ( 18860 10880 ) N ;
- _397_ sky130_fd_sc_hd__inv_2 + PLACED ( 35420 16320 ) N ;
- _398_ sky130_fd_sc_hd__inv_2 + PLACED ( 796720 10880 ) N ;
- _399_ sky130_fd_sc_hd__inv_2 + PLACED ( 827540 19040 ) FS ;
- _400_ sky130_fd_sc_hd__inv_2 + PLACED ( 749340 16320 ) N ;
- _401_ sky130_fd_sc_hd__inv_2 + PLACED ( 822940 24480 ) FS ;
- _402_ sky130_fd_sc_hd__inv_2 + PLACED ( 824780 13600 ) FS ;
- _403_ sky130_fd_sc_hd__inv_2 + PLACED ( 820640 16320 ) N ;
- _404_ sky130_fd_sc_hd__inv_2 + PLACED ( 815580 21760 ) N ;
- _405_ sky130_fd_sc_hd__inv_2 + PLACED ( 819260 8160 ) FS ;
- _406_ sky130_fd_sc_hd__inv_2 + PLACED ( 819720 13600 ) FS ;
- _407_ sky130_fd_sc_hd__inv_2 + PLACED ( 673900 48960 ) N ;
- _408_ sky130_fd_sc_hd__inv_2 + PLACED ( 738300 10880 ) N ;
- _409_ sky130_fd_sc_hd__inv_2 + PLACED ( 772340 57120 ) FS ;
- _410_ sky130_fd_sc_hd__inv_2 + PLACED ( 736000 21760 ) N ;
- _411_ sky130_fd_sc_hd__inv_2 + PLACED ( 800860 57120 ) FS ;
- _412_ sky130_fd_sc_hd__inv_2 + PLACED ( 745200 13600 ) FS ;
- _413_ sky130_fd_sc_hd__inv_2 + PLACED ( 815120 57120 ) FS ;
- _414_ sky130_fd_sc_hd__inv_2 + PLACED ( 740600 19040 ) FS ;
- _415_ sky130_fd_sc_hd__inv_2 + PLACED ( 829380 57120 ) FS ;
- _416_ sky130_fd_sc_hd__inv_2 + PLACED ( 749340 10880 ) N ;
- _417_ sky130_fd_sc_hd__inv_2 + PLACED ( 713920 10880 ) N ;
- _418_ sky130_fd_sc_hd__inv_2 + PLACED ( 683100 54400 ) N ;
- _419_ sky130_fd_sc_hd__inv_2 + PLACED ( 726340 13600 ) FS ;
- _420_ sky130_fd_sc_hd__inv_2 + PLACED ( 686780 57120 ) FS ;
- _421_ sky130_fd_sc_hd__inv_2 + PLACED ( 726340 10880 ) N ;
- _422_ sky130_fd_sc_hd__inv_2 + PLACED ( 691840 57120 ) FS ;
- _423_ sky130_fd_sc_hd__inv_2 + PLACED ( 731400 10880 ) N ;
- _424_ sky130_fd_sc_hd__inv_2 + PLACED ( 695060 51680 ) FS ;
- _425_ sky130_fd_sc_hd__inv_2 + PLACED ( 729560 8160 ) FS ;
- _426_ sky130_fd_sc_hd__inv_2 + PLACED ( 717600 57120 ) FS ;
- _427_ sky130_fd_sc_hd__inv_2 + PLACED ( 734160 57120 ) FS ;
- _428_ sky130_fd_sc_hd__inv_2 + PLACED ( 728640 24480 ) FS ;
- _429_ sky130_fd_sc_hd__inv_2 + PLACED ( 752560 57120 ) FS ;
- _430_ sky130_fd_sc_hd__inv_2 + PLACED ( 743360 10880 ) N ;
- _431_ sky130_fd_sc_hd__inv_2 + PLACED ( 786600 57120 ) FS ;
- _432_ sky130_fd_sc_hd__inv_2 + PLACED ( 729560 16320 ) N ;
- _433_ sky130_fd_sc_hd__inv_2 + PLACED ( 809600 57120 ) FS ;
- _434_ sky130_fd_sc_hd__inv_2 + PLACED ( 751180 8160 ) FS ;
- _435_ sky130_fd_sc_hd__inv_2 + PLACED ( 758080 57120 ) FS ;
- _436_ sky130_fd_sc_hd__inv_2 + PLACED ( 749340 5440 ) N ;
- _437_ sky130_fd_sc_hd__inv_2 + PLACED ( 754400 10880 ) N ;
- _438_ sky130_fd_sc_hd__inv_2 + PLACED ( 781080 57120 ) FS ;
- _439_ sky130_fd_sc_hd__inv_2 + PLACED ( 763140 8160 ) FS ;
- _440_ sky130_fd_sc_hd__inv_2 + PLACED ( 778780 10880 ) N ;
- _441_ sky130_fd_sc_hd__inv_2 + PLACED ( 804080 8160 ) FS ;
- _442_ sky130_fd_sc_hd__inv_2 + PLACED ( 800860 19040 ) FS ;
- _443_ sky130_fd_sc_hd__inv_2 + PLACED ( 808220 10880 ) N ;
- _444_ sky130_fd_sc_hd__inv_2 + PLACED ( 809600 8160 ) FS ;
- _445_ sky130_fd_sc_hd__inv_2 + PLACED ( 805920 19040 ) FS ;
- _446_ sky130_fd_sc_hd__inv_2 + PLACED ( 813280 10880 ) N ;
- _447_ sky130_fd_sc_hd__inv_2 + PLACED ( 810980 19040 ) FS ;
- _448_ sky130_fd_sc_hd__inv_2 + PLACED ( 817880 5440 ) N ;
- _449_ sky130_fd_sc_hd__inv_2 + PLACED ( 759460 10880 ) N ;
- _450_ sky130_fd_sc_hd__inv_2 + PLACED ( 750260 21760 ) N ;
- _451_ sky130_fd_sc_hd__inv_2 + PLACED ( 750260 19040 ) FS ;
- _452_ sky130_fd_sc_hd__inv_2 + PLACED ( 768200 8160 ) FS ;
- _453_ sky130_fd_sc_hd__inv_2 + PLACED ( 758080 21760 ) N ;
- _454_ sky130_fd_sc_hd__inv_2 + PLACED ( 757620 16320 ) N ;
- _455_ sky130_fd_sc_hd__inv_2 + PLACED ( 773260 8160 ) FS ;
- _456_ sky130_fd_sc_hd__inv_2 + PLACED ( 770960 13600 ) FS ;
- _457_ sky130_fd_sc_hd__inv_2 + PLACED ( 776020 13600 ) FS ;
- _458_ sky130_fd_sc_hd__inv_2 + PLACED ( 769580 21760 ) N ;
- _459_ sky130_fd_sc_hd__inv_2 + PLACED ( 778320 8160 ) FS ;
- _460_ sky130_fd_sc_hd__inv_2 + PLACED ( 781080 13600 ) FS ;
- _461_ sky130_fd_sc_hd__inv_2 + PLACED ( 778780 21760 ) N ;
- _462_ sky130_fd_sc_hd__inv_2 + PLACED ( 780160 19040 ) FS ;
- _463_ sky130_fd_sc_hd__inv_2 + PLACED ( 775100 19040 ) FS ;
- _464_ sky130_fd_sc_hd__inv_2 + PLACED ( 786140 10880 ) N ;
- _465_ sky130_fd_sc_hd__inv_2 + PLACED ( 790740 27200 ) N ;
- _466_ sky130_fd_sc_hd__inv_2 + PLACED ( 791660 10880 ) N ;
- _467_ sky130_fd_sc_hd__inv_2 + PLACED ( 797180 13600 ) FS ;
- _468_ sky130_fd_sc_hd__inv_2 + PLACED ( 795800 27200 ) N ;
- _469_ sky130_fd_sc_hd__inv_2 + PLACED ( 792120 19040 ) FS ;
- _470_ sky130_fd_sc_hd__inv_2 + PLACED ( 803160 13600 ) FS ;
- _471_ sky130_fd_sc_hd__inv_2 + PLACED ( 312800 10880 ) N ;
- _472_ sky130_fd_sc_hd__inv_2 + PLACED ( 349600 8160 ) FS ;
- _473_ sky130_fd_sc_hd__inv_2 + PLACED ( 395140 8160 ) FS ;
- _474_ sky130_fd_sc_hd__inv_2 + PLACED ( 410320 2720 ) FS ;
- _475_ sky130_fd_sc_hd__inv_2 + PLACED ( 374440 54400 ) N ;
- _476_ sky130_fd_sc_hd__inv_2 + PLACED ( 437000 2720 ) FS ;
- _477_ sky130_fd_sc_hd__inv_2 + PLACED ( 394680 57120 ) FS ;
- _478_ sky130_fd_sc_hd__inv_2 + PLACED ( 458620 2720 ) FS ;
- _479_ sky130_fd_sc_hd__inv_2 + PLACED ( 435620 57120 ) FS ;
- _480_ sky130_fd_sc_hd__inv_2 + PLACED ( 511520 8160 ) FS ;
- _481_ sky130_fd_sc_hd__inv_2 + PLACED ( 263580 10880 ) N ;
- _482_ sky130_fd_sc_hd__inv_2 + PLACED ( 337180 10880 ) N ;
- _483_ sky130_fd_sc_hd__inv_2 + PLACED ( 345920 10880 ) N ;
- _484_ sky130_fd_sc_hd__inv_2 + PLACED ( 358800 10880 ) N ;
- _485_ sky130_fd_sc_hd__inv_2 + PLACED ( 325220 5440 ) N ;
- _486_ sky130_fd_sc_hd__inv_2 + PLACED ( 367080 8160 ) FS ;
- _487_ sky130_fd_sc_hd__inv_2 + PLACED ( 423200 8160 ) FS ;
- _488_ sky130_fd_sc_hd__inv_2 + PLACED ( 354660 8160 ) FS ;
- _489_ sky130_fd_sc_hd__inv_2 + PLACED ( 357420 13600 ) FS ;
- _490_ sky130_fd_sc_hd__inv_2 + PLACED ( 367080 10880 ) N ;
- _491_ sky130_fd_sc_hd__inv_2 + PLACED ( 347300 13600 ) FS ;
- _492_ sky130_fd_sc_hd__inv_2 + PLACED ( 361560 8160 ) FS ;
- _493_ sky130_fd_sc_hd__inv_2 + PLACED ( 353280 10880 ) N ;
- _494_ sky130_fd_sc_hd__inv_2 + PLACED ( 367080 13600 ) FS ;
- _495_ sky130_fd_sc_hd__inv_2 + PLACED ( 342240 13600 ) FS ;
- _496_ sky130_fd_sc_hd__inv_2 + PLACED ( 352360 13600 ) FS ;
- _497_ sky130_fd_sc_hd__inv_2 + PLACED ( 387320 8160 ) FS ;
- _498_ sky130_fd_sc_hd__inv_2 + PLACED ( 358340 16320 ) N ;
- _499_ sky130_fd_sc_hd__inv_2 + PLACED ( 387320 2720 ) FS ;
- _500_ sky130_fd_sc_hd__inv_2 + PLACED ( 372600 10880 ) N ;
- _501_ sky130_fd_sc_hd__inv_2 + PLACED ( 403420 10880 ) N ;
- _502_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 10880 ) N ;
- _503_ sky130_fd_sc_hd__inv_2 + PLACED ( 402960 5440 ) N ;
- _504_ sky130_fd_sc_hd__inv_2 + PLACED ( 410780 8160 ) FS ;
- _505_ sky130_fd_sc_hd__inv_2 + PLACED ( 333500 8160 ) FS ;
- _506_ sky130_fd_sc_hd__inv_2 + PLACED ( 237360 13600 ) FS ;
- _507_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 5440 ) N ;
- _508_ sky130_fd_sc_hd__inv_2 + PLACED ( 267260 2720 ) FS ;
- _509_ sky130_fd_sc_hd__inv_2 + PLACED ( 415840 2720 ) FS ;
- _510_ sky130_fd_sc_hd__inv_2 + PLACED ( 275540 13600 ) FS ;
- _511_ sky130_fd_sc_hd__inv_2 + PLACED ( 416760 10880 ) N ;
- _512_ sky130_fd_sc_hd__inv_2 + PLACED ( 420900 2720 ) FS ;
- _513_ sky130_fd_sc_hd__inv_2 + PLACED ( 215280 10880 ) N ;
- _514_ sky130_fd_sc_hd__inv_2 + PLACED ( 416760 8160 ) FS ;
- _515_ sky130_fd_sc_hd__inv_2 + PLACED ( 344540 16320 ) N ;
- _516_ sky130_fd_sc_hd__inv_2 + PLACED ( 430100 2720 ) FS ;
- _517_ sky130_fd_sc_hd__inv_2 + PLACED ( 353280 16320 ) N ;
- _518_ sky130_fd_sc_hd__inv_2 + PLACED ( 426880 5440 ) N ;
- _519_ sky130_fd_sc_hd__inv_2 + PLACED ( 366620 57120 ) FS ;
- _520_ sky130_fd_sc_hd__inv_2 + PLACED ( 428260 8160 ) FS ;
- _521_ sky130_fd_sc_hd__inv_2 + PLACED ( 431940 5440 ) N ;
- _522_ sky130_fd_sc_hd__inv_2 + PLACED ( 374900 51680 ) FS ;
- _523_ sky130_fd_sc_hd__inv_2 + PLACED ( 437460 5440 ) N ;
- _524_ sky130_fd_sc_hd__inv_2 + PLACED ( 380880 57120 ) FS ;
- _525_ sky130_fd_sc_hd__inv_2 + PLACED ( 444360 2720 ) FS ;
- _526_ sky130_fd_sc_hd__inv_2 + PLACED ( 387320 57120 ) FS ;
- _527_ sky130_fd_sc_hd__inv_2 + PLACED ( 437460 10880 ) N ;
- _528_ sky130_fd_sc_hd__inv_2 + PLACED ( 389160 54400 ) N ;
- _529_ sky130_fd_sc_hd__inv_2 + PLACED ( 449420 2720 ) FS ;
- _530_ sky130_fd_sc_hd__inv_2 + PLACED ( 381340 48960 ) N ;
- _531_ sky130_fd_sc_hd__inv_2 + PLACED ( 381800 46240 ) FS ;
- _532_ sky130_fd_sc_hd__inv_2 + PLACED ( 442520 10880 ) N ;
- _533_ sky130_fd_sc_hd__inv_2 + PLACED ( 386400 48960 ) N ;
- _534_ sky130_fd_sc_hd__inv_2 + PLACED ( 441600 13600 ) FS ;
- _535_ sky130_fd_sc_hd__inv_2 + PLACED ( 395140 51680 ) FS ;
- _536_ sky130_fd_sc_hd__inv_2 + PLACED ( 456320 5440 ) N ;
- _537_ sky130_fd_sc_hd__inv_2 + PLACED ( 391460 48960 ) N ;
- _538_ sky130_fd_sc_hd__inv_2 + PLACED ( 446660 16320 ) N ;
- _539_ sky130_fd_sc_hd__inv_2 + PLACED ( 396520 48960 ) N ;
- _540_ sky130_fd_sc_hd__inv_2 + PLACED ( 451720 16320 ) N ;
- _541_ sky130_fd_sc_hd__inv_2 + PLACED ( 451260 19040 ) FS ;
- _542_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 54400 ) N ;
- _543_ sky130_fd_sc_hd__inv_2 + PLACED ( 453560 24480 ) FS ;
- _544_ sky130_fd_sc_hd__inv_2 + PLACED ( 401580 48960 ) N ;
- _545_ sky130_fd_sc_hd__inv_2 + PLACED ( 445740 19040 ) FS ;
- _546_ sky130_fd_sc_hd__inv_2 + PLACED ( 415840 57120 ) FS ;
- _547_ sky130_fd_sc_hd__inv_2 + PLACED ( 511520 13600 ) FS ;
- _548_ sky130_fd_sc_hd__inv_2 + PLACED ( 414460 54400 ) N ;
- _549_ sky130_fd_sc_hd__inv_2 + PLACED ( 441140 16320 ) N ;
- _550_ sky130_fd_sc_hd__inv_2 + PLACED ( 403420 46240 ) FS ;
- _551_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 48960 ) N ;
- _552_ sky130_fd_sc_hd__inv_2 + PLACED ( 524860 5440 ) N ;
- _553_ sky130_fd_sc_hd__inv_2 + PLACED ( 412620 51680 ) FS ;
- _554_ sky130_fd_sc_hd__inv_2 + PLACED ( 529920 5440 ) N ;
- _555_ sky130_fd_sc_hd__inv_2 + PLACED ( 419520 54400 ) N ;
- _556_ sky130_fd_sc_hd__inv_2 + PLACED ( 560740 8160 ) FS ;
- _557_ sky130_fd_sc_hd__inv_2 + PLACED ( 420900 57120 ) FS ;
- _558_ sky130_fd_sc_hd__inv_2 + PLACED ( 552920 5440 ) N ;
- _559_ sky130_fd_sc_hd__inv_2 + PLACED ( 424580 54400 ) N ;
- _560_ sky130_fd_sc_hd__inv_2 + PLACED ( 516580 13600 ) FS ;
- _561_ sky130_fd_sc_hd__inv_2 + PLACED ( 458620 24480 ) FS ;
- _562_ sky130_fd_sc_hd__inv_2 + PLACED ( 417680 51680 ) FS ;
- _563_ sky130_fd_sc_hd__inv_2 + PLACED ( 511520 16320 ) N ;
- _564_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 46240 ) FS ;
- _565_ sky130_fd_sc_hd__inv_2 + PLACED ( 436540 13600 ) FS ;
- _566_ sky130_fd_sc_hd__inv_2 + PLACED ( 414460 48960 ) N ;
- _567_ sky130_fd_sc_hd__inv_2 + PLACED ( 431940 10880 ) N ;
- _568_ sky130_fd_sc_hd__inv_2 + PLACED ( 409400 43520 ) N ;
- _569_ sky130_fd_sc_hd__inv_2 + PLACED ( 511520 19040 ) FS ;
- _570_ sky130_fd_sc_hd__inv_2 + PLACED ( 414460 46240 ) FS ;
- _571_ sky130_fd_sc_hd__inv_2 + PLACED ( 282900 13600 ) FS ;
- _572_ sky130_fd_sc_hd__inv_2 + PLACED ( 310960 13600 ) FS ;
- _573_ sky130_fd_sc_hd__inv_2 + PLACED ( 293020 13600 ) FS ;
- _574_ sky130_fd_sc_hd__inv_2 + PLACED ( 318780 8160 ) FS ;
- _575_ sky130_fd_sc_hd__inv_2 + PLACED ( 305440 19040 ) FS ;
- _576_ sky130_fd_sc_hd__inv_2 + PLACED ( 290720 16320 ) N ;
- _577_ sky130_fd_sc_hd__inv_2 + PLACED ( 310960 19040 ) FS ;
- _578_ sky130_fd_sc_hd__inv_2 + PLACED ( 279680 16320 ) N ;
- _579_ sky130_fd_sc_hd__inv_2 + PLACED ( 317860 10880 ) N ;
- _580_ sky130_fd_sc_hd__inv_2 + PLACED ( 316020 19040 ) FS ;
- _581_ sky130_fd_sc_hd__inv_2 + PLACED ( 318780 16320 ) N ;
- _582_ sky130_fd_sc_hd__inv_2 + PLACED ( 124200 2720 ) FS ;
- _583_ sky130_fd_sc_hd__inv_2 + PLACED ( 325220 8160 ) FS ;
- _584_ sky130_fd_sc_hd__inv_2 + PLACED ( 316480 13600 ) FS ;
- _585_ sky130_fd_sc_hd__inv_2 + PLACED ( 137080 13600 ) FS ;
- _586_ sky130_fd_sc_hd__inv_2 + PLACED ( 332120 10880 ) N ;
- _587_ sky130_fd_sc_hd__inv_2 + PLACED ( 206540 13600 ) FS ;
- _588_ sky130_fd_sc_hd__inv_2 + PLACED ( 332580 5440 ) N ;
- _589_ sky130_fd_sc_hd__inv_2 + PLACED ( 337640 16320 ) N ;
- _590_ sky130_fd_sc_hd__inv_2 + PLACED ( 109020 13600 ) FS ;
- _591_ sky130_fd_sc_hd__inv_2 + PLACED ( 305900 16320 ) N ;
- _592_ sky130_fd_sc_hd__inv_2 + PLACED ( 341780 19040 ) FS ;
- _593_ sky130_fd_sc_hd__inv_2 + PLACED ( 352360 2720 ) FS ;
- _594_ sky130_fd_sc_hd__inv_2 + PLACED ( 349600 19040 ) FS ;
- _595_ sky130_fd_sc_hd__inv_2 + PLACED ( 354660 19040 ) FS ;
- _596_ sky130_fd_sc_hd__inv_2 + PLACED ( 321540 13600 ) FS ;
- _597_ sky130_fd_sc_hd__inv_2 + PLACED ( 363400 16320 ) N ;
- _598_ sky130_fd_sc_hd__inv_2 + PLACED ( 359720 19040 ) FS ;
- _599_ sky130_fd_sc_hd__inv_2 + PLACED ( 511520 27200 ) N ;
- _600_ sky130_fd_sc_hd__inv_2 + PLACED ( 580980 27200 ) N ;
- _601_ sky130_fd_sc_hd__inv_2 + PLACED ( 629740 10880 ) N ;
- _602_ sky130_fd_sc_hd__inv_2 + PLACED ( 653660 8160 ) FS ;
- _603_ sky130_fd_sc_hd__inv_2 + PLACED ( 490820 57120 ) FS ;
- _604_ sky130_fd_sc_hd__inv_2 + PLACED ( 688620 13600 ) FS ;
- _605_ sky130_fd_sc_hd__inv_2 + PLACED ( 614100 51680 ) FS ;
- _606_ sky130_fd_sc_hd__inv_2 + PLACED ( 681720 10880 ) N ;
- _607_ sky130_fd_sc_hd__inv_2 + PLACED ( 651820 48960 ) N ;
- _608_ sky130_fd_sc_hd__inv_2 + PLACED ( 811900 5440 ) N ;
- _609_ sky130_fd_sc_hd__inv_2 + PLACED ( 554300 13600 ) FS ;
- _610_ sky130_fd_sc_hd__inv_2 + PLACED ( 483460 43520 ) N ;
- _611_ sky130_fd_sc_hd__inv_2 + PLACED ( 591560 10880 ) N ;
- _612_ sky130_fd_sc_hd__inv_2 + PLACED ( 580980 10880 ) N ;
- _613_ sky130_fd_sc_hd__inv_2 + PLACED ( 603520 10880 ) N ;
- _614_ sky130_fd_sc_hd__inv_2 + PLACED ( 597540 19040 ) FS ;
- _615_ sky130_fd_sc_hd__inv_2 + PLACED ( 607660 19040 ) FS ;
- _616_ sky130_fd_sc_hd__inv_2 + PLACED ( 594780 8160 ) FS ;
- _617_ sky130_fd_sc_hd__inv_2 + PLACED ( 604900 8160 ) FS ;
- _618_ sky130_fd_sc_hd__inv_2 + PLACED ( 609040 21760 ) N ;
- _619_ sky130_fd_sc_hd__inv_2 + PLACED ( 609040 16320 ) N ;
- _620_ sky130_fd_sc_hd__inv_2 + PLACED ( 611800 13600 ) FS ;
- _621_ sky130_fd_sc_hd__inv_2 + PLACED ( 614100 8160 ) FS ;
- _622_ sky130_fd_sc_hd__inv_2 + PLACED ( 622840 19040 ) FS ;
- _623_ sky130_fd_sc_hd__inv_2 + PLACED ( 624220 16320 ) N ;
- _624_ sky130_fd_sc_hd__inv_2 + PLACED ( 618240 10880 ) N ;
- _625_ sky130_fd_sc_hd__inv_2 + PLACED ( 576380 24480 ) FS ;
- _626_ sky130_fd_sc_hd__inv_2 + PLACED ( 622840 8160 ) FS ;
- _627_ sky130_fd_sc_hd__inv_2 + PLACED ( 627900 19040 ) FS ;
- _628_ sky130_fd_sc_hd__inv_2 + PLACED ( 624220 10880 ) N ;
- _629_ sky130_fd_sc_hd__inv_2 + PLACED ( 637100 10880 ) N ;
- _630_ sky130_fd_sc_hd__inv_2 + PLACED ( 631580 13600 ) FS ;
- _631_ sky130_fd_sc_hd__inv_2 + PLACED ( 640780 8160 ) FS ;
- _632_ sky130_fd_sc_hd__inv_2 + PLACED ( 634340 19040 ) FS ;
- _633_ sky130_fd_sc_hd__inv_2 + PLACED ( 639400 13600 ) FS ;
- _634_ sky130_fd_sc_hd__inv_2 + PLACED ( 638480 24480 ) FS ;
- _635_ sky130_fd_sc_hd__inv_2 + PLACED ( 631580 16320 ) N ;
- _636_ sky130_fd_sc_hd__inv_2 + PLACED ( 650900 10880 ) N ;
- _637_ sky130_fd_sc_hd__inv_2 + PLACED ( 645380 21760 ) N ;
- _638_ sky130_fd_sc_hd__inv_2 + PLACED ( 650440 21760 ) N ;
- _639_ sky130_fd_sc_hd__inv_2 + PLACED ( 650900 19040 ) FS ;
- _640_ sky130_fd_sc_hd__inv_2 + PLACED ( 653660 16320 ) N ;
- _641_ sky130_fd_sc_hd__inv_2 + PLACED ( 656420 19040 ) FS ;
- _642_ sky130_fd_sc_hd__inv_2 + PLACED ( 655500 21760 ) N ;
- _643_ sky130_fd_sc_hd__inv_2 + PLACED ( 665620 13600 ) FS ;
- _644_ sky130_fd_sc_hd__inv_2 + PLACED ( 670680 13600 ) FS ;
- _645_ sky130_fd_sc_hd__inv_2 + PLACED ( 667000 16320 ) N ;
- _646_ sky130_fd_sc_hd__inv_2 + PLACED ( 674820 10880 ) N ;
- _647_ sky130_fd_sc_hd__inv_2 + PLACED ( 481620 57120 ) FS ;
- _648_ sky130_fd_sc_hd__inv_2 + PLACED ( 610420 2720 ) FS ;
- _649_ sky130_fd_sc_hd__inv_2 + PLACED ( 609040 5440 ) N ;
- _650_ sky130_fd_sc_hd__inv_2 + PLACED ( 521640 54400 ) N ;
- _651_ sky130_fd_sc_hd__inv_2 + PLACED ( 599840 8160 ) FS ;
- _652_ sky130_fd_sc_hd__inv_2 + PLACED ( 572240 54400 ) N ;
- _653_ sky130_fd_sc_hd__inv_2 + PLACED ( 627900 2720 ) FS ;
- _654_ sky130_fd_sc_hd__inv_2 + PLACED ( 533140 57120 ) FS ;
- _655_ sky130_fd_sc_hd__inv_2 + PLACED ( 641240 2720 ) FS ;
- _656_ sky130_fd_sc_hd__inv_2 + PLACED ( 538660 57120 ) FS ;
- _657_ sky130_fd_sc_hd__inv_2 + PLACED ( 683100 13600 ) FS ;
- _658_ sky130_fd_sc_hd__inv_2 + PLACED ( 549700 54400 ) N ;
- _659_ sky130_fd_sc_hd__inv_2 + PLACED ( 591100 57120 ) FS ;
- _660_ sky130_fd_sc_hd__inv_2 + PLACED ( 693220 16320 ) N ;
- la_buf\[0\] sky130_fd_sc_hd__einvp_8 + PLACED ( 39560 35360 ) FS ;
- la_buf\[100\] sky130_fd_sc_hd__einvp_8 + PLACED ( 22080 32640 ) N ;
- la_buf\[101\] sky130_fd_sc_hd__einvp_8 + PLACED ( 19780 43520 ) N ;
- la_buf\[102\] sky130_fd_sc_hd__einvp_8 + PLACED ( 37260 29920 ) FS ;
- la_buf\[103\] sky130_fd_sc_hd__einvp_8 + PLACED ( 17940 38080 ) N ;
- la_buf\[104\] sky130_fd_sc_hd__einvp_8 + PLACED ( 31740 43520 ) N ;
- la_buf\[105\] sky130_fd_sc_hd__einvp_8 + PLACED ( 17020 24480 ) FS ;
- la_buf\[106\] sky130_fd_sc_hd__einvp_8 + PLACED ( 17940 40800 ) FS ;
- la_buf\[107\] sky130_fd_sc_hd__einvp_8 + PLACED ( 132480 32640 ) N ;
- la_buf\[108\] sky130_fd_sc_hd__einvp_8 + PLACED ( 28980 27200 ) N ;
- la_buf\[109\] sky130_fd_sc_hd__einvp_8 + PLACED ( 30360 48960 ) N ;
- la_buf\[10\] sky130_fd_sc_hd__einvp_8 + PLACED ( 198720 35360 ) FS ;
- la_buf\[110\] sky130_fd_sc_hd__einvp_8 + PLACED ( 17940 35360 ) FS ;
- la_buf\[111\] sky130_fd_sc_hd__einvp_8 + PLACED ( 115000 21760 ) N ;
- la_buf\[112\] sky130_fd_sc_hd__einvp_8 + PLACED ( 80040 27200 ) N ;
- la_buf\[113\] sky130_fd_sc_hd__einvp_8 + PLACED ( 114540 24480 ) FS ;
- la_buf\[114\] sky130_fd_sc_hd__einvp_8 + PLACED ( 105340 27200 ) N ;
- la_buf\[115\] sky130_fd_sc_hd__einvp_8 + PLACED ( 170660 27200 ) N ;
- la_buf\[116\] sky130_fd_sc_hd__einvp_8 + PLACED ( 170660 29920 ) FS ;
- la_buf\[117\] sky130_fd_sc_hd__einvp_8 + PLACED ( 228160 29920 ) FS ;
- la_buf\[118\] sky130_fd_sc_hd__einvp_8 + PLACED ( 110400 38080 ) N ;
- la_buf\[119\] sky130_fd_sc_hd__einvp_8 + PLACED ( 107180 32640 ) N ;
- la_buf\[11\] sky130_fd_sc_hd__einvp_8 + PLACED ( 76360 43520 ) N ;
- la_buf\[120\] sky130_fd_sc_hd__einvp_8 + PLACED ( 17020 27200 ) N ;
- la_buf\[121\] sky130_fd_sc_hd__einvp_8 + PLACED ( 72680 32640 ) N ;
- la_buf\[122\] sky130_fd_sc_hd__einvp_8 + PLACED ( 288420 29920 ) FS ;
- la_buf\[123\] sky130_fd_sc_hd__einvp_8 + PLACED ( 19780 21760 ) N ;
- la_buf\[124\] sky130_fd_sc_hd__einvp_8 + PLACED ( 322460 29920 ) FS ;
- la_buf\[125\] sky130_fd_sc_hd__einvp_8 + PLACED ( 23460 16320 ) N ;
- la_buf\[126\] sky130_fd_sc_hd__einvp_8 + PLACED ( 304980 38080 ) N ;
- la_buf\[127\] sky130_fd_sc_hd__einvp_8 + PLACED ( 234140 35360 ) FS ;
- la_buf\[12\] sky130_fd_sc_hd__einvp_8 + PLACED ( 23920 10880 ) N ;
- la_buf\[13\] sky130_fd_sc_hd__einvp_8 + PLACED ( 70380 35360 ) FS ;
- la_buf\[14\] sky130_fd_sc_hd__einvp_8 + PLACED ( 30360 13600 ) FS ;
- la_buf\[15\] sky130_fd_sc_hd__einvp_8 + PLACED ( 256220 29920 ) FS ;
- la_buf\[16\] sky130_fd_sc_hd__einvp_8 + PLACED ( 417220 38080 ) N ;
- la_buf\[17\] sky130_fd_sc_hd__einvp_8 + PLACED ( 42320 13600 ) FS ;
- la_buf\[18\] sky130_fd_sc_hd__einvp_8 + PLACED ( 185380 38080 ) N ;
- la_buf\[19\] sky130_fd_sc_hd__einvp_8 + PLACED ( 47840 16320 ) N ;
- la_buf\[1\] sky130_fd_sc_hd__einvp_8 + PLACED ( 97520 29920 ) FS ;
- la_buf\[20\] sky130_fd_sc_hd__einvp_8 + PLACED ( 44620 32640 ) N ;
- la_buf\[21\] sky130_fd_sc_hd__einvp_8 + PLACED ( 58420 13600 ) FS ;
- la_buf\[22\] sky130_fd_sc_hd__einvp_8 + PLACED ( 66240 40800 ) FS ;
- la_buf\[23\] sky130_fd_sc_hd__einvp_8 + PLACED ( 48300 10880 ) N ;
- la_buf\[24\] sky130_fd_sc_hd__einvp_8 + PLACED ( 109020 43520 ) N ;
- la_buf\[25\] sky130_fd_sc_hd__einvp_8 + PLACED ( 208380 29920 ) FS ;
- la_buf\[26\] sky130_fd_sc_hd__einvp_8 + PLACED ( 46000 8160 ) FS ;
- la_buf\[27\] sky130_fd_sc_hd__einvp_8 + PLACED ( 349600 29920 ) FS ;
- la_buf\[28\] sky130_fd_sc_hd__einvp_8 + PLACED ( 33120 8160 ) FS ;
- la_buf\[29\] sky130_fd_sc_hd__einvp_8 + PLACED ( 299460 32640 ) N ;
- la_buf\[2\] sky130_fd_sc_hd__einvp_8 + PLACED ( 344080 35360 ) FS ;
- la_buf\[30\] sky130_fd_sc_hd__einvp_8 + PLACED ( 396980 38080 ) N ;
- la_buf\[31\] sky130_fd_sc_hd__einvp_8 + PLACED ( 398820 35360 ) FS ;
- la_buf\[32\] sky130_fd_sc_hd__einvp_8 + PLACED ( 358800 38080 ) N ;
- la_buf\[33\] sky130_fd_sc_hd__einvp_8 + PLACED ( 409400 32640 ) N ;
- la_buf\[34\] sky130_fd_sc_hd__einvp_8 + PLACED ( 292100 35360 ) FS ;
- la_buf\[35\] sky130_fd_sc_hd__einvp_8 + PLACED ( 228620 38080 ) N ;
- la_buf\[36\] sky130_fd_sc_hd__einvp_8 + PLACED ( 100740 5440 ) N ;
- la_buf\[37\] sky130_fd_sc_hd__einvp_8 + PLACED ( 118220 35360 ) FS ;
- la_buf\[38\] sky130_fd_sc_hd__einvp_8 + PLACED ( 96600 8160 ) FS ;
- la_buf\[39\] sky130_fd_sc_hd__einvp_8 + PLACED ( 51980 27200 ) N ;
- la_buf\[3\] sky130_fd_sc_hd__einvp_8 + PLACED ( 75900 5440 ) N ;
- la_buf\[40\] sky130_fd_sc_hd__einvp_8 + PLACED ( 409860 40800 ) FS ;
- la_buf\[41\] sky130_fd_sc_hd__einvp_8 + PLACED ( 21620 5440 ) N ;
- la_buf\[42\] sky130_fd_sc_hd__einvp_8 + PLACED ( 199180 32640 ) N ;
- la_buf\[43\] sky130_fd_sc_hd__einvp_8 + PLACED ( 16100 8160 ) FS ;
- la_buf\[44\] sky130_fd_sc_hd__einvp_8 + PLACED ( 284740 38080 ) N ;
- la_buf\[45\] sky130_fd_sc_hd__einvp_8 + PLACED ( 112700 5440 ) N ;
- la_buf\[46\] sky130_fd_sc_hd__einvp_8 + PLACED ( 314180 40800 ) FS ;
- la_buf\[47\] sky130_fd_sc_hd__einvp_8 + PLACED ( 61180 2720 ) FS ;
- la_buf\[48\] sky130_fd_sc_hd__einvp_8 + PLACED ( 38640 51680 ) FS ;
- la_buf\[49\] sky130_fd_sc_hd__einvp_8 + PLACED ( 52900 5440 ) N ;
- la_buf\[4\] sky130_fd_sc_hd__einvp_8 + PLACED ( 47380 54400 ) N ;
- la_buf\[50\] sky130_fd_sc_hd__einvp_8 + PLACED ( 18400 2720 ) FS ;
- la_buf\[51\] sky130_fd_sc_hd__einvp_8 + PLACED ( 28520 54400 ) N ;
- la_buf\[52\] sky130_fd_sc_hd__einvp_8 + PLACED ( 30820 2720 ) FS ;
- la_buf\[53\] sky130_fd_sc_hd__einvp_8 + PLACED ( 30820 57120 ) FS ;
- la_buf\[54\] sky130_fd_sc_hd__einvp_8 + PLACED ( 45080 2720 ) FS ;
- la_buf\[55\] sky130_fd_sc_hd__einvp_8 + PLACED ( 63020 51680 ) FS ;
- la_buf\[56\] sky130_fd_sc_hd__einvp_8 + PLACED ( 30360 19040 ) FS ;
- la_buf\[57\] sky130_fd_sc_hd__einvp_8 + PLACED ( 86480 51680 ) FS ;
- la_buf\[58\] sky130_fd_sc_hd__einvp_8 + PLACED ( 444360 5440 ) N ;
- la_buf\[59\] sky130_fd_sc_hd__einvp_8 + PLACED ( 98440 51680 ) FS ;
- la_buf\[5\] sky130_fd_sc_hd__einvp_8 + PLACED ( 364780 5440 ) N ;
- la_buf\[60\] sky130_fd_sc_hd__einvp_8 + PLACED ( 100740 54400 ) N ;
- la_buf\[61\] sky130_fd_sc_hd__einvp_8 + PLACED ( 337640 5440 ) N ;
- la_buf\[62\] sky130_fd_sc_hd__einvp_8 + PLACED ( 100740 48960 ) N ;
- la_buf\[63\] sky130_fd_sc_hd__einvp_8 + PLACED ( 297160 5440 ) N ;
- la_buf\[64\] sky130_fd_sc_hd__einvp_8 + PLACED ( 72680 54400 ) N ;
- la_buf\[65\] sky130_fd_sc_hd__einvp_8 + PLACED ( 141680 5440 ) N ;
- la_buf\[66\] sky130_fd_sc_hd__einvp_8 + PLACED ( 239660 51680 ) FS ;
- la_buf\[67\] sky130_fd_sc_hd__einvp_8 + PLACED ( 136620 10880 ) N ;
- la_buf\[68\] sky130_fd_sc_hd__einvp_8 + PLACED ( 103960 57120 ) FS ;
- la_buf\[69\] sky130_fd_sc_hd__einvp_8 + PLACED ( 118220 8160 ) FS ;
- la_buf\[6\] sky130_fd_sc_hd__einvp_8 + PLACED ( 285660 51680 ) FS ;
- la_buf\[70\] sky130_fd_sc_hd__einvp_8 + PLACED ( 156860 5440 ) N ;
- la_buf\[71\] sky130_fd_sc_hd__einvp_8 + PLACED ( 362480 54400 ) N ;
- la_buf\[72\] sky130_fd_sc_hd__einvp_8 + PLACED ( 132480 2720 ) FS ;
- la_buf\[73\] sky130_fd_sc_hd__einvp_8 + PLACED ( 350980 51680 ) FS ;
- la_buf\[74\] sky130_fd_sc_hd__einvp_8 + PLACED ( 434240 8160 ) FS ;
- la_buf\[75\] sky130_fd_sc_hd__einvp_8 + PLACED ( 205620 51680 ) FS ;
- la_buf\[76\] sky130_fd_sc_hd__einvp_8 + PLACED ( 451720 8160 ) FS ;
- la_buf\[77\] sky130_fd_sc_hd__einvp_8 + PLACED ( 136160 54400 ) N ;
- la_buf\[78\] sky130_fd_sc_hd__einvp_8 + PLACED ( 375360 8160 ) FS ;
- la_buf\[79\] sky130_fd_sc_hd__einvp_8 + PLACED ( 180320 51680 ) FS ;
- la_buf\[7\] sky130_fd_sc_hd__einvp_8 + PLACED ( 451720 10880 ) N ;
- la_buf\[80\] sky130_fd_sc_hd__einvp_8 + PLACED ( 184920 54400 ) N ;
- la_buf\[81\] sky130_fd_sc_hd__einvp_8 + PLACED ( 511520 5440 ) N ;
- la_buf\[82\] sky130_fd_sc_hd__einvp_8 + PLACED ( 400660 51680 ) FS ;
- la_buf\[83\] sky130_fd_sc_hd__einvp_8 + PLACED ( 526700 2720 ) FS ;
- la_buf\[84\] sky130_fd_sc_hd__einvp_8 + PLACED ( 396980 54400 ) N ;
- la_buf\[85\] sky130_fd_sc_hd__einvp_8 + PLACED ( 557980 5440 ) N ;
- la_buf\[86\] sky130_fd_sc_hd__einvp_8 + PLACED ( 402500 57120 ) FS ;
- la_buf\[87\] sky130_fd_sc_hd__einvp_8 + PLACED ( 554300 2720 ) FS ;
- la_buf\[88\] sky130_fd_sc_hd__einvp_8 + PLACED ( 423200 51680 ) FS ;
- la_buf\[89\] sky130_fd_sc_hd__einvp_8 + PLACED ( 518420 8160 ) FS ;
- la_buf\[8\] sky130_fd_sc_hd__einvp_8 + PLACED ( 435160 51680 ) FS ;
- la_buf\[90\] sky130_fd_sc_hd__einvp_8 + PLACED ( 451720 13600 ) FS ;
- la_buf\[91\] sky130_fd_sc_hd__einvp_8 + PLACED ( 380880 51680 ) FS ;
- la_buf\[92\] sky130_fd_sc_hd__einvp_8 + PLACED ( 414920 5440 ) N ;
- la_buf\[93\] sky130_fd_sc_hd__einvp_8 + PLACED ( 324300 51680 ) FS ;
- la_buf\[94\] sky130_fd_sc_hd__einvp_8 + PLACED ( 381340 5440 ) N ;
- la_buf\[95\] sky130_fd_sc_hd__einvp_8 + PLACED ( 248400 54400 ) N ;
- la_buf\[96\] sky130_fd_sc_hd__einvp_8 + PLACED ( 332120 2720 ) FS ;
- la_buf\[97\] sky130_fd_sc_hd__einvp_8 + PLACED ( 188140 48960 ) N ;
- la_buf\[98\] sky130_fd_sc_hd__einvp_8 + PLACED ( 260820 8160 ) FS ;
- la_buf\[99\] sky130_fd_sc_hd__einvp_8 + PLACED ( 172500 54400 ) N ;
- la_buf\[9\] sky130_fd_sc_hd__einvp_8 + PLACED ( 213440 5440 ) N ;
- mprj2_pwrgood sky130_fd_sc_hd__buf_8 + PLACED ( 824320 8160 ) FS ;
- mprj2_vdd_pwrgood sky130_fd_sc_hd__buf_8 + PLACED ( 833520 8160 ) FS ;
- mprj_adr_buf\[0\] sky130_fd_sc_hd__einvp_8 + PLACED ( 704720 51680 ) FS ;
- mprj_adr_buf\[10\] sky130_fd_sc_hd__einvp_8 + PLACED ( 708860 32640 ) N ;
- mprj_adr_buf\[11\] sky130_fd_sc_hd__einvp_8 + PLACED ( 705640 54400 ) N ;
- mprj_adr_buf\[12\] sky130_fd_sc_hd__einvp_8 + PLACED ( 711620 40800 ) FS ;
- mprj_adr_buf\[13\] sky130_fd_sc_hd__einvp_8 + PLACED ( 690000 54400 ) N ;
- mprj_adr_buf\[14\] sky130_fd_sc_hd__einvp_8 + PLACED ( 713920 35360 ) FS ;
- mprj_adr_buf\[15\] sky130_fd_sc_hd__einvp_8 + PLACED ( 701040 57120 ) FS ;
- mprj_adr_buf\[16\] sky130_fd_sc_hd__einvp_8 + PLACED ( 708860 38080 ) N ;
- mprj_adr_buf\[17\] sky130_fd_sc_hd__einvp_8 + PLACED ( 718060 54400 ) N ;
- mprj_adr_buf\[18\] sky130_fd_sc_hd__einvp_8 + PLACED ( 724960 38080 ) N ;
- mprj_adr_buf\[19\] sky130_fd_sc_hd__einvp_8 + PLACED ( 718980 51680 ) FS ;
- mprj_adr_buf\[1\] sky130_fd_sc_hd__einvp_8 + PLACED ( 731860 40800 ) FS ;
- mprj_adr_buf\[20\] sky130_fd_sc_hd__einvp_8 + PLACED ( 732320 54400 ) N ;
- mprj_adr_buf\[21\] sky130_fd_sc_hd__einvp_8 + PLACED ( 705180 43520 ) N ;
- mprj_adr_buf\[22\] sky130_fd_sc_hd__einvp_8 + PLACED ( 752560 54400 ) N ;
- mprj_adr_buf\[23\] sky130_fd_sc_hd__einvp_8 + PLACED ( 740140 35360 ) FS ;
- mprj_adr_buf\[24\] sky130_fd_sc_hd__einvp_8 + PLACED ( 784300 54400 ) N ;
- mprj_adr_buf\[25\] sky130_fd_sc_hd__einvp_8 + PLACED ( 707940 46240 ) FS ;
- mprj_adr_buf\[26\] sky130_fd_sc_hd__einvp_8 + PLACED ( 814200 54400 ) N ;
- mprj_adr_buf\[27\] sky130_fd_sc_hd__einvp_8 + PLACED ( 749340 38080 ) N ;
- mprj_adr_buf\[28\] sky130_fd_sc_hd__einvp_8 + PLACED ( 759920 51680 ) FS ;
- mprj_adr_buf\[29\] sky130_fd_sc_hd__einvp_8 + PLACED ( 741980 29920 ) FS ;
- mprj_adr_buf\[2\] sky130_fd_sc_hd__einvp_8 + PLACED ( 773720 51680 ) FS ;
- mprj_adr_buf\[30\] sky130_fd_sc_hd__einvp_8 + PLACED ( 753020 32640 ) N ;
- mprj_adr_buf\[31\] sky130_fd_sc_hd__einvp_8 + PLACED ( 792120 51680 ) FS ;
- mprj_adr_buf\[3\] sky130_fd_sc_hd__einvp_8 + PLACED ( 723580 48960 ) N ;
- mprj_adr_buf\[4\] sky130_fd_sc_hd__einvp_8 + PLACED ( 816040 51680 ) FS ;
- mprj_adr_buf\[5\] sky130_fd_sc_hd__einvp_8 + PLACED ( 732320 43520 ) N ;
- mprj_adr_buf\[6\] sky130_fd_sc_hd__einvp_8 + PLACED ( 828000 51680 ) FS ;
- mprj_adr_buf\[7\] sky130_fd_sc_hd__einvp_8 + PLACED ( 731860 46240 ) FS ;
- mprj_adr_buf\[8\] sky130_fd_sc_hd__einvp_8 + PLACED ( 830300 54400 ) N ;
- mprj_adr_buf\[9\] sky130_fd_sc_hd__einvp_8 + PLACED ( 736920 38080 ) N ;
- mprj_clk2_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 822480 40800 ) FS ;
- mprj_clk_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 819260 35360 ) FS ;
- mprj_cyc_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 731860 51680 ) FS ;
- mprj_dat_buf\[0\] sky130_fd_sc_hd__einvp_8 + PLACED ( 766820 29920 ) FS ;
- mprj_dat_buf\[10\] sky130_fd_sc_hd__einvp_8 + PLACED ( 746120 40800 ) FS ;
- mprj_dat_buf\[11\] sky130_fd_sc_hd__einvp_8 + PLACED ( 743820 46240 ) FS ;
- mprj_dat_buf\[12\] sky130_fd_sc_hd__einvp_8 + PLACED ( 746120 48960 ) N ;
- mprj_dat_buf\[13\] sky130_fd_sc_hd__einvp_8 + PLACED ( 764980 32640 ) N ;
- mprj_dat_buf\[14\] sky130_fd_sc_hd__einvp_8 + PLACED ( 746580 43520 ) N ;
- mprj_dat_buf\[15\] sky130_fd_sc_hd__einvp_8 + PLACED ( 746580 51680 ) FS ;
- mprj_dat_buf\[16\] sky130_fd_sc_hd__einvp_8 + PLACED ( 764520 24480 ) FS ;
- mprj_dat_buf\[17\] sky130_fd_sc_hd__einvp_8 + PLACED ( 761760 40800 ) FS ;
- mprj_dat_buf\[18\] sky130_fd_sc_hd__einvp_8 + PLACED ( 764980 38080 ) N ;
- mprj_dat_buf\[19\] sky130_fd_sc_hd__einvp_8 + PLACED ( 758540 43520 ) N ;
- mprj_dat_buf\[1\] sky130_fd_sc_hd__einvp_8 + PLACED ( 771420 35360 ) FS ;
- mprj_dat_buf\[20\] sky130_fd_sc_hd__einvp_8 + PLACED ( 764980 27200 ) N ;
- mprj_dat_buf\[21\] sky130_fd_sc_hd__einvp_8 + PLACED ( 776480 24480 ) FS ;
- mprj_dat_buf\[22\] sky130_fd_sc_hd__einvp_8 + PLACED ( 773720 40800 ) FS ;
- mprj_dat_buf\[23\] sky130_fd_sc_hd__einvp_8 + PLACED ( 771420 46240 ) FS ;
- mprj_dat_buf\[24\] sky130_fd_sc_hd__einvp_8 + PLACED ( 774180 43520 ) N ;
- mprj_dat_buf\[25\] sky130_fd_sc_hd__einvp_8 + PLACED ( 778780 27200 ) N ;
- mprj_dat_buf\[26\] sky130_fd_sc_hd__einvp_8 + PLACED ( 777400 38080 ) N ;
- mprj_dat_buf\[27\] sky130_fd_sc_hd__einvp_8 + PLACED ( 791200 24480 ) FS ;
- mprj_dat_buf\[28\] sky130_fd_sc_hd__einvp_8 + PLACED ( 791660 38080 ) N ;
- mprj_dat_buf\[29\] sky130_fd_sc_hd__einvp_8 + PLACED ( 787980 46240 ) FS ;
- mprj_dat_buf\[2\] sky130_fd_sc_hd__einvp_8 + PLACED ( 799480 35360 ) FS ;
- mprj_dat_buf\[30\] sky130_fd_sc_hd__einvp_8 + PLACED ( 786140 43520 ) N ;
- mprj_dat_buf\[31\] sky130_fd_sc_hd__einvp_8 + PLACED ( 797180 40800 ) FS ;
- mprj_dat_buf\[3\] sky130_fd_sc_hd__einvp_8 + PLACED ( 789820 48960 ) N ;
- mprj_dat_buf\[4\] sky130_fd_sc_hd__einvp_8 + PLACED ( 805460 38080 ) N ;
- mprj_dat_buf\[5\] sky130_fd_sc_hd__einvp_8 + PLACED ( 805460 32640 ) N ;
- mprj_dat_buf\[6\] sky130_fd_sc_hd__einvp_8 + PLACED ( 802240 48960 ) N ;
- mprj_dat_buf\[7\] sky130_fd_sc_hd__einvp_8 + PLACED ( 817420 32640 ) N ;
- mprj_dat_buf\[8\] sky130_fd_sc_hd__einvp_8 + PLACED ( 802240 43520 ) N ;
- mprj_dat_buf\[9\] sky130_fd_sc_hd__einvp_8 + PLACED ( 817420 38080 ) N ;
- mprj_logic_high\[0\] sky130_fd_sc_hd__conb_1 + PLACED ( 22540 19040 ) FS ;
- mprj_logic_high\[100\] sky130_fd_sc_hd__conb_1 + PLACED ( 47380 5440 ) N ;
- mprj_logic_high\[101\] sky130_fd_sc_hd__conb_1 + PLACED ( 347760 32640 ) N ;
- mprj_logic_high\[102\] sky130_fd_sc_hd__conb_1 + PLACED ( 35880 10880 ) N ;
- mprj_logic_high\[103\] sky130_fd_sc_hd__conb_1 + PLACED ( 300380 29920 ) FS ;
- mprj_logic_high\[104\] sky130_fd_sc_hd__conb_1 + PLACED ( 395140 40800 ) FS ;
- mprj_logic_high\[105\] sky130_fd_sc_hd__conb_1 + PLACED ( 397900 32640 ) N ;
- mprj_logic_high\[106\] sky130_fd_sc_hd__conb_1 + PLACED ( 358800 40800 ) FS ;
- mprj_logic_high\[107\] sky130_fd_sc_hd__conb_1 + PLACED ( 406640 29920 ) FS ;
- mprj_logic_high\[108\] sky130_fd_sc_hd__conb_1 + PLACED ( 297160 38080 ) N ;
- mprj_logic_high\[109\] sky130_fd_sc_hd__conb_1 + PLACED ( 229080 35360 ) FS ;
- mprj_logic_high\[10\] sky130_fd_sc_hd__conb_1 + PLACED ( 718060 48960 ) N ;
- mprj_logic_high\[110\] sky130_fd_sc_hd__conb_1 + PLACED ( 96600 2720 ) FS ;
- mprj_logic_high\[111\] sky130_fd_sc_hd__conb_1 + PLACED ( 119140 32640 ) N ;
- mprj_logic_high\[112\] sky130_fd_sc_hd__conb_1 + PLACED ( 91540 8160 ) FS ;
- mprj_logic_high\[113\] sky130_fd_sc_hd__conb_1 + PLACED ( 49220 29920 ) FS ;
- mprj_logic_high\[114\] sky130_fd_sc_hd__conb_1 + PLACED ( 409400 38080 ) N ;
- mprj_logic_high\[115\] sky130_fd_sc_hd__conb_1 + PLACED ( 16560 5440 ) N ;
- mprj_logic_high\[116\] sky130_fd_sc_hd__conb_1 + PLACED ( 198720 29920 ) FS ;
- mprj_logic_high\[117\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 8160 ) FS ;
- mprj_logic_high\[118\] sky130_fd_sc_hd__conb_1 + PLACED ( 279680 38080 ) N ;
- mprj_logic_high\[119\] sky130_fd_sc_hd__conb_1 + PLACED ( 108560 8160 ) FS ;
- mprj_logic_high\[11\] sky130_fd_sc_hd__conb_1 + PLACED ( 735080 35360 ) FS ;
- mprj_logic_high\[120\] sky130_fd_sc_hd__conb_1 + PLACED ( 316940 38080 ) N ;
- mprj_logic_high\[121\] sky130_fd_sc_hd__conb_1 + PLACED ( 64860 5440 ) N ;
- mprj_logic_high\[122\] sky130_fd_sc_hd__conb_1 + PLACED ( 33580 51680 ) FS ;
- mprj_logic_high\[123\] sky130_fd_sc_hd__conb_1 + PLACED ( 58420 8160 ) FS ;
- mprj_logic_high\[124\] sky130_fd_sc_hd__conb_1 + PLACED ( 33580 5440 ) N ;
- mprj_logic_high\[125\] sky130_fd_sc_hd__conb_1 + PLACED ( 30360 46240 ) FS ;
- mprj_logic_high\[126\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 2720 ) FS ;
- mprj_logic_high\[127\] sky130_fd_sc_hd__conb_1 + PLACED ( 16560 57120 ) FS ;
- mprj_logic_high\[128\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 5440 ) N ;
- mprj_logic_high\[129\] sky130_fd_sc_hd__conb_1 + PLACED ( 59800 54400 ) N ;
- mprj_logic_high\[12\] sky130_fd_sc_hd__conb_1 + PLACED ( 775560 54400 ) N ;
- mprj_logic_high\[130\] sky130_fd_sc_hd__conb_1 + PLACED ( 38640 5440 ) N ;
- mprj_logic_high\[131\] sky130_fd_sc_hd__conb_1 + PLACED ( 80960 51680 ) FS ;
- mprj_logic_high\[132\] sky130_fd_sc_hd__conb_1 + PLACED ( 440680 19040 ) FS ;
- mprj_logic_high\[133\] sky130_fd_sc_hd__conb_1 + PLACED ( 94300 54400 ) N ;
- mprj_logic_high\[134\] sky130_fd_sc_hd__conb_1 + PLACED ( 95220 48960 ) N ;
- mprj_logic_high\[135\] sky130_fd_sc_hd__conb_1 + PLACED ( 333500 13600 ) FS ;
- mprj_logic_high\[136\] sky130_fd_sc_hd__conb_1 + PLACED ( 96140 57120 ) FS ;
- mprj_logic_high\[137\] sky130_fd_sc_hd__conb_1 + PLACED ( 287500 2720 ) FS ;
- mprj_logic_high\[138\] sky130_fd_sc_hd__conb_1 + PLACED ( 67160 54400 ) N ;
- mprj_logic_high\[139\] sky130_fd_sc_hd__conb_1 + PLACED ( 142600 8160 ) FS ;
- mprj_logic_high\[13\] sky130_fd_sc_hd__conb_1 + PLACED ( 719900 46240 ) FS ;
- mprj_logic_high\[140\] sky130_fd_sc_hd__conb_1 + PLACED ( 241040 54400 ) N ;
- mprj_logic_high\[141\] sky130_fd_sc_hd__conb_1 + PLACED ( 136620 5440 ) N ;
- mprj_logic_high\[142\] sky130_fd_sc_hd__conb_1 + PLACED ( 106720 46240 ) FS ;
- mprj_logic_high\[143\] sky130_fd_sc_hd__conb_1 + PLACED ( 110860 2720 ) FS ;
- mprj_logic_high\[144\] sky130_fd_sc_hd__conb_1 + PLACED ( 151340 10880 ) N ;
- mprj_logic_high\[145\] sky130_fd_sc_hd__conb_1 + PLACED ( 361560 46240 ) FS ;
- mprj_logic_high\[146\] sky130_fd_sc_hd__conb_1 + PLACED ( 129260 16320 ) N ;
- mprj_logic_high\[147\] sky130_fd_sc_hd__conb_1 + PLACED ( 352360 57120 ) FS ;
- mprj_logic_high\[148\] sky130_fd_sc_hd__conb_1 + PLACED ( 431480 13600 ) FS ;
- mprj_logic_high\[149\] sky130_fd_sc_hd__conb_1 + PLACED ( 209760 57120 ) FS ;
- mprj_logic_high\[14\] sky130_fd_sc_hd__conb_1 + PLACED ( 810520 51680 ) FS ;
- mprj_logic_high\[150\] sky130_fd_sc_hd__conb_1 + PLACED ( 458620 27200 ) N ;
- mprj_logic_high\[151\] sky130_fd_sc_hd__conb_1 + PLACED ( 145360 48960 ) N ;
- mprj_logic_high\[152\] sky130_fd_sc_hd__conb_1 + PLACED ( 373980 2720 ) FS ;
- mprj_logic_high\[153\] sky130_fd_sc_hd__conb_1 + PLACED ( 184920 43520 ) N ;
- mprj_logic_high\[154\] sky130_fd_sc_hd__conb_1 + PLACED ( 181240 57120 ) FS ;
- mprj_logic_high\[155\] sky130_fd_sc_hd__conb_1 + PLACED ( 516580 16320 ) N ;
- mprj_logic_high\[156\] sky130_fd_sc_hd__conb_1 + PLACED ( 398360 46240 ) FS ;
- mprj_logic_high\[157\] sky130_fd_sc_hd__conb_1 + PLACED ( 534980 5440 ) N ;
- mprj_logic_high\[158\] sky130_fd_sc_hd__conb_1 + PLACED ( 400200 43520 ) N ;
- mprj_logic_high\[159\] sky130_fd_sc_hd__conb_1 + PLACED ( 547860 2720 ) FS ;
- mprj_logic_high\[15\] sky130_fd_sc_hd__conb_1 + PLACED ( 726340 40800 ) FS ;
- mprj_logic_high\[160\] sky130_fd_sc_hd__conb_1 + PLACED ( 400660 40800 ) FS ;
- mprj_logic_high\[161\] sky130_fd_sc_hd__conb_1 + PLACED ( 547400 5440 ) N ;
- mprj_logic_high\[162\] sky130_fd_sc_hd__conb_1 + PLACED ( 419520 48960 ) N ;
- mprj_logic_high\[163\] sky130_fd_sc_hd__conb_1 + PLACED ( 521640 13600 ) FS ;
- mprj_logic_high\[164\] sky130_fd_sc_hd__conb_1 + PLACED ( 453560 27200 ) N ;
- mprj_logic_high\[165\] sky130_fd_sc_hd__conb_1 + PLACED ( 381340 43520 ) N ;
- mprj_logic_high\[166\] sky130_fd_sc_hd__conb_1 + PLACED ( 415380 13600 ) FS ;
- mprj_logic_high\[167\] sky130_fd_sc_hd__conb_1 + PLACED ( 325220 54400 ) N ;
- mprj_logic_high\[168\] sky130_fd_sc_hd__conb_1 + PLACED ( 380880 13600 ) FS ;
- mprj_logic_high\[169\] sky130_fd_sc_hd__conb_1 + PLACED ( 252540 57120 ) FS ;
- mprj_logic_high\[16\] sky130_fd_sc_hd__conb_1 + PLACED ( 830300 48960 ) N ;
- mprj_logic_high\[170\] sky130_fd_sc_hd__conb_1 + PLACED ( 332580 16320 ) N ;
- mprj_logic_high\[171\] sky130_fd_sc_hd__conb_1 + PLACED ( 192280 51680 ) FS ;
- mprj_logic_high\[172\] sky130_fd_sc_hd__conb_1 + PLACED ( 259900 5440 ) N ;
- mprj_logic_high\[173\] sky130_fd_sc_hd__conb_1 + PLACED ( 173420 46240 ) FS ;
- mprj_logic_high\[174\] sky130_fd_sc_hd__conb_1 + PLACED ( 21160 29920 ) FS ;
- mprj_logic_high\[175\] sky130_fd_sc_hd__conb_1 + PLACED ( 19780 46240 ) FS ;
- mprj_logic_high\[176\] sky130_fd_sc_hd__conb_1 + PLACED ( 36340 24480 ) FS ;
- mprj_logic_high\[177\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 38080 ) N ;
- mprj_logic_high\[178\] sky130_fd_sc_hd__conb_1 + PLACED ( 31740 40800 ) FS ;
- mprj_logic_high\[179\] sky130_fd_sc_hd__conb_1 + PLACED ( 16100 29920 ) FS ;
- mprj_logic_high\[17\] sky130_fd_sc_hd__conb_1 + PLACED ( 724960 46240 ) FS ;
- mprj_logic_high\[180\] sky130_fd_sc_hd__conb_1 + PLACED ( 29900 38080 ) N ;
- mprj_logic_high\[181\] sky130_fd_sc_hd__conb_1 + PLACED ( 132480 35360 ) FS ;
- mprj_logic_high\[182\] sky130_fd_sc_hd__conb_1 + PLACED ( 30360 29920 ) FS ;
- mprj_logic_high\[183\] sky130_fd_sc_hd__conb_1 + PLACED ( 35420 46240 ) FS ;
- mprj_logic_high\[184\] sky130_fd_sc_hd__conb_1 + PLACED ( 17020 32640 ) N ;
- mprj_logic_high\[185\] sky130_fd_sc_hd__conb_1 + PLACED ( 126500 24480 ) FS ;
- mprj_logic_high\[186\] sky130_fd_sc_hd__conb_1 + PLACED ( 80040 29920 ) FS ;
- mprj_logic_high\[187\] sky130_fd_sc_hd__conb_1 + PLACED ( 109940 21760 ) N ;
- mprj_logic_high\[188\] sky130_fd_sc_hd__conb_1 + PLACED ( 104880 21760 ) N ;
- mprj_logic_high\[189\] sky130_fd_sc_hd__conb_1 + PLACED ( 170660 35360 ) FS ;
- mprj_logic_high\[18\] sky130_fd_sc_hd__conb_1 + PLACED ( 823860 57120 ) FS ;
- mprj_logic_high\[190\] sky130_fd_sc_hd__conb_1 + PLACED ( 165600 27200 ) N ;
- mprj_logic_high\[191\] sky130_fd_sc_hd__conb_1 + PLACED ( 231380 32640 ) N ;
- mprj_logic_high\[192\] sky130_fd_sc_hd__conb_1 + PLACED ( 122360 38080 ) N ;
- mprj_logic_high\[193\] sky130_fd_sc_hd__conb_1 + PLACED ( 101200 24480 ) FS ;
- mprj_logic_high\[194\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 27200 ) N ;
- mprj_logic_high\[195\] sky130_fd_sc_hd__conb_1 + PLACED ( 65320 35360 ) FS ;
- mprj_logic_high\[196\] sky130_fd_sc_hd__conb_1 + PLACED ( 281980 32640 ) N ;
- mprj_logic_high\[197\] sky130_fd_sc_hd__conb_1 + PLACED ( 17480 19040 ) FS ;
- mprj_logic_high\[198\] sky130_fd_sc_hd__conb_1 + PLACED ( 325220 32640 ) N ;
- mprj_logic_high\[199\] sky130_fd_sc_hd__conb_1 + PLACED ( 18400 16320 ) N ;
- mprj_logic_high\[19\] sky130_fd_sc_hd__conb_1 + PLACED ( 735540 48960 ) N ;
- mprj_logic_high\[1\] sky130_fd_sc_hd__conb_1 + PLACED ( 813740 35360 ) FS ;
- mprj_logic_high\[200\] sky130_fd_sc_hd__conb_1 + PLACED ( 304520 35360 ) FS ;
- mprj_logic_high\[201\] sky130_fd_sc_hd__conb_1 + PLACED ( 233220 40800 ) FS ;
- mprj_logic_high\[202\] sky130_fd_sc_hd__conb_1 + PLACED ( 429640 54400 ) N ;
- mprj_logic_high\[203\] sky130_fd_sc_hd__conb_1 + PLACED ( 514280 43520 ) N ;
- mprj_logic_high\[204\] sky130_fd_sc_hd__conb_1 + PLACED ( 616400 29920 ) FS ;
- mprj_logic_high\[205\] sky130_fd_sc_hd__conb_1 + PLACED ( 645380 29920 ) FS ;
- mprj_logic_high\[206\] sky130_fd_sc_hd__conb_1 + PLACED ( 479320 51680 ) FS ;
- mprj_logic_high\[207\] sky130_fd_sc_hd__conb_1 + PLACED ( 698740 13600 ) FS ;
- mprj_logic_high\[208\] sky130_fd_sc_hd__conb_1 + PLACED ( 577760 48960 ) N ;
- mprj_logic_high\[209\] sky130_fd_sc_hd__conb_1 + PLACED ( 646300 2720 ) FS ;
- mprj_logic_high\[20\] sky130_fd_sc_hd__conb_1 + PLACED ( 710700 29920 ) FS ;
- mprj_logic_high\[210\] sky130_fd_sc_hd__conb_1 + PLACED ( 638940 46240 ) FS ;
- mprj_logic_high\[211\] sky130_fd_sc_hd__conb_1 + PLACED ( 810060 13600 ) FS ;
- mprj_logic_high\[212\] sky130_fd_sc_hd__conb_1 + PLACED ( 439760 29920 ) FS ;
- mprj_logic_high\[213\] sky130_fd_sc_hd__conb_1 + PLACED ( 454940 51680 ) FS ;
- mprj_logic_high\[214\] sky130_fd_sc_hd__conb_1 + PLACED ( 511520 38080 ) N ;
- mprj_logic_high\[215\] sky130_fd_sc_hd__conb_1 + PLACED ( 566720 40800 ) FS ;
- mprj_logic_high\[216\] sky130_fd_sc_hd__conb_1 + PLACED ( 579600 40800 ) FS ;
- mprj_logic_high\[217\] sky130_fd_sc_hd__conb_1 + PLACED ( 498640 51680 ) FS ;
- mprj_logic_high\[218\] sky130_fd_sc_hd__conb_1 + PLACED ( 511060 48960 ) N ;
- mprj_logic_high\[219\] sky130_fd_sc_hd__conb_1 + PLACED ( 560740 32640 ) N ;
- mprj_logic_high\[21\] sky130_fd_sc_hd__conb_1 + PLACED ( 722660 57120 ) FS ;
- mprj_logic_high\[220\] sky130_fd_sc_hd__conb_1 + PLACED ( 594780 38080 ) N ;
- mprj_logic_high\[221\] sky130_fd_sc_hd__conb_1 + PLACED ( 516120 48960 ) N ;
- mprj_logic_high\[222\] sky130_fd_sc_hd__conb_1 + PLACED ( 581900 46240 ) FS ;
- mprj_logic_high\[223\] sky130_fd_sc_hd__conb_1 + PLACED ( 599840 38080 ) N ;
- mprj_logic_high\[224\] sky130_fd_sc_hd__conb_1 + PLACED ( 600300 32640 ) N ;
- mprj_logic_high\[225\] sky130_fd_sc_hd__conb_1 + PLACED ( 539120 43520 ) N ;
- mprj_logic_high\[226\] sky130_fd_sc_hd__conb_1 + PLACED ( 532220 43520 ) N ;
- mprj_logic_high\[227\] sky130_fd_sc_hd__conb_1 + PLACED ( 609040 38080 ) N ;
- mprj_logic_high\[228\] sky130_fd_sc_hd__conb_1 + PLACED ( 569480 43520 ) N ;
- mprj_logic_high\[229\] sky130_fd_sc_hd__conb_1 + PLACED ( 575920 29920 ) FS ;
- mprj_logic_high\[22\] sky130_fd_sc_hd__conb_1 + PLACED ( 718060 43520 ) N ;
- mprj_logic_high\[230\] sky130_fd_sc_hd__conb_1 + PLACED ( 593860 43520 ) N ;
- mprj_logic_high\[231\] sky130_fd_sc_hd__conb_1 + PLACED ( 609040 27200 ) N ;
- mprj_logic_high\[232\] sky130_fd_sc_hd__conb_1 + PLACED ( 626980 32640 ) N ;
- mprj_logic_high\[233\] sky130_fd_sc_hd__conb_1 + PLACED ( 611340 40800 ) FS ;
- mprj_logic_high\[234\] sky130_fd_sc_hd__conb_1 + PLACED ( 638940 35360 ) FS ;
- mprj_logic_high\[235\] sky130_fd_sc_hd__conb_1 + PLACED ( 620540 43520 ) N ;
- mprj_logic_high\[236\] sky130_fd_sc_hd__conb_1 + PLACED ( 626980 43520 ) N ;
- mprj_logic_high\[237\] sky130_fd_sc_hd__conb_1 + PLACED ( 627440 38080 ) N ;
- mprj_logic_high\[238\] sky130_fd_sc_hd__conb_1 + PLACED ( 610880 54400 ) N ;
- mprj_logic_high\[239\] sky130_fd_sc_hd__conb_1 + PLACED ( 650900 35360 ) FS ;
- mprj_logic_high\[23\] sky130_fd_sc_hd__conb_1 + PLACED ( 688620 46240 ) FS ;
- mprj_logic_high\[240\] sky130_fd_sc_hd__conb_1 + PLACED ( 600300 48960 ) N ;
- mprj_logic_high\[241\] sky130_fd_sc_hd__conb_1 + PLACED ( 640320 40800 ) FS ;
- mprj_logic_high\[242\] sky130_fd_sc_hd__conb_1 + PLACED ( 637100 38080 ) N ;
- mprj_logic_high\[243\] sky130_fd_sc_hd__conb_1 + PLACED ( 643540 38080 ) N ;
- mprj_logic_high\[244\] sky130_fd_sc_hd__conb_1 + PLACED ( 648600 38080 ) N ;
- mprj_logic_high\[245\] sky130_fd_sc_hd__conb_1 + PLACED ( 659640 51680 ) FS ;
- mprj_logic_high\[246\] sky130_fd_sc_hd__conb_1 + PLACED ( 665160 32640 ) N ;
- mprj_logic_high\[247\] sky130_fd_sc_hd__conb_1 + PLACED ( 663320 40800 ) FS ;
- mprj_logic_high\[248\] sky130_fd_sc_hd__conb_1 + PLACED ( 660560 46240 ) FS ;
- mprj_logic_high\[249\] sky130_fd_sc_hd__conb_1 + PLACED ( 678960 29920 ) FS ;
- mprj_logic_high\[24\] sky130_fd_sc_hd__conb_1 + PLACED ( 715760 29920 ) FS ;
- mprj_logic_high\[250\] sky130_fd_sc_hd__conb_1 + PLACED ( 472880 51680 ) FS ;
- mprj_logic_high\[251\] sky130_fd_sc_hd__conb_1 + PLACED ( 569940 5440 ) N ;
- mprj_logic_high\[252\] sky130_fd_sc_hd__conb_1 + PLACED ( 575000 5440 ) N ;
- mprj_logic_high\[253\] sky130_fd_sc_hd__conb_1 + PLACED ( 510140 57120 ) FS ;
- mprj_logic_high\[254\] sky130_fd_sc_hd__conb_1 + PLACED ( 586960 5440 ) N ;
- mprj_logic_high\[255\] sky130_fd_sc_hd__conb_1 + PLACED ( 507840 46240 ) FS ;
- mprj_logic_high\[256\] sky130_fd_sc_hd__conb_1 + PLACED ( 618700 2720 ) FS ;
- mprj_logic_high\[257\] sky130_fd_sc_hd__conb_1 + PLACED ( 505080 57120 ) FS ;
- mprj_logic_high\[258\] sky130_fd_sc_hd__conb_1 + PLACED ( 631580 5440 ) N ;
- mprj_logic_high\[259\] sky130_fd_sc_hd__conb_1 + PLACED ( 534980 48960 ) N ;
- mprj_logic_high\[25\] sky130_fd_sc_hd__conb_1 + PLACED ( 695980 46240 ) FS ;
- mprj_logic_high\[260\] sky130_fd_sc_hd__conb_1 + PLACED ( 673440 8160 ) FS ;
- mprj_logic_high\[261\] sky130_fd_sc_hd__conb_1 + PLACED ( 540500 48960 ) N ;
- mprj_logic_high\[262\] sky130_fd_sc_hd__conb_1 + PLACED ( 495880 57120 ) FS ;
- mprj_logic_high\[263\] sky130_fd_sc_hd__conb_1 + PLACED ( 686320 16320 ) N ;
- mprj_logic_high\[264\] sky130_fd_sc_hd__conb_1 + PLACED ( 528080 54400 ) N ;
- mprj_logic_high\[265\] sky130_fd_sc_hd__conb_1 + PLACED ( 671600 5440 ) N ;
- mprj_logic_high\[266\] sky130_fd_sc_hd__conb_1 + PLACED ( 549700 48960 ) N ;
- mprj_logic_high\[267\] sky130_fd_sc_hd__conb_1 + PLACED ( 689540 19040 ) FS ;
- mprj_logic_high\[268\] sky130_fd_sc_hd__conb_1 + PLACED ( 554760 48960 ) N ;
- mprj_logic_high\[269\] sky130_fd_sc_hd__conb_1 + PLACED ( 715760 13600 ) FS ;
- mprj_logic_high\[26\] sky130_fd_sc_hd__conb_1 + PLACED ( 706560 40800 ) FS ;
- mprj_logic_high\[270\] sky130_fd_sc_hd__conb_1 + PLACED ( 563960 46240 ) FS ;
- mprj_logic_high\[271\] sky130_fd_sc_hd__conb_1 + PLACED ( 739220 5440 ) N ;
- mprj_logic_high\[272\] sky130_fd_sc_hd__conb_1 + PLACED ( 524860 10880 ) N ;
- mprj_logic_high\[273\] sky130_fd_sc_hd__conb_1 + PLACED ( 555680 46240 ) FS ;
- mprj_logic_high\[274\] sky130_fd_sc_hd__conb_1 + PLACED ( 539120 2720 ) FS ;
- mprj_logic_high\[275\] sky130_fd_sc_hd__conb_1 + PLACED ( 561200 43520 ) N ;
- mprj_logic_high\[276\] sky130_fd_sc_hd__conb_1 + PLACED ( 542340 5440 ) N ;
- mprj_logic_high\[277\] sky130_fd_sc_hd__conb_1 + PLACED ( 582820 48960 ) N ;
- mprj_logic_high\[278\] sky130_fd_sc_hd__conb_1 + PLACED ( 580980 5440 ) N ;
- mprj_logic_high\[279\] sky130_fd_sc_hd__conb_1 + PLACED ( 571780 40800 ) FS ;
- mprj_logic_high\[27\] sky130_fd_sc_hd__conb_1 + PLACED ( 700120 43520 ) N ;
- mprj_logic_high\[280\] sky130_fd_sc_hd__conb_1 + PLACED ( 596620 10880 ) N ;
- mprj_logic_high\[281\] sky130_fd_sc_hd__conb_1 + PLACED ( 595240 48960 ) N ;
- mprj_logic_high\[282\] sky130_fd_sc_hd__conb_1 + PLACED ( 623300 40800 ) FS ;
- mprj_logic_high\[283\] sky130_fd_sc_hd__conb_1 + PLACED ( 668380 8160 ) FS ;
- mprj_logic_high\[284\] sky130_fd_sc_hd__conb_1 + PLACED ( 664700 51680 ) FS ;
- mprj_logic_high\[285\] sky130_fd_sc_hd__conb_1 + PLACED ( 705180 10880 ) N ;
- mprj_logic_high\[286\] sky130_fd_sc_hd__conb_1 + PLACED ( 653660 38080 ) N ;
- mprj_logic_high\[287\] sky130_fd_sc_hd__conb_1 + PLACED ( 707020 13600 ) FS ;
- mprj_logic_high\[288\] sky130_fd_sc_hd__conb_1 + PLACED ( 587880 48960 ) N ;
- mprj_logic_high\[289\] sky130_fd_sc_hd__conb_1 + PLACED ( 738760 2720 ) FS ;
- mprj_logic_high\[28\] sky130_fd_sc_hd__conb_1 + PLACED ( 725880 35360 ) FS ;
- mprj_logic_high\[290\] sky130_fd_sc_hd__conb_1 + PLACED ( 592480 51680 ) FS ;
- mprj_logic_high\[291\] sky130_fd_sc_hd__conb_1 + PLACED ( 747500 2720 ) FS ;
- mprj_logic_high\[292\] sky130_fd_sc_hd__conb_1 + PLACED ( 776020 2720 ) FS ;
- mprj_logic_high\[293\] sky130_fd_sc_hd__conb_1 + PLACED ( 665620 46240 ) FS ;
- mprj_logic_high\[294\] sky130_fd_sc_hd__conb_1 + PLACED ( 838580 2720 ) FS ;
- mprj_logic_high\[295\] sky130_fd_sc_hd__conb_1 + PLACED ( 675740 46240 ) FS ;
- mprj_logic_high\[296\] sky130_fd_sc_hd__conb_1 + PLACED ( 771880 5440 ) N ;
- mprj_logic_high\[297\] sky130_fd_sc_hd__conb_1 + PLACED ( 681260 48960 ) N ;
- mprj_logic_high\[298\] sky130_fd_sc_hd__conb_1 + PLACED ( 791200 13600 ) FS ;
- mprj_logic_high\[299\] sky130_fd_sc_hd__conb_1 + PLACED ( 690000 43520 ) N ;
- mprj_logic_high\[29\] sky130_fd_sc_hd__conb_1 + PLACED ( 723120 43520 ) N ;
- mprj_logic_high\[2\] sky130_fd_sc_hd__conb_1 + PLACED ( 817420 40800 ) FS ;
- mprj_logic_high\[300\] sky130_fd_sc_hd__conb_1 + PLACED ( 798100 16320 ) N ;
- mprj_logic_high\[301\] sky130_fd_sc_hd__conb_1 + PLACED ( 695060 43520 ) N ;
- mprj_logic_high\[302\] sky130_fd_sc_hd__conb_1 + PLACED ( 431940 43520 ) N ;
- mprj_logic_high\[303\] sky130_fd_sc_hd__conb_1 + PLACED ( 431940 38080 ) N ;
- mprj_logic_high\[304\] sky130_fd_sc_hd__conb_1 + PLACED ( 444820 46240 ) FS ;
- mprj_logic_high\[305\] sky130_fd_sc_hd__conb_1 + PLACED ( 437460 54400 ) N ;
- mprj_logic_high\[306\] sky130_fd_sc_hd__conb_1 + PLACED ( 433320 40800 ) FS ;
- mprj_logic_high\[307\] sky130_fd_sc_hd__conb_1 + PLACED ( 426880 43520 ) N ;
- mprj_logic_high\[308\] sky130_fd_sc_hd__conb_1 + PLACED ( 428260 40800 ) FS ;
- mprj_logic_high\[309\] sky130_fd_sc_hd__conb_1 + PLACED ( 451260 46240 ) FS ;
- mprj_logic_high\[30\] sky130_fd_sc_hd__conb_1 + PLACED ( 743820 57120 ) FS ;
- mprj_logic_high\[310\] sky130_fd_sc_hd__conb_1 + PLACED ( 433780 35360 ) FS ;
- mprj_logic_high\[311\] sky130_fd_sc_hd__conb_1 + PLACED ( 432400 29920 ) FS ;
- mprj_logic_high\[312\] sky130_fd_sc_hd__conb_1 + PLACED ( 438840 21760 ) N ;
- mprj_logic_high\[313\] sky130_fd_sc_hd__conb_1 + PLACED ( 458620 35360 ) FS ;
- mprj_logic_high\[314\] sky130_fd_sc_hd__conb_1 + PLACED ( 444820 29920 ) FS ;
- mprj_logic_high\[315\] sky130_fd_sc_hd__conb_1 + PLACED ( 433780 24480 ) FS ;
- mprj_logic_high\[316\] sky130_fd_sc_hd__conb_1 + PLACED ( 465520 43520 ) N ;
- mprj_logic_high\[317\] sky130_fd_sc_hd__conb_1 + PLACED ( 514280 21760 ) N ;
- mprj_logic_high\[318\] sky130_fd_sc_hd__conb_1 + PLACED ( 460000 54400 ) N ;
- mprj_logic_high\[319\] sky130_fd_sc_hd__conb_1 + PLACED ( 469200 46240 ) FS ;
- mprj_logic_high\[31\] sky130_fd_sc_hd__conb_1 + PLACED ( 703340 38080 ) N ;
- mprj_logic_high\[320\] sky130_fd_sc_hd__conb_1 + PLACED ( 451720 54400 ) N ;
- mprj_logic_high\[321\] sky130_fd_sc_hd__conb_1 + PLACED ( 453100 57120 ) FS ;
- mprj_logic_high\[322\] sky130_fd_sc_hd__conb_1 + PLACED ( 472880 57120 ) FS ;
- mprj_logic_high\[323\] sky130_fd_sc_hd__conb_1 + PLACED ( 505540 43520 ) N ;
- mprj_logic_high\[324\] sky130_fd_sc_hd__conb_1 + PLACED ( 507380 40800 ) FS ;
- mprj_logic_high\[325\] sky130_fd_sc_hd__conb_1 + PLACED ( 458620 32640 ) N ;
- mprj_logic_high\[326\] sky130_fd_sc_hd__conb_1 + PLACED ( 516580 38080 ) N ;
- mprj_logic_high\[327\] sky130_fd_sc_hd__conb_1 + PLACED ( 566720 29920 ) FS ;
- mprj_logic_high\[328\] sky130_fd_sc_hd__conb_1 + PLACED ( 521640 43520 ) N ;
- mprj_logic_high\[329\] sky130_fd_sc_hd__conb_1 + PLACED ( 511520 32640 ) N ;
- mprj_logic_high\[32\] sky130_fd_sc_hd__conb_1 + PLACED ( 747500 54400 ) N ;
- mprj_logic_high\[330\] sky130_fd_sc_hd__conb_1 + PLACED ( 93840 46240 ) FS ;
- mprj_logic_high\[331\] sky130_fd_sc_hd__conb_1 + PLACED ( 212520 40800 ) FS ;
- mprj_logic_high\[332\] sky130_fd_sc_hd__conb_1 + PLACED ( 221260 35360 ) FS ;
- mprj_logic_high\[333\] sky130_fd_sc_hd__conb_1 + PLACED ( 274160 35360 ) FS ;
- mprj_logic_high\[334\] sky130_fd_sc_hd__conb_1 + PLACED ( 16560 51680 ) FS ;
- mprj_logic_high\[335\] sky130_fd_sc_hd__conb_1 + PLACED ( 172500 8160 ) FS ;
- mprj_logic_high\[336\] sky130_fd_sc_hd__conb_1 + PLACED ( 269560 54400 ) N ;
- mprj_logic_high\[337\] sky130_fd_sc_hd__conb_1 + PLACED ( 274160 2720 ) FS ;
- mprj_logic_high\[338\] sky130_fd_sc_hd__conb_1 + PLACED ( 359260 43520 ) N ;
- mprj_logic_high\[339\] sky130_fd_sc_hd__conb_1 + PLACED ( 404340 13600 ) FS ;
- mprj_logic_high\[33\] sky130_fd_sc_hd__conb_1 + PLACED ( 740600 32640 ) N ;
- mprj_logic_high\[340\] sky130_fd_sc_hd__conb_1 + PLACED ( 114540 46240 ) FS ;
- mprj_logic_high\[341\] sky130_fd_sc_hd__conb_1 + PLACED ( 128800 54400 ) N ;
- mprj_logic_high\[342\] sky130_fd_sc_hd__conb_1 + PLACED ( 207000 38080 ) N ;
- mprj_logic_high\[343\] sky130_fd_sc_hd__conb_1 + PLACED ( 207460 40800 ) FS ;
- mprj_logic_high\[344\] sky130_fd_sc_hd__conb_1 + PLACED ( 246100 35360 ) FS ;
- mprj_logic_high\[345\] sky130_fd_sc_hd__conb_1 + PLACED ( 193200 40800 ) FS ;
- mprj_logic_high\[346\] sky130_fd_sc_hd__conb_1 + PLACED ( 240120 46240 ) FS ;
- mprj_logic_high\[347\] sky130_fd_sc_hd__conb_1 + PLACED ( 231840 43520 ) N ;
- mprj_logic_high\[348\] sky130_fd_sc_hd__conb_1 + PLACED ( 212980 38080 ) N ;
- mprj_logic_high\[349\] sky130_fd_sc_hd__conb_1 + PLACED ( 212980 48960 ) N ;
- mprj_logic_high\[34\] sky130_fd_sc_hd__conb_1 + PLACED ( 791660 57120 ) FS ;
- mprj_logic_high\[350\] sky130_fd_sc_hd__conb_1 + PLACED ( 241960 43520 ) N ;
- mprj_logic_high\[351\] sky130_fd_sc_hd__conb_1 + PLACED ( 248860 46240 ) FS ;
- mprj_logic_high\[352\] sky130_fd_sc_hd__conb_1 + PLACED ( 250240 43520 ) N ;
- mprj_logic_high\[353\] sky130_fd_sc_hd__conb_1 + PLACED ( 263120 43520 ) N ;
- mprj_logic_high\[354\] sky130_fd_sc_hd__conb_1 + PLACED ( 250240 38080 ) N ;
- mprj_logic_high\[355\] sky130_fd_sc_hd__conb_1 + PLACED ( 254840 40800 ) FS ;
- mprj_logic_high\[356\] sky130_fd_sc_hd__conb_1 + PLACED ( 267720 40800 ) FS ;
- mprj_logic_high\[357\] sky130_fd_sc_hd__conb_1 + PLACED ( 254840 35360 ) FS ;
- mprj_logic_high\[358\] sky130_fd_sc_hd__conb_1 + PLACED ( 258980 57120 ) FS ;
- mprj_logic_high\[359\] sky130_fd_sc_hd__conb_1 + PLACED ( 212060 35360 ) FS ;
- mprj_logic_high\[35\] sky130_fd_sc_hd__conb_1 + PLACED ( 698280 40800 ) FS ;
- mprj_logic_high\[360\] sky130_fd_sc_hd__conb_1 + PLACED ( 249780 32640 ) N ;
- mprj_logic_high\[361\] sky130_fd_sc_hd__conb_1 + PLACED ( 271400 48960 ) N ;
- mprj_logic_high\[362\] sky130_fd_sc_hd__conb_1 + PLACED ( 274160 57120 ) FS ;
- mprj_logic_high\[363\] sky130_fd_sc_hd__conb_1 + PLACED ( 290260 54400 ) N ;
- mprj_logic_high\[364\] sky130_fd_sc_hd__conb_1 + PLACED ( 283360 29920 ) FS ;
- mprj_logic_high\[365\] sky130_fd_sc_hd__conb_1 + PLACED ( 269100 35360 ) FS ;
- mprj_logic_high\[366\] sky130_fd_sc_hd__conb_1 + PLACED ( 295320 57120 ) FS ;
- mprj_logic_high\[367\] sky130_fd_sc_hd__conb_1 + PLACED ( 297160 43520 ) N ;
- mprj_logic_high\[368\] sky130_fd_sc_hd__conb_1 + PLACED ( 276920 32640 ) N ;
- mprj_logic_high\[369\] sky130_fd_sc_hd__conb_1 + PLACED ( 302220 43520 ) N ;
- mprj_logic_high\[36\] sky130_fd_sc_hd__conb_1 + PLACED ( 809140 54400 ) N ;
- mprj_logic_high\[370\] sky130_fd_sc_hd__conb_1 + PLACED ( 277380 29920 ) FS ;
- mprj_logic_high\[371\] sky130_fd_sc_hd__conb_1 + PLACED ( 271860 32640 ) N ;
- mprj_logic_high\[372\] sky130_fd_sc_hd__conb_1 + PLACED ( 307280 43520 ) N ;
- mprj_logic_high\[373\] sky130_fd_sc_hd__conb_1 + PLACED ( 303140 46240 ) FS ;
- mprj_logic_high\[374\] sky130_fd_sc_hd__conb_1 + PLACED ( 304980 48960 ) N ;
- mprj_logic_high\[375\] sky130_fd_sc_hd__conb_1 + PLACED ( 305440 51680 ) FS ;
- mprj_logic_high\[376\] sky130_fd_sc_hd__conb_1 + PLACED ( 310040 48960 ) N ;
- mprj_logic_high\[377\] sky130_fd_sc_hd__conb_1 + PLACED ( 326140 40800 ) FS ;
- mprj_logic_high\[378\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 54400 ) N ;
- mprj_logic_high\[379\] sky130_fd_sc_hd__conb_1 + PLACED ( 74520 2720 ) FS ;
- mprj_logic_high\[37\] sky130_fd_sc_hd__conb_1 + PLACED ( 752100 35360 ) FS ;
- mprj_logic_high\[380\] sky130_fd_sc_hd__conb_1 + PLACED ( 86480 8160 ) FS ;
- mprj_logic_high\[381\] sky130_fd_sc_hd__conb_1 + PLACED ( 226780 51680 ) FS ;
- mprj_logic_high\[382\] sky130_fd_sc_hd__conb_1 + PLACED ( 105800 19040 ) FS ;
- mprj_logic_high\[383\] sky130_fd_sc_hd__conb_1 + PLACED ( 223100 54400 ) N ;
- mprj_logic_high\[384\] sky130_fd_sc_hd__conb_1 + PLACED ( 159160 2720 ) FS ;
- mprj_logic_high\[385\] sky130_fd_sc_hd__conb_1 + PLACED ( 238280 57120 ) FS ;
- mprj_logic_high\[386\] sky130_fd_sc_hd__conb_1 + PLACED ( 156400 8160 ) FS ;
- mprj_logic_high\[387\] sky130_fd_sc_hd__conb_1 + PLACED ( 312800 54400 ) N ;
- mprj_logic_high\[388\] sky130_fd_sc_hd__conb_1 + PLACED ( 161460 8160 ) FS ;
- mprj_logic_high\[389\] sky130_fd_sc_hd__conb_1 + PLACED ( 310960 51680 ) FS ;
- mprj_logic_high\[38\] sky130_fd_sc_hd__conb_1 + PLACED ( 758080 48960 ) N ;
- mprj_logic_high\[390\] sky130_fd_sc_hd__conb_1 + PLACED ( 316020 51680 ) FS ;
- mprj_logic_high\[391\] sky130_fd_sc_hd__conb_1 + PLACED ( 179400 8160 ) FS ;
- mprj_logic_high\[392\] sky130_fd_sc_hd__conb_1 + PLACED ( 310960 46240 ) FS ;
- mprj_logic_high\[393\] sky130_fd_sc_hd__conb_1 + PLACED ( 195500 2720 ) FS ;
- mprj_logic_high\[394\] sky130_fd_sc_hd__conb_1 + PLACED ( 317860 54400 ) N ;
- mprj_logic_high\[395\] sky130_fd_sc_hd__conb_1 + PLACED ( 224020 2720 ) FS ;
- mprj_logic_high\[396\] sky130_fd_sc_hd__conb_1 + PLACED ( 264040 35360 ) FS ;
- mprj_logic_high\[397\] sky130_fd_sc_hd__conb_1 + PLACED ( 207460 5440 ) N ;
- mprj_logic_high\[398\] sky130_fd_sc_hd__conb_1 + PLACED ( 269560 29920 ) FS ;
- mprj_logic_high\[399\] sky130_fd_sc_hd__conb_1 + PLACED ( 210220 8160 ) FS ;
- mprj_logic_high\[39\] sky130_fd_sc_hd__conb_1 + PLACED ( 743820 27200 ) N ;
- mprj_logic_high\[3\] sky130_fd_sc_hd__conb_1 + PLACED ( 730020 32640 ) N ;
- mprj_logic_high\[400\] sky130_fd_sc_hd__conb_1 + PLACED ( 233220 5440 ) N ;
- mprj_logic_high\[401\] sky130_fd_sc_hd__conb_1 + PLACED ( 316020 57120 ) FS ;
- mprj_logic_high\[402\] sky130_fd_sc_hd__conb_1 + PLACED ( 238280 2720 ) FS ;
- mprj_logic_high\[403\] sky130_fd_sc_hd__conb_1 + PLACED ( 315100 48960 ) N ;
- mprj_logic_high\[404\] sky130_fd_sc_hd__conb_1 + PLACED ( 252540 2720 ) FS ;
- mprj_logic_high\[405\] sky130_fd_sc_hd__conb_1 + PLACED ( 316020 46240 ) FS ;
- mprj_logic_high\[406\] sky130_fd_sc_hd__conb_1 + PLACED ( 255760 8160 ) FS ;
- mprj_logic_high\[407\] sky130_fd_sc_hd__conb_1 + PLACED ( 330280 54400 ) N ;
- mprj_logic_high\[408\] sky130_fd_sc_hd__conb_1 + PLACED ( 268180 19040 ) FS ;
- mprj_logic_high\[409\] sky130_fd_sc_hd__conb_1 + PLACED ( 344540 48960 ) N ;
- mprj_logic_high\[40\] sky130_fd_sc_hd__conb_1 + PLACED ( 753940 29920 ) FS ;
- mprj_logic_high\[410\] sky130_fd_sc_hd__conb_1 + PLACED ( 338100 54400 ) N ;
- mprj_logic_high\[411\] sky130_fd_sc_hd__conb_1 + PLACED ( 284740 16320 ) N ;
- mprj_logic_high\[412\] sky130_fd_sc_hd__conb_1 + PLACED ( 339020 57120 ) FS ;
- mprj_logic_high\[413\] sky130_fd_sc_hd__conb_1 + PLACED ( 287960 13600 ) FS ;
- mprj_logic_high\[414\] sky130_fd_sc_hd__conb_1 + PLACED ( 345920 46240 ) FS ;
- mprj_logic_high\[415\] sky130_fd_sc_hd__conb_1 + PLACED ( 297160 16320 ) N ;
- mprj_logic_high\[416\] sky130_fd_sc_hd__conb_1 + PLACED ( 350980 46240 ) FS ;
- mprj_logic_high\[417\] sky130_fd_sc_hd__conb_1 + PLACED ( 309580 2720 ) FS ;
- mprj_logic_high\[418\] sky130_fd_sc_hd__conb_1 + PLACED ( 356040 46240 ) FS ;
- mprj_logic_high\[419\] sky130_fd_sc_hd__conb_1 + PLACED ( 325220 10880 ) N ;
- mprj_logic_high\[41\] sky130_fd_sc_hd__conb_1 + PLACED ( 796260 54400 ) N ;
- mprj_logic_high\[420\] sky130_fd_sc_hd__conb_1 + PLACED ( 326600 13600 ) FS ;
- mprj_logic_high\[421\] sky130_fd_sc_hd__conb_1 + PLACED ( 364320 43520 ) N ;
- mprj_logic_high\[422\] sky130_fd_sc_hd__conb_1 + PLACED ( 367080 2720 ) FS ;
- mprj_logic_high\[423\] sky130_fd_sc_hd__conb_1 + PLACED ( 369380 43520 ) N ;
- mprj_logic_high\[424\] sky130_fd_sc_hd__conb_1 + PLACED ( 372140 13600 ) FS ;
- mprj_logic_high\[425\] sky130_fd_sc_hd__conb_1 + PLACED ( 367080 40800 ) FS ;
- mprj_logic_high\[426\] sky130_fd_sc_hd__conb_1 + PLACED ( 385940 13600 ) FS ;
- mprj_logic_high\[427\] sky130_fd_sc_hd__conb_1 + PLACED ( 374440 43520 ) N ;
- mprj_logic_high\[428\] sky130_fd_sc_hd__conb_1 + PLACED ( 396520 10880 ) N ;
- mprj_logic_high\[429\] sky130_fd_sc_hd__conb_1 + PLACED ( 372140 40800 ) FS ;
- mprj_logic_high\[42\] sky130_fd_sc_hd__conb_1 + PLACED ( 777400 32640 ) N ;
- mprj_logic_high\[430\] sky130_fd_sc_hd__conb_1 + PLACED ( 77740 46240 ) FS ;
- mprj_logic_high\[431\] sky130_fd_sc_hd__conb_1 + PLACED ( 81880 48960 ) N ;
- mprj_logic_high\[432\] sky130_fd_sc_hd__conb_1 + PLACED ( 75440 38080 ) N ;
- mprj_logic_high\[433\] sky130_fd_sc_hd__conb_1 + PLACED ( 102120 32640 ) N ;
- mprj_logic_high\[434\] sky130_fd_sc_hd__conb_1 + PLACED ( 93380 35360 ) FS ;
- mprj_logic_high\[435\] sky130_fd_sc_hd__conb_1 + PLACED ( 88780 46240 ) FS ;
- mprj_logic_high\[436\] sky130_fd_sc_hd__conb_1 + PLACED ( 95220 32640 ) N ;
- mprj_logic_high\[437\] sky130_fd_sc_hd__conb_1 + PLACED ( 87400 38080 ) N ;
- mprj_logic_high\[438\] sky130_fd_sc_hd__conb_1 + PLACED ( 120980 43520 ) N ;
- mprj_logic_high\[439\] sky130_fd_sc_hd__conb_1 + PLACED ( 88320 35360 ) FS ;
- mprj_logic_high\[43\] sky130_fd_sc_hd__conb_1 + PLACED ( 766360 35360 ) FS ;
- mprj_logic_high\[440\] sky130_fd_sc_hd__conb_1 + PLACED ( 132020 40800 ) FS ;
- mprj_logic_high\[441\] sky130_fd_sc_hd__conb_1 + PLACED ( 176180 32640 ) N ;
- mprj_logic_high\[442\] sky130_fd_sc_hd__conb_1 + PLACED ( 182620 29920 ) FS ;
- mprj_logic_high\[443\] sky130_fd_sc_hd__conb_1 + PLACED ( 184920 27200 ) N ;
- mprj_logic_high\[444\] sky130_fd_sc_hd__conb_1 + PLACED ( 137080 40800 ) FS ;
- mprj_logic_high\[445\] sky130_fd_sc_hd__conb_1 + PLACED ( 136620 29920 ) FS ;
- mprj_logic_high\[446\] sky130_fd_sc_hd__conb_1 + PLACED ( 123280 54400 ) N ;
- mprj_logic_high\[447\] sky130_fd_sc_hd__conb_1 + PLACED ( 136620 38080 ) N ;
- mprj_logic_high\[448\] sky130_fd_sc_hd__conb_1 + PLACED ( 138460 57120 ) FS ;
- mprj_logic_high\[449\] sky130_fd_sc_hd__conb_1 + PLACED ( 142600 46240 ) FS ;
- mprj_logic_high\[44\] sky130_fd_sc_hd__conb_1 + PLACED ( 799940 32640 ) N ;
- mprj_logic_high\[450\] sky130_fd_sc_hd__conb_1 + PLACED ( 161460 46240 ) FS ;
- mprj_logic_high\[451\] sky130_fd_sc_hd__conb_1 + PLACED ( 174800 43520 ) N ;
- mprj_logic_high\[452\] sky130_fd_sc_hd__conb_1 + PLACED ( 151340 48960 ) N ;
- mprj_logic_high\[453\] sky130_fd_sc_hd__conb_1 + PLACED ( 144900 43520 ) N ;
- mprj_logic_high\[454\] sky130_fd_sc_hd__conb_1 + PLACED ( 179400 38080 ) N ;
- mprj_logic_high\[455\] sky130_fd_sc_hd__conb_1 + PLACED ( 169740 43520 ) N ;
- mprj_logic_high\[456\] sky130_fd_sc_hd__conb_1 + PLACED ( 221260 51680 ) FS ;
- mprj_logic_high\[457\] sky130_fd_sc_hd__conb_1 + PLACED ( 187680 29920 ) FS ;
- mprj_logic_high\[458\] sky130_fd_sc_hd__conb_1 + PLACED ( 838580 5440 ) N ;
- mprj_logic_high\[45\] sky130_fd_sc_hd__conb_1 + PLACED ( 784760 48960 ) N ;
- mprj_logic_high\[46\] sky130_fd_sc_hd__conb_1 + PLACED ( 809140 40800 ) FS ;
- mprj_logic_high\[47\] sky130_fd_sc_hd__conb_1 + PLACED ( 807300 29920 ) FS ;
- mprj_logic_high\[48\] sky130_fd_sc_hd__conb_1 + PLACED ( 799940 46240 ) FS ;
- mprj_logic_high\[49\] sky130_fd_sc_hd__conb_1 + PLACED ( 812360 29920 ) FS ;
- mprj_logic_high\[4\] sky130_fd_sc_hd__conb_1 + PLACED ( 810520 46240 ) FS ;
- mprj_logic_high\[50\] sky130_fd_sc_hd__conb_1 + PLACED ( 805000 46240 ) FS ;
- mprj_logic_high\[51\] sky130_fd_sc_hd__conb_1 + PLACED ( 831220 35360 ) FS ;
- mprj_logic_high\[52\] sky130_fd_sc_hd__conb_1 + PLACED ( 757160 35360 ) FS ;
- mprj_logic_high\[53\] sky130_fd_sc_hd__conb_1 + PLACED ( 740600 48960 ) N ;
- mprj_logic_high\[54\] sky130_fd_sc_hd__conb_1 + PLACED ( 763140 48960 ) N ;
- mprj_logic_high\[55\] sky130_fd_sc_hd__conb_1 + PLACED ( 778780 29920 ) FS ;
- mprj_logic_high\[56\] sky130_fd_sc_hd__conb_1 + PLACED ( 759920 46240 ) FS ;
- mprj_logic_high\[57\] sky130_fd_sc_hd__conb_1 + PLACED ( 764980 46240 ) FS ;
- mprj_logic_high\[58\] sky130_fd_sc_hd__conb_1 + PLACED ( 759920 27200 ) N ;
- mprj_logic_high\[59\] sky130_fd_sc_hd__conb_1 + PLACED ( 768200 48960 ) N ;
- mprj_logic_high\[5\] sky130_fd_sc_hd__conb_1 + PLACED ( 812820 27200 ) N ;
- mprj_logic_high\[60\] sky130_fd_sc_hd__conb_1 + PLACED ( 783380 35360 ) FS ;
- mprj_logic_high\[61\] sky130_fd_sc_hd__conb_1 + PLACED ( 764520 54400 ) N ;
- mprj_logic_high\[62\] sky130_fd_sc_hd__conb_1 + PLACED ( 767280 19040 ) FS ;
- mprj_logic_high\[63\] sky130_fd_sc_hd__conb_1 + PLACED ( 764520 21760 ) N ;
- mprj_logic_high\[64\] sky130_fd_sc_hd__conb_1 + PLACED ( 774180 48960 ) N ;
- mprj_logic_high\[65\] sky130_fd_sc_hd__conb_1 + PLACED ( 779240 48960 ) N ;
- mprj_logic_high\[66\] sky130_fd_sc_hd__conb_1 + PLACED ( 754860 27200 ) N ;
- mprj_logic_high\[67\] sky130_fd_sc_hd__conb_1 + PLACED ( 783840 21760 ) N ;
- mprj_logic_high\[68\] sky130_fd_sc_hd__conb_1 + PLACED ( 782460 32640 ) N ;
- mprj_logic_high\[69\] sky130_fd_sc_hd__conb_1 + PLACED ( 791200 29920 ) FS ;
- mprj_logic_high\[6\] sky130_fd_sc_hd__conb_1 + PLACED ( 833520 38080 ) N ;
- mprj_logic_high\[70\] sky130_fd_sc_hd__conb_1 + PLACED ( 793500 35360 ) FS ;
- mprj_logic_high\[71\] sky130_fd_sc_hd__conb_1 + PLACED ( 787980 40800 ) FS ;
- mprj_logic_high\[72\] sky130_fd_sc_hd__conb_1 + PLACED ( 783840 29920 ) FS ;
- mprj_logic_high\[73\] sky130_fd_sc_hd__conb_1 + PLACED ( 798560 29920 ) FS ;
- mprj_logic_high\[74\] sky130_fd_sc_hd__conb_1 + PLACED ( 39100 32640 ) N ;
- mprj_logic_high\[75\] sky130_fd_sc_hd__conb_1 + PLACED ( 95220 27200 ) N ;
- mprj_logic_high\[76\] sky130_fd_sc_hd__conb_1 + PLACED ( 344080 38080 ) N ;
- mprj_logic_high\[77\] sky130_fd_sc_hd__conb_1 + PLACED ( 75440 8160 ) FS ;
- mprj_logic_high\[78\] sky130_fd_sc_hd__conb_1 + PLACED ( 50600 51680 ) FS ;
- mprj_logic_high\[79\] sky130_fd_sc_hd__conb_1 + PLACED ( 368460 16320 ) N ;
- mprj_logic_high\[7\] sky130_fd_sc_hd__conb_1 + PLACED ( 805460 51680 ) FS ;
- mprj_logic_high\[80\] sky130_fd_sc_hd__conb_1 + PLACED ( 321080 46240 ) FS ;
- mprj_logic_high\[81\] sky130_fd_sc_hd__conb_1 + PLACED ( 426880 10880 ) N ;
- mprj_logic_high\[82\] sky130_fd_sc_hd__conb_1 + PLACED ( 430100 57120 ) FS ;
- mprj_logic_high\[83\] sky130_fd_sc_hd__conb_1 + PLACED ( 213440 13600 ) FS ;
- mprj_logic_high\[84\] sky130_fd_sc_hd__conb_1 + PLACED ( 194120 32640 ) N ;
- mprj_logic_high\[85\] sky130_fd_sc_hd__conb_1 + PLACED ( 80500 38080 ) N ;
- mprj_logic_high\[86\] sky130_fd_sc_hd__conb_1 + PLACED ( 11040 10880 ) N ;
- mprj_logic_high\[87\] sky130_fd_sc_hd__conb_1 + PLACED ( 67160 38080 ) N ;
- mprj_logic_high\[88\] sky130_fd_sc_hd__conb_1 + PLACED ( 12880 13600 ) FS ;
- mprj_logic_high\[89\] sky130_fd_sc_hd__conb_1 + PLACED ( 255760 27200 ) N ;
- mprj_logic_high\[8\] sky130_fd_sc_hd__conb_1 + PLACED ( 831220 29920 ) FS ;
- mprj_logic_high\[90\] sky130_fd_sc_hd__conb_1 + PLACED ( 416760 35360 ) FS ;
- mprj_logic_high\[91\] sky130_fd_sc_hd__conb_1 + PLACED ( 35880 21760 ) N ;
- mprj_logic_high\[92\] sky130_fd_sc_hd__conb_1 + PLACED ( 174340 38080 ) N ;
- mprj_logic_high\[93\] sky130_fd_sc_hd__conb_1 + PLACED ( 47840 19040 ) FS ;
- mprj_logic_high\[94\] sky130_fd_sc_hd__conb_1 + PLACED ( 44620 38080 ) N ;
- mprj_logic_high\[95\] sky130_fd_sc_hd__conb_1 + PLACED ( 59800 16320 ) N ;
- mprj_logic_high\[96\] sky130_fd_sc_hd__conb_1 + PLACED ( 67160 32640 ) N ;
- mprj_logic_high\[97\] sky130_fd_sc_hd__conb_1 + PLACED ( 60260 10880 ) N ;
- mprj_logic_high\[98\] sky130_fd_sc_hd__conb_1 + PLACED ( 90160 32640 ) N ;
- mprj_logic_high\[99\] sky130_fd_sc_hd__conb_1 + PLACED ( 207460 27200 ) N ;
- mprj_logic_high\[9\] sky130_fd_sc_hd__conb_1 + PLACED ( 830300 43520 ) N ;
- mprj_pwrgood sky130_fd_sc_hd__buf_8 + PLACED ( 823860 5440 ) N ;
- mprj_rstn_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 17940 13600 ) FS ;
- mprj_sel_buf\[0\] sky130_fd_sc_hd__einvp_8 + PLACED ( 817880 43520 ) N ;
- mprj_sel_buf\[1\] sky130_fd_sc_hd__einvp_8 + PLACED ( 814200 48960 ) N ;
- mprj_sel_buf\[2\] sky130_fd_sc_hd__einvp_8 + PLACED ( 819260 29920 ) FS ;
- mprj_sel_buf\[3\] sky130_fd_sc_hd__einvp_8 + PLACED ( 816040 46240 ) FS ;
- mprj_stb_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 828000 46240 ) FS ;
- mprj_vdd_pwrgood sky130_fd_sc_hd__buf_8 + PLACED ( 822940 10880 ) N ;
- mprj_we_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 817880 27200 ) N ;
- powergood_check mgmt_protect_hv + FIXED ( 475310 5605 ) N ;
- user_to_mprj_in_buffers\[0\] sky130_fd_sc_hd__inv_8 + PLACED ( 85560 16320 ) N ;
- user_to_mprj_in_buffers\[100\] sky130_fd_sc_hd__inv_8 + PLACED ( 77740 24480 ) FS ;
- user_to_mprj_in_buffers\[101\] sky130_fd_sc_hd__inv_8 + PLACED ( 80960 21760 ) N ;
- user_to_mprj_in_buffers\[102\] sky130_fd_sc_hd__inv_8 + PLACED ( 88780 21760 ) N ;
- user_to_mprj_in_buffers\[103\] sky130_fd_sc_hd__inv_8 + PLACED ( 106260 24480 ) FS ;
- user_to_mprj_in_buffers\[104\] sky130_fd_sc_hd__inv_8 + PLACED ( 91080 19040 ) FS ;
- user_to_mprj_in_buffers\[105\] sky130_fd_sc_hd__inv_8 + PLACED ( 88780 24480 ) FS ;
- user_to_mprj_in_buffers\[106\] sky130_fd_sc_hd__inv_8 + PLACED ( 90160 13600 ) FS ;
- user_to_mprj_in_buffers\[107\] sky130_fd_sc_hd__inv_8 + PLACED ( 100740 10880 ) N ;
- user_to_mprj_in_buffers\[108\] sky130_fd_sc_hd__inv_8 + PLACED ( 99360 13600 ) FS ;
- user_to_mprj_in_buffers\[109\] sky130_fd_sc_hd__inv_8 + PLACED ( 102120 2720 ) FS ;
- user_to_mprj_in_buffers\[10\] sky130_fd_sc_hd__inv_8 + PLACED ( 108560 10880 ) N ;
- user_to_mprj_in_buffers\[110\] sky130_fd_sc_hd__inv_8 + PLACED ( 116840 13600 ) FS ;
- user_to_mprj_in_buffers\[111\] sky130_fd_sc_hd__inv_8 + PLACED ( 119140 10880 ) N ;
- user_to_mprj_in_buffers\[112\] sky130_fd_sc_hd__inv_8 + PLACED ( 119600 16320 ) N ;
- user_to_mprj_in_buffers\[113\] sky130_fd_sc_hd__inv_8 + PLACED ( 124660 13600 ) FS ;
- user_to_mprj_in_buffers\[114\] sky130_fd_sc_hd__inv_8 + PLACED ( 120060 19040 ) FS ;
- user_to_mprj_in_buffers\[115\] sky130_fd_sc_hd__inv_8 + PLACED ( 130180 8160 ) FS ;
- user_to_mprj_in_buffers\[116\] sky130_fd_sc_hd__inv_8 + PLACED ( 117300 27200 ) N ;
- user_to_mprj_in_buffers\[117\] sky130_fd_sc_hd__inv_8 + PLACED ( 111780 16320 ) N ;
- user_to_mprj_in_buffers\[118\] sky130_fd_sc_hd__inv_8 + PLACED ( 127880 19040 ) FS ;
- user_to_mprj_in_buffers\[119\] sky130_fd_sc_hd__inv_8 + PLACED ( 128800 21760 ) N ;
- user_to_mprj_in_buffers\[11\] sky130_fd_sc_hd__inv_8 + PLACED ( 116840 29920 ) FS ;
- user_to_mprj_in_buffers\[120\] sky130_fd_sc_hd__inv_8 + PLACED ( 161460 19040 ) FS ;
- user_to_mprj_in_buffers\[121\] sky130_fd_sc_hd__inv_8 + PLACED ( 161460 21760 ) N ;
- user_to_mprj_in_buffers\[122\] sky130_fd_sc_hd__inv_8 + PLACED ( 149040 29920 ) FS ;
- user_to_mprj_in_buffers\[123\] sky130_fd_sc_hd__inv_8 + PLACED ( 128800 27200 ) N ;
- user_to_mprj_in_buffers\[124\] sky130_fd_sc_hd__inv_8 + PLACED ( 184920 16320 ) N ;
- user_to_mprj_in_buffers\[125\] sky130_fd_sc_hd__inv_8 + PLACED ( 170660 24480 ) FS ;
- user_to_mprj_in_buffers\[126\] sky130_fd_sc_hd__inv_8 + PLACED ( 198720 13600 ) FS ;
- user_to_mprj_in_buffers\[127\] sky130_fd_sc_hd__inv_8 + PLACED ( 134320 16320 ) N ;
- user_to_mprj_in_buffers\[12\] sky130_fd_sc_hd__inv_8 + PLACED ( 142600 13600 ) FS ;
- user_to_mprj_in_buffers\[13\] sky130_fd_sc_hd__inv_8 + PLACED ( 147200 21760 ) N ;
- user_to_mprj_in_buffers\[14\] sky130_fd_sc_hd__inv_8 + PLACED ( 241040 16320 ) N ;
- user_to_mprj_in_buffers\[15\] sky130_fd_sc_hd__inv_8 + PLACED ( 142600 16320 ) N ;
- user_to_mprj_in_buffers\[16\] sky130_fd_sc_hd__inv_8 + PLACED ( 226320 27200 ) N ;
- user_to_mprj_in_buffers\[17\] sky130_fd_sc_hd__inv_8 + PLACED ( 216660 16320 ) N ;
- user_to_mprj_in_buffers\[18\] sky130_fd_sc_hd__inv_8 + PLACED ( 152720 13600 ) FS ;
- user_to_mprj_in_buffers\[19\] sky130_fd_sc_hd__inv_8 + PLACED ( 198720 21760 ) N ;
- user_to_mprj_in_buffers\[1\] sky130_fd_sc_hd__inv_8 + PLACED ( 205160 19040 ) FS ;
- user_to_mprj_in_buffers\[20\] sky130_fd_sc_hd__inv_8 + PLACED ( 238280 24480 ) FS ;
- user_to_mprj_in_buffers\[21\] sky130_fd_sc_hd__inv_8 + PLACED ( 230460 24480 ) FS ;
- user_to_mprj_in_buffers\[22\] sky130_fd_sc_hd__inv_8 + PLACED ( 238280 19040 ) FS ;
- user_to_mprj_in_buffers\[23\] sky130_fd_sc_hd__inv_8 + PLACED ( 242880 13600 ) FS ;
- user_to_mprj_in_buffers\[24\] sky130_fd_sc_hd__inv_8 + PLACED ( 247940 27200 ) N ;
- user_to_mprj_in_buffers\[25\] sky130_fd_sc_hd__inv_8 + PLACED ( 241040 21760 ) N ;
- user_to_mprj_in_buffers\[26\] sky130_fd_sc_hd__inv_8 + PLACED ( 246100 19040 ) FS ;
- user_to_mprj_in_buffers\[27\] sky130_fd_sc_hd__inv_8 + PLACED ( 253920 16320 ) N ;
- user_to_mprj_in_buffers\[28\] sky130_fd_sc_hd__inv_8 + PLACED ( 246100 24480 ) FS ;
- user_to_mprj_in_buffers\[29\] sky130_fd_sc_hd__inv_8 + PLACED ( 180780 13600 ) FS ;
- user_to_mprj_in_buffers\[2\] sky130_fd_sc_hd__inv_8 + PLACED ( 226780 13600 ) FS ;
- user_to_mprj_in_buffers\[30\] sky130_fd_sc_hd__inv_8 + PLACED ( 249320 10880 ) N ;
- user_to_mprj_in_buffers\[31\] sky130_fd_sc_hd__inv_8 + PLACED ( 259900 19040 ) FS ;
- user_to_mprj_in_buffers\[32\] sky130_fd_sc_hd__inv_8 + PLACED ( 269100 21760 ) N ;
- user_to_mprj_in_buffers\[33\] sky130_fd_sc_hd__inv_8 + PLACED ( 267260 24480 ) FS ;
- user_to_mprj_in_buffers\[34\] sky130_fd_sc_hd__inv_8 + PLACED ( 287040 8160 ) FS ;
- user_to_mprj_in_buffers\[35\] sky130_fd_sc_hd__inv_8 + PLACED ( 270020 10880 ) N ;
- user_to_mprj_in_buffers\[36\] sky130_fd_sc_hd__inv_8 + PLACED ( 272320 27200 ) N ;
- user_to_mprj_in_buffers\[37\] sky130_fd_sc_hd__inv_8 + PLACED ( 297160 10880 ) N ;
- user_to_mprj_in_buffers\[38\] sky130_fd_sc_hd__inv_8 + PLACED ( 273240 19040 ) FS ;
- user_to_mprj_in_buffers\[39\] sky130_fd_sc_hd__inv_8 + PLACED ( 304980 10880 ) N ;
- user_to_mprj_in_buffers\[3\] sky130_fd_sc_hd__inv_8 + PLACED ( 267720 13600 ) FS ;
- user_to_mprj_in_buffers\[40\] sky130_fd_sc_hd__inv_8 + PLACED ( 271860 16320 ) N ;
- user_to_mprj_in_buffers\[41\] sky130_fd_sc_hd__inv_8 + PLACED ( 272780 8160 ) FS ;
- user_to_mprj_in_buffers\[42\] sky130_fd_sc_hd__inv_8 + PLACED ( 277840 10880 ) N ;
- user_to_mprj_in_buffers\[43\] sky130_fd_sc_hd__inv_8 + PLACED ( 278300 21760 ) N ;
- user_to_mprj_in_buffers\[44\] sky130_fd_sc_hd__inv_8 + PLACED ( 282900 24480 ) FS ;
- user_to_mprj_in_buffers\[45\] sky130_fd_sc_hd__inv_8 + PLACED ( 280140 27200 ) N ;
- user_to_mprj_in_buffers\[46\] sky130_fd_sc_hd__inv_8 + PLACED ( 287960 27200 ) N ;
- user_to_mprj_in_buffers\[47\] sky130_fd_sc_hd__inv_8 + PLACED ( 310960 16320 ) N ;
- user_to_mprj_in_buffers\[48\] sky130_fd_sc_hd__inv_8 + PLACED ( 21620 57120 ) FS ;
- user_to_mprj_in_buffers\[49\] sky130_fd_sc_hd__inv_8 + PLACED ( 87860 2720 ) FS ;
- user_to_mprj_in_buffers\[4\] sky130_fd_sc_hd__inv_8 + PLACED ( 18860 54400 ) N ;
- user_to_mprj_in_buffers\[50\] sky130_fd_sc_hd__inv_8 + PLACED ( 92460 5440 ) N ;
- user_to_mprj_in_buffers\[51\] sky130_fd_sc_hd__inv_8 + PLACED ( 115460 54400 ) N ;
- user_to_mprj_in_buffers\[52\] sky130_fd_sc_hd__inv_8 + PLACED ( 116380 2720 ) FS ;
- user_to_mprj_in_buffers\[53\] sky130_fd_sc_hd__inv_8 + PLACED ( 116840 57120 ) FS ;
- user_to_mprj_in_buffers\[54\] sky130_fd_sc_hd__inv_8 + PLACED ( 128800 5440 ) N ;
- user_to_mprj_in_buffers\[55\] sky130_fd_sc_hd__inv_8 + PLACED ( 118680 51680 ) FS ;
- user_to_mprj_in_buffers\[56\] sky130_fd_sc_hd__inv_8 + PLACED ( 148580 2720 ) FS ;
- user_to_mprj_in_buffers\[57\] sky130_fd_sc_hd__inv_8 + PLACED ( 130640 57120 ) FS ;
- user_to_mprj_in_buffers\[58\] sky130_fd_sc_hd__inv_8 + PLACED ( 164220 2720 ) FS ;
- user_to_mprj_in_buffers\[59\] sky130_fd_sc_hd__inv_8 + PLACED ( 126500 51680 ) FS ;
- user_to_mprj_in_buffers\[5\] sky130_fd_sc_hd__inv_8 + PLACED ( 176180 2720 ) FS ;
- user_to_mprj_in_buffers\[60\] sky130_fd_sc_hd__inv_8 + PLACED ( 129720 48960 ) N ;
- user_to_mprj_in_buffers\[61\] sky130_fd_sc_hd__inv_8 + PLACED ( 187680 2720 ) FS ;
- user_to_mprj_in_buffers\[62\] sky130_fd_sc_hd__inv_8 + PLACED ( 134320 51680 ) FS ;
- user_to_mprj_in_buffers\[63\] sky130_fd_sc_hd__inv_8 + PLACED ( 195500 5440 ) N ;
- user_to_mprj_in_buffers\[64\] sky130_fd_sc_hd__inv_8 + PLACED ( 142600 51680 ) FS ;
- user_to_mprj_in_buffers\[65\] sky130_fd_sc_hd__inv_8 + PLACED ( 215280 8160 ) FS ;
- user_to_mprj_in_buffers\[66\] sky130_fd_sc_hd__inv_8 + PLACED ( 144900 57120 ) FS ;
- user_to_mprj_in_buffers\[67\] sky130_fd_sc_hd__inv_8 + PLACED ( 216200 2720 ) FS ;
- user_to_mprj_in_buffers\[68\] sky130_fd_sc_hd__inv_8 + PLACED ( 148120 54400 ) N ;
- user_to_mprj_in_buffers\[69\] sky130_fd_sc_hd__inv_8 + PLACED ( 225400 5440 ) N ;
- user_to_mprj_in_buffers\[6\] sky130_fd_sc_hd__inv_8 + PLACED ( 150420 51680 ) FS ;
- user_to_mprj_in_buffers\[70\] sky130_fd_sc_hd__inv_8 + PLACED ( 230460 2720 ) FS ;
- user_to_mprj_in_buffers\[71\] sky130_fd_sc_hd__inv_8 + PLACED ( 159160 57120 ) FS ;
- user_to_mprj_in_buffers\[72\] sky130_fd_sc_hd__inv_8 + PLACED ( 227700 8160 ) FS ;
- user_to_mprj_in_buffers\[73\] sky130_fd_sc_hd__inv_8 + PLACED ( 156860 54400 ) N ;
- user_to_mprj_in_buffers\[74\] sky130_fd_sc_hd__inv_8 + PLACED ( 235520 8160 ) FS ;
- user_to_mprj_in_buffers\[75\] sky130_fd_sc_hd__inv_8 + PLACED ( 158240 51680 ) FS ;
- user_to_mprj_in_buffers\[76\] sky130_fd_sc_hd__inv_8 + PLACED ( 241040 5440 ) N ;
- user_to_mprj_in_buffers\[77\] sky130_fd_sc_hd__inv_8 + PLACED ( 164680 54400 ) N ;
- user_to_mprj_in_buffers\[78\] sky130_fd_sc_hd__inv_8 + PLACED ( 244720 2720 ) FS ;
- user_to_mprj_in_buffers\[79\] sky130_fd_sc_hd__inv_8 + PLACED ( 173420 57120 ) FS ;
- user_to_mprj_in_buffers\[7\] sky130_fd_sc_hd__inv_8 + PLACED ( 243340 8160 ) FS ;
- user_to_mprj_in_buffers\[80\] sky130_fd_sc_hd__inv_8 + PLACED ( 172500 51680 ) FS ;
- user_to_mprj_in_buffers\[81\] sky130_fd_sc_hd__inv_8 + PLACED ( 248860 5440 ) N ;
- user_to_mprj_in_buffers\[82\] sky130_fd_sc_hd__inv_8 + PLACED ( 187680 57120 ) FS ;
- user_to_mprj_in_buffers\[83\] sky130_fd_sc_hd__inv_8 + PLACED ( 279220 2720 ) FS ;
- user_to_mprj_in_buffers\[84\] sky130_fd_sc_hd__inv_8 + PLACED ( 181700 46240 ) FS ;
- user_to_mprj_in_buffers\[85\] sky130_fd_sc_hd__inv_8 + PLACED ( 292560 2720 ) FS ;
- user_to_mprj_in_buffers\[86\] sky130_fd_sc_hd__inv_8 + PLACED ( 196880 54400 ) N ;
- user_to_mprj_in_buffers\[87\] sky130_fd_sc_hd__inv_8 + PLACED ( 301760 2720 ) FS ;
- user_to_mprj_in_buffers\[88\] sky130_fd_sc_hd__inv_8 + PLACED ( 201940 57120 ) FS ;
- user_to_mprj_in_buffers\[89\] sky130_fd_sc_hd__inv_8 + PLACED ( 309120 5440 ) N ;
- user_to_mprj_in_buffers\[8\] sky130_fd_sc_hd__inv_8 + PLACED ( 189520 46240 ) FS ;
- user_to_mprj_in_buffers\[90\] sky130_fd_sc_hd__inv_8 + PLACED ( 317400 2720 ) FS ;
- user_to_mprj_in_buffers\[91\] sky130_fd_sc_hd__inv_8 + PLACED ( 204700 54400 ) N ;
- user_to_mprj_in_buffers\[92\] sky130_fd_sc_hd__inv_8 + PLACED ( 344540 2720 ) FS ;
- user_to_mprj_in_buffers\[93\] sky130_fd_sc_hd__inv_8 + PLACED ( 190440 43520 ) N ;
- user_to_mprj_in_buffers\[94\] sky130_fd_sc_hd__inv_8 + PLACED ( 359260 2720 ) FS ;
- user_to_mprj_in_buffers\[95\] sky130_fd_sc_hd__inv_8 + PLACED ( 200100 48960 ) N ;
- user_to_mprj_in_buffers\[96\] sky130_fd_sc_hd__inv_8 + PLACED ( 379040 2720 ) FS ;
- user_to_mprj_in_buffers\[97\] sky130_fd_sc_hd__inv_8 + PLACED ( 212980 54400 ) N ;
- user_to_mprj_in_buffers\[98\] sky130_fd_sc_hd__inv_8 + PLACED ( 393300 2720 ) FS ;
- user_to_mprj_in_buffers\[99\] sky130_fd_sc_hd__inv_8 + PLACED ( 230460 57120 ) FS ;
- user_to_mprj_in_buffers\[9\] sky130_fd_sc_hd__inv_8 + PLACED ( 402500 2720 ) FS ;
- user_to_mprj_in_gates\[0\] sky130_fd_sc_hd__nand2_4 + PLACED ( 98900 46240 ) FS ;
- user_to_mprj_in_gates\[100\] sky130_fd_sc_hd__nand2_4 + PLACED ( 88320 43520 ) N ;
- user_to_mprj_in_gates\[101\] sky130_fd_sc_hd__nand2_4 + PLACED ( 86480 40800 ) FS ;
- user_to_mprj_in_gates\[102\] sky130_fd_sc_hd__nand2_4 + PLACED ( 78200 40800 ) FS ;
- user_to_mprj_in_gates\[103\] sky130_fd_sc_hd__nand2_4 + PLACED ( 105340 40800 ) FS ;
- user_to_mprj_in_gates\[104\] sky130_fd_sc_hd__nand2_4 + PLACED ( 97520 40800 ) FS ;
- user_to_mprj_in_gates\[105\] sky130_fd_sc_hd__nand2_4 + PLACED ( 92460 38080 ) N ;
- user_to_mprj_in_gates\[106\] sky130_fd_sc_hd__nand2_4 + PLACED ( 100740 43520 ) N ;
- user_to_mprj_in_gates\[107\] sky130_fd_sc_hd__nand2_4 + PLACED ( 102120 38080 ) N ;
- user_to_mprj_in_gates\[108\] sky130_fd_sc_hd__nand2_4 + PLACED ( 106260 35360 ) FS ;
- user_to_mprj_in_gates\[109\] sky130_fd_sc_hd__nand2_4 + PLACED ( 98440 35360 ) FS ;
- user_to_mprj_in_gates\[10\] sky130_fd_sc_hd__nand2_4 + PLACED ( 114540 40800 ) FS ;
- user_to_mprj_in_gates\[110\] sky130_fd_sc_hd__nand2_4 + PLACED ( 124200 40800 ) FS ;
- user_to_mprj_in_gates\[111\] sky130_fd_sc_hd__nand2_4 + PLACED ( 168360 32640 ) N ;
- user_to_mprj_in_gates\[112\] sky130_fd_sc_hd__nand2_4 + PLACED ( 179860 35360 ) FS ;
- user_to_mprj_in_gates\[113\] sky130_fd_sc_hd__nand2_4 + PLACED ( 184920 32640 ) N ;
- user_to_mprj_in_gates\[114\] sky130_fd_sc_hd__nand2_4 + PLACED ( 128800 43520 ) N ;
- user_to_mprj_in_gates\[115\] sky130_fd_sc_hd__nand2_4 + PLACED ( 128800 29920 ) FS ;
- user_to_mprj_in_gates\[116\] sky130_fd_sc_hd__nand2_4 + PLACED ( 116380 48960 ) N ;
- user_to_mprj_in_gates\[117\] sky130_fd_sc_hd__nand2_4 + PLACED ( 128800 38080 ) N ;
- user_to_mprj_in_gates\[118\] sky130_fd_sc_hd__nand2_4 + PLACED ( 130640 46240 ) FS ;
- user_to_mprj_in_gates\[119\] sky130_fd_sc_hd__nand2_4 + PLACED ( 137540 48960 ) N ;
- user_to_mprj_in_gates\[11\] sky130_fd_sc_hd__nand2_4 + PLACED ( 122820 46240 ) FS ;
- user_to_mprj_in_gates\[120\] sky130_fd_sc_hd__nand2_4 + PLACED ( 161460 48960 ) N ;
- user_to_mprj_in_gates\[121\] sky130_fd_sc_hd__nand2_4 + PLACED ( 173880 48960 ) N ;
- user_to_mprj_in_gates\[122\] sky130_fd_sc_hd__nand2_4 + PLACED ( 153640 46240 ) FS ;
- user_to_mprj_in_gates\[123\] sky130_fd_sc_hd__nand2_4 + PLACED ( 137080 43520 ) N ;
- user_to_mprj_in_gates\[124\] sky130_fd_sc_hd__nand2_4 + PLACED ( 183080 40800 ) FS ;
- user_to_mprj_in_gates\[125\] sky130_fd_sc_hd__nand2_4 + PLACED ( 173880 40800 ) FS ;
- user_to_mprj_in_gates\[126\] sky130_fd_sc_hd__nand2_4 + PLACED ( 229080 48960 ) N ;
- user_to_mprj_in_gates\[127\] sky130_fd_sc_hd__nand2_4 + PLACED ( 187680 35360 ) FS ;
- user_to_mprj_in_gates\[12\] sky130_fd_sc_hd__nand2_4 + PLACED ( 199180 38080 ) N ;
- user_to_mprj_in_gates\[13\] sky130_fd_sc_hd__nand2_4 + PLACED ( 208380 46240 ) FS ;
- user_to_mprj_in_gates\[14\] sky130_fd_sc_hd__nand2_4 + PLACED ( 242420 38080 ) N ;
- user_to_mprj_in_gates\[15\] sky130_fd_sc_hd__nand2_4 + PLACED ( 198720 40800 ) FS ;
- user_to_mprj_in_gates\[16\] sky130_fd_sc_hd__nand2_4 + PLACED ( 241040 48960 ) N ;
- user_to_mprj_in_gates\[17\] sky130_fd_sc_hd__nand2_4 + PLACED ( 232300 46240 ) FS ;
- user_to_mprj_in_gates\[18\] sky130_fd_sc_hd__nand2_4 + PLACED ( 200100 43520 ) N ;
- user_to_mprj_in_gates\[19\] sky130_fd_sc_hd__nand2_4 + PLACED ( 200560 46240 ) FS ;
- user_to_mprj_in_gates\[1\] sky130_fd_sc_hd__nand2_4 + PLACED ( 212980 43520 ) N ;
- user_to_mprj_in_gates\[20\] sky130_fd_sc_hd__nand2_4 + PLACED ( 242880 40800 ) FS ;
- user_to_mprj_in_gates\[21\] sky130_fd_sc_hd__nand2_4 + PLACED ( 248860 48960 ) N ;
- user_to_mprj_in_gates\[22\] sky130_fd_sc_hd__nand2_4 + PLACED ( 254840 51680 ) FS ;
- user_to_mprj_in_gates\[23\] sky130_fd_sc_hd__nand2_4 + PLACED ( 255300 43520 ) N ;
- user_to_mprj_in_gates\[24\] sky130_fd_sc_hd__nand2_4 + PLACED ( 254840 46240 ) FS ;
- user_to_mprj_in_gates\[25\] sky130_fd_sc_hd__nand2_4 + PLACED ( 256680 48960 ) N ;
- user_to_mprj_in_gates\[26\] sky130_fd_sc_hd__nand2_4 + PLACED ( 259900 40800 ) FS ;
- user_to_mprj_in_gates\[27\] sky130_fd_sc_hd__nand2_4 + PLACED ( 256680 38080 ) N ;
- user_to_mprj_in_gates\[28\] sky130_fd_sc_hd__nand2_4 + PLACED ( 262660 51680 ) FS ;
- user_to_mprj_in_gates\[29\] sky130_fd_sc_hd__nand2_4 + PLACED ( 212980 32640 ) N ;
- user_to_mprj_in_gates\[2\] sky130_fd_sc_hd__nand2_4 + PLACED ( 223560 32640 ) N ;
- user_to_mprj_in_gates\[30\] sky130_fd_sc_hd__nand2_4 + PLACED ( 254840 32640 ) N ;
- user_to_mprj_in_gates\[31\] sky130_fd_sc_hd__nand2_4 + PLACED ( 274160 46240 ) FS ;
- user_to_mprj_in_gates\[32\] sky130_fd_sc_hd__nand2_4 + PLACED ( 274620 51680 ) FS ;
- user_to_mprj_in_gates\[33\] sky130_fd_sc_hd__nand2_4 + PLACED ( 276460 48960 ) N ;
- user_to_mprj_in_gates\[34\] sky130_fd_sc_hd__nand2_4 + PLACED ( 287040 32640 ) N ;
- user_to_mprj_in_gates\[35\] sky130_fd_sc_hd__nand2_4 + PLACED ( 270940 38080 ) N ;
- user_to_mprj_in_gates\[36\] sky130_fd_sc_hd__nand2_4 + PLACED ( 282440 54400 ) N ;
- user_to_mprj_in_gates\[37\] sky130_fd_sc_hd__nand2_4 + PLACED ( 291640 40800 ) FS ;
- user_to_mprj_in_gates\[38\] sky130_fd_sc_hd__nand2_4 + PLACED ( 282900 40800 ) FS ;
- user_to_mprj_in_gates\[39\] sky130_fd_sc_hd__nand2_4 + PLACED ( 299460 40800 ) FS ;
- user_to_mprj_in_gates\[3\] sky130_fd_sc_hd__nand2_4 + PLACED ( 274160 40800 ) FS ;
- user_to_mprj_in_gates\[40\] sky130_fd_sc_hd__nand2_4 + PLACED ( 281060 43520 ) N ;
- user_to_mprj_in_gates\[41\] sky130_fd_sc_hd__nand2_4 + PLACED ( 282900 35360 ) FS ;
- user_to_mprj_in_gates\[42\] sky130_fd_sc_hd__nand2_4 + PLACED ( 288880 43520 ) N ;
- user_to_mprj_in_gates\[43\] sky130_fd_sc_hd__nand2_4 + PLACED ( 287500 46240 ) FS ;
- user_to_mprj_in_gates\[44\] sky130_fd_sc_hd__nand2_4 + PLACED ( 295320 46240 ) FS ;
- user_to_mprj_in_gates\[45\] sky130_fd_sc_hd__nand2_4 + PLACED ( 284280 48960 ) N ;
- user_to_mprj_in_gates\[46\] sky130_fd_sc_hd__nand2_4 + PLACED ( 297160 48960 ) N ;
- user_to_mprj_in_gates\[47\] sky130_fd_sc_hd__nand2_4 + PLACED ( 315560 43520 ) N ;
- user_to_mprj_in_gates\[48\] sky130_fd_sc_hd__nand2_4 + PLACED ( 21620 51680 ) FS ;
- user_to_mprj_in_gates\[49\] sky130_fd_sc_hd__nand2_4 + PLACED ( 79580 2720 ) FS ;
- user_to_mprj_in_gates\[4\] sky130_fd_sc_hd__nand2_4 + PLACED ( 18860 48960 ) N ;
- user_to_mprj_in_gates\[50\] sky130_fd_sc_hd__nand2_4 + PLACED ( 92460 10880 ) N ;
- user_to_mprj_in_gates\[51\] sky130_fd_sc_hd__nand2_4 + PLACED ( 228160 54400 ) N ;
- user_to_mprj_in_gates\[52\] sky130_fd_sc_hd__nand2_4 + PLACED ( 103960 16320 ) N ;
- user_to_mprj_in_gates\[53\] sky130_fd_sc_hd__nand2_4 + PLACED ( 231840 51680 ) FS ;
- user_to_mprj_in_gates\[54\] sky130_fd_sc_hd__nand2_4 + PLACED ( 128800 10880 ) N ;
- user_to_mprj_in_gates\[55\] sky130_fd_sc_hd__nand2_4 + PLACED ( 244720 57120 ) FS ;
- user_to_mprj_in_gates\[56\] sky130_fd_sc_hd__nand2_4 + PLACED ( 148580 8160 ) FS ;
- user_to_mprj_in_gates\[57\] sky130_fd_sc_hd__nand2_4 + PLACED ( 287500 57120 ) FS ;
- user_to_mprj_in_gates\[58\] sky130_fd_sc_hd__nand2_4 + PLACED ( 168820 5440 ) N ;
- user_to_mprj_in_gates\[59\] sky130_fd_sc_hd__nand2_4 + PLACED ( 297160 54400 ) N ;
- user_to_mprj_in_gates\[5\] sky130_fd_sc_hd__nand2_4 + PLACED ( 176640 5440 ) N ;
- user_to_mprj_in_gates\[60\] sky130_fd_sc_hd__nand2_4 + PLACED ( 279220 57120 ) FS ;
- user_to_mprj_in_gates\[61\] sky130_fd_sc_hd__nand2_4 + PLACED ( 184920 5440 ) N ;
- user_to_mprj_in_gates\[62\] sky130_fd_sc_hd__nand2_4 + PLACED ( 274620 54400 ) N ;
- user_to_mprj_in_gates\[63\] sky130_fd_sc_hd__nand2_4 + PLACED ( 193660 10880 ) N ;
- user_to_mprj_in_gates\[64\] sky130_fd_sc_hd__nand2_4 + PLACED ( 297620 51680 ) FS ;
- user_to_mprj_in_gates\[65\] sky130_fd_sc_hd__nand2_4 + PLACED ( 220340 10880 ) N ;
- user_to_mprj_in_gates\[66\] sky130_fd_sc_hd__nand2_4 + PLACED ( 264960 57120 ) FS ;
- user_to_mprj_in_gates\[67\] sky130_fd_sc_hd__nand2_4 + PLACED ( 207920 2720 ) FS ;
- user_to_mprj_in_gates\[68\] sky130_fd_sc_hd__nand2_4 + PLACED ( 260820 54400 ) N ;
- user_to_mprj_in_gates\[69\] sky130_fd_sc_hd__nand2_4 + PLACED ( 218500 13600 ) FS ;
- user_to_mprj_in_gates\[6\] sky130_fd_sc_hd__nand2_4 + PLACED ( 270020 43520 ) N ;
- user_to_mprj_in_gates\[70\] sky130_fd_sc_hd__nand2_4 + PLACED ( 228160 10880 ) N ;
- user_to_mprj_in_gates\[71\] sky130_fd_sc_hd__nand2_4 + PLACED ( 266340 46240 ) FS ;
- user_to_mprj_in_gates\[72\] sky130_fd_sc_hd__nand2_4 + PLACED ( 228620 16320 ) N ;
- user_to_mprj_in_gates\[73\] sky130_fd_sc_hd__nand2_4 + PLACED ( 304980 54400 ) N ;
- user_to_mprj_in_gates\[74\] sky130_fd_sc_hd__nand2_4 + PLACED ( 258980 2720 ) FS ;
- user_to_mprj_in_gates\[75\] sky130_fd_sc_hd__nand2_4 + PLACED ( 305900 57120 ) FS ;
- user_to_mprj_in_gates\[76\] sky130_fd_sc_hd__nand2_4 + PLACED ( 269100 5440 ) N ;
- user_to_mprj_in_gates\[77\] sky130_fd_sc_hd__nand2_4 + PLACED ( 322000 57120 ) FS ;
- user_to_mprj_in_gates\[78\] sky130_fd_sc_hd__nand2_4 + PLACED ( 276920 5440 ) N ;
- user_to_mprj_in_gates\[79\] sky130_fd_sc_hd__nand2_4 + PLACED ( 343160 54400 ) N ;
- user_to_mprj_in_gates\[7\] sky130_fd_sc_hd__nand2_4 + PLACED ( 284740 5440 ) N ;
- user_to_mprj_in_gates\[80\] sky130_fd_sc_hd__nand2_4 + PLACED ( 344540 57120 ) FS ;
- user_to_mprj_in_gates\[81\] sky130_fd_sc_hd__nand2_4 + PLACED ( 285660 10880 ) N ;
- user_to_mprj_in_gates\[82\] sky130_fd_sc_hd__nand2_4 + PLACED ( 343160 51680 ) FS ;
- user_to_mprj_in_gates\[83\] sky130_fd_sc_hd__nand2_4 + PLACED ( 294860 8160 ) FS ;
- user_to_mprj_in_gates\[84\] sky130_fd_sc_hd__nand2_4 + PLACED ( 353280 54400 ) N ;
- user_to_mprj_in_gates\[85\] sky130_fd_sc_hd__nand2_4 + PLACED ( 302680 8160 ) FS ;
- user_to_mprj_in_gates\[86\] sky130_fd_sc_hd__nand2_4 + PLACED ( 353280 48960 ) N ;
- user_to_mprj_in_gates\[87\] sky130_fd_sc_hd__nand2_4 + PLACED ( 301760 13600 ) FS ;
- user_to_mprj_in_gates\[88\] sky130_fd_sc_hd__nand2_4 + PLACED ( 358800 57120 ) FS ;
- user_to_mprj_in_gates\[89\] sky130_fd_sc_hd__nand2_4 + PLACED ( 310960 8160 ) FS ;
- user_to_mprj_in_gates\[8\] sky130_fd_sc_hd__nand2_4 + PLACED ( 361100 48960 ) N ;
- user_to_mprj_in_gates\[90\] sky130_fd_sc_hd__nand2_4 + PLACED ( 316940 5440 ) N ;
- user_to_mprj_in_gates\[91\] sky130_fd_sc_hd__nand2_4 + PLACED ( 367080 51680 ) FS ;
- user_to_mprj_in_gates\[92\] sky130_fd_sc_hd__nand2_4 + PLACED ( 339020 8160 ) FS ;
- user_to_mprj_in_gates\[93\] sky130_fd_sc_hd__nand2_4 + PLACED ( 373060 57120 ) FS ;
- user_to_mprj_in_gates\[94\] sky130_fd_sc_hd__nand2_4 + PLACED ( 356960 5440 ) N ;
- user_to_mprj_in_gates\[95\] sky130_fd_sc_hd__nand2_4 + PLACED ( 368920 48960 ) N ;
- user_to_mprj_in_gates\[96\] sky130_fd_sc_hd__nand2_4 + PLACED ( 381340 10880 ) N ;
- user_to_mprj_in_gates\[97\] sky130_fd_sc_hd__nand2_4 + PLACED ( 381340 54400 ) N ;
- user_to_mprj_in_gates\[98\] sky130_fd_sc_hd__nand2_4 + PLACED ( 395140 5440 ) N ;
- user_to_mprj_in_gates\[99\] sky130_fd_sc_hd__nand2_4 + PLACED ( 370760 46240 ) FS ;
- user_to_mprj_in_gates\[9\] sky130_fd_sc_hd__nand2_4 + PLACED ( 402960 8160 ) FS ;
- user_to_mprj_oen_buffers\[0\] sky130_fd_sc_hd__einvp_8 + PLACED ( 425040 48960 ) N ;
- user_to_mprj_oen_buffers\[100\] sky130_fd_sc_hd__einvp_8 + PLACED ( 437460 43520 ) N ;
- user_to_mprj_oen_buffers\[101\] sky130_fd_sc_hd__einvp_8 + PLACED ( 437460 38080 ) N ;
- user_to_mprj_oen_buffers\[102\] sky130_fd_sc_hd__einvp_8 + PLACED ( 449420 43520 ) N ;
- user_to_mprj_oen_buffers\[103\] sky130_fd_sc_hd__einvp_8 + PLACED ( 432860 46240 ) FS ;
- user_to_mprj_oen_buffers\[104\] sky130_fd_sc_hd__einvp_8 + PLACED ( 438380 40800 ) FS ;
- user_to_mprj_oen_buffers\[105\] sky130_fd_sc_hd__einvp_8 + PLACED ( 437460 48960 ) N ;
- user_to_mprj_oen_buffers\[106\] sky130_fd_sc_hd__einvp_8 + PLACED ( 451260 40800 ) FS ;
- user_to_mprj_oen_buffers\[107\] sky130_fd_sc_hd__einvp_8 + PLACED ( 449420 38080 ) N ;
- user_to_mprj_oen_buffers\[108\] sky130_fd_sc_hd__einvp_8 + PLACED ( 441600 32640 ) N ;
- user_to_mprj_oen_buffers\[109\] sky130_fd_sc_hd__einvp_8 + PLACED ( 437460 27200 ) N ;
- user_to_mprj_oen_buffers\[10\] sky130_fd_sc_hd__einvp_8 + PLACED ( 438840 35360 ) FS ;
- user_to_mprj_oen_buffers\[110\] sky130_fd_sc_hd__einvp_8 + PLACED ( 438840 24480 ) FS ;
- user_to_mprj_oen_buffers\[111\] sky130_fd_sc_hd__einvp_8 + PLACED ( 471500 43520 ) N ;
- user_to_mprj_oen_buffers\[112\] sky130_fd_sc_hd__einvp_8 + PLACED ( 451260 29920 ) FS ;
- user_to_mprj_oen_buffers\[113\] sky130_fd_sc_hd__einvp_8 + PLACED ( 443900 21760 ) N ;
- user_to_mprj_oen_buffers\[114\] sky130_fd_sc_hd__einvp_8 + PLACED ( 460920 51680 ) FS ;
- user_to_mprj_oen_buffers\[115\] sky130_fd_sc_hd__einvp_8 + PLACED ( 515200 24480 ) FS ;
- user_to_mprj_oen_buffers\[116\] sky130_fd_sc_hd__einvp_8 + PLACED ( 457240 46240 ) FS ;
- user_to_mprj_oen_buffers\[117\] sky130_fd_sc_hd__einvp_8 + PLACED ( 464140 40800 ) FS ;
- user_to_mprj_oen_buffers\[118\] sky130_fd_sc_hd__einvp_8 + PLACED ( 452180 48960 ) N ;
- user_to_mprj_oen_buffers\[119\] sky130_fd_sc_hd__einvp_8 + PLACED ( 465520 48960 ) N ;
- user_to_mprj_oen_buffers\[11\] sky130_fd_sc_hd__einvp_8 + PLACED ( 458620 57120 ) FS ;
- user_to_mprj_oen_buffers\[120\] sky130_fd_sc_hd__einvp_8 + PLACED ( 465520 54400 ) N ;
- user_to_mprj_oen_buffers\[121\] sky130_fd_sc_hd__einvp_8 + PLACED ( 493580 43520 ) N ;
- user_to_mprj_oen_buffers\[122\] sky130_fd_sc_hd__einvp_8 + PLACED ( 482080 46240 ) FS ;
- user_to_mprj_oen_buffers\[123\] sky130_fd_sc_hd__einvp_8 + PLACED ( 480240 40800 ) FS ;
- user_to_mprj_oen_buffers\[124\] sky130_fd_sc_hd__einvp_8 + PLACED ( 492200 40800 ) FS ;
- user_to_mprj_oen_buffers\[125\] sky130_fd_sc_hd__einvp_8 + PLACED ( 567180 32640 ) N ;
- user_to_mprj_oen_buffers\[126\] sky130_fd_sc_hd__einvp_8 + PLACED ( 494040 46240 ) FS ;
- user_to_mprj_oen_buffers\[127\] sky130_fd_sc_hd__einvp_8 + PLACED ( 486680 51680 ) FS ;
- user_to_mprj_oen_buffers\[12\] sky130_fd_sc_hd__einvp_8 + PLACED ( 512900 35360 ) FS ;
- user_to_mprj_oen_buffers\[13\] sky130_fd_sc_hd__einvp_8 + PLACED ( 566720 35360 ) FS ;
- user_to_mprj_oen_buffers\[14\] sky130_fd_sc_hd__einvp_8 + PLACED ( 581440 38080 ) N ;
- user_to_mprj_oen_buffers\[15\] sky130_fd_sc_hd__einvp_8 + PLACED ( 498180 48960 ) N ;
- user_to_mprj_oen_buffers\[16\] sky130_fd_sc_hd__einvp_8 + PLACED ( 511060 51680 ) FS ;
- user_to_mprj_oen_buffers\[17\] sky130_fd_sc_hd__einvp_8 + PLACED ( 561200 38080 ) N ;
- user_to_mprj_oen_buffers\[18\] sky130_fd_sc_hd__einvp_8 + PLACED ( 594780 35360 ) FS ;
- user_to_mprj_oen_buffers\[19\] sky130_fd_sc_hd__einvp_8 + PLACED ( 515660 46240 ) FS ;
- user_to_mprj_oen_buffers\[1\] sky130_fd_sc_hd__einvp_8 + PLACED ( 515200 40800 ) FS ;
- user_to_mprj_oen_buffers\[20\] sky130_fd_sc_hd__einvp_8 + PLACED ( 577760 43520 ) N ;
- user_to_mprj_oen_buffers\[21\] sky130_fd_sc_hd__einvp_8 + PLACED ( 599380 40800 ) FS ;
- user_to_mprj_oen_buffers\[22\] sky130_fd_sc_hd__einvp_8 + PLACED ( 606740 35360 ) FS ;
- user_to_mprj_oen_buffers\[23\] sky130_fd_sc_hd__einvp_8 + PLACED ( 540500 46240 ) FS ;
- user_to_mprj_oen_buffers\[24\] sky130_fd_sc_hd__einvp_8 + PLACED ( 535440 40800 ) FS ;
- user_to_mprj_oen_buffers\[25\] sky130_fd_sc_hd__einvp_8 + PLACED ( 604440 29920 ) FS ;
- user_to_mprj_oen_buffers\[26\] sky130_fd_sc_hd__einvp_8 + PLACED ( 569940 46240 ) FS ;
- user_to_mprj_oen_buffers\[27\] sky130_fd_sc_hd__einvp_8 + PLACED ( 578680 35360 ) FS ;
- user_to_mprj_oen_buffers\[28\] sky130_fd_sc_hd__einvp_8 + PLACED ( 594320 46240 ) FS ;
- user_to_mprj_oen_buffers\[29\] sky130_fd_sc_hd__einvp_8 + PLACED ( 609040 32640 ) N ;
- user_to_mprj_oen_buffers\[2\] sky130_fd_sc_hd__einvp_8 + PLACED ( 614100 27200 ) N ;
- user_to_mprj_oen_buffers\[30\] sky130_fd_sc_hd__einvp_8 + PLACED ( 626980 35360 ) FS ;
- user_to_mprj_oen_buffers\[31\] sky130_fd_sc_hd__einvp_8 + PLACED ( 606280 43520 ) N ;
- user_to_mprj_oen_buffers\[32\] sky130_fd_sc_hd__einvp_8 + PLACED ( 637100 32640 ) N ;
- user_to_mprj_oen_buffers\[33\] sky130_fd_sc_hd__einvp_8 + PLACED ( 621920 46240 ) FS ;
- user_to_mprj_oen_buffers\[34\] sky130_fd_sc_hd__einvp_8 + PLACED ( 628360 40800 ) FS ;
- user_to_mprj_oen_buffers\[35\] sky130_fd_sc_hd__einvp_8 + PLACED ( 628360 51680 ) FS ;
- user_to_mprj_oen_buffers\[36\] sky130_fd_sc_hd__einvp_8 + PLACED ( 607200 46240 ) FS ;
- user_to_mprj_oen_buffers\[37\] sky130_fd_sc_hd__einvp_8 + PLACED ( 649060 32640 ) N ;
- user_to_mprj_oen_buffers\[38\] sky130_fd_sc_hd__einvp_8 + PLACED ( 605820 48960 ) N ;
- user_to_mprj_oen_buffers\[39\] sky130_fd_sc_hd__einvp_8 + PLACED ( 639860 48960 ) N ;
- user_to_mprj_oen_buffers\[3\] sky130_fd_sc_hd__einvp_8 + PLACED ( 644920 27200 ) N ;
- user_to_mprj_oen_buffers\[40\] sky130_fd_sc_hd__einvp_8 + PLACED ( 637560 43520 ) N ;
- user_to_mprj_oen_buffers\[41\] sky130_fd_sc_hd__einvp_8 + PLACED ( 647680 40800 ) FS ;
- user_to_mprj_oen_buffers\[42\] sky130_fd_sc_hd__einvp_8 + PLACED ( 649520 43520 ) N ;
- user_to_mprj_oen_buffers\[43\] sky130_fd_sc_hd__einvp_8 + PLACED ( 643080 54400 ) N ;
- user_to_mprj_oen_buffers\[44\] sky130_fd_sc_hd__einvp_8 + PLACED ( 661020 35360 ) FS ;
- user_to_mprj_oen_buffers\[45\] sky130_fd_sc_hd__einvp_8 + PLACED ( 665160 38080 ) N ;
- user_to_mprj_oen_buffers\[46\] sky130_fd_sc_hd__einvp_8 + PLACED ( 661940 48960 ) N ;
- user_to_mprj_oen_buffers\[47\] sky130_fd_sc_hd__einvp_8 + PLACED ( 674360 32640 ) N ;
- user_to_mprj_oen_buffers\[48\] sky130_fd_sc_hd__einvp_8 + PLACED ( 477480 54400 ) N ;
- user_to_mprj_oen_buffers\[49\] sky130_fd_sc_hd__einvp_8 + PLACED ( 569020 8160 ) FS ;
- user_to_mprj_oen_buffers\[4\] sky130_fd_sc_hd__einvp_8 + PLACED ( 480240 48960 ) N ;
- user_to_mprj_oen_buffers\[50\] sky130_fd_sc_hd__einvp_8 + PLACED ( 569480 2720 ) FS ;
- user_to_mprj_oen_buffers\[51\] sky130_fd_sc_hd__einvp_8 + PLACED ( 509220 54400 ) N ;
- user_to_mprj_oen_buffers\[52\] sky130_fd_sc_hd__einvp_8 + PLACED ( 592020 5440 ) N ;
- user_to_mprj_oen_buffers\[53\] sky130_fd_sc_hd__einvp_8 + PLACED ( 523020 51680 ) FS ;
- user_to_mprj_oen_buffers\[54\] sky130_fd_sc_hd__einvp_8 + PLACED ( 619620 5440 ) N ;
- user_to_mprj_oen_buffers\[55\] sky130_fd_sc_hd__einvp_8 + PLACED ( 515660 57120 ) FS ;
- user_to_mprj_oen_buffers\[56\] sky130_fd_sc_hd__einvp_8 + PLACED ( 627900 8160 ) FS ;
- user_to_mprj_oen_buffers\[57\] sky130_fd_sc_hd__einvp_8 + PLACED ( 538200 51680 ) FS ;
- user_to_mprj_oen_buffers\[58\] sky130_fd_sc_hd__einvp_8 + PLACED ( 676660 5440 ) N ;
- user_to_mprj_oen_buffers\[59\] sky130_fd_sc_hd__einvp_8 + PLACED ( 550160 51680 ) FS ;
- user_to_mprj_oen_buffers\[5\] sky130_fd_sc_hd__einvp_8 + PLACED ( 693220 5440 ) N ;
- user_to_mprj_oen_buffers\[60\] sky130_fd_sc_hd__einvp_8 + PLACED ( 497260 54400 ) N ;
- user_to_mprj_oen_buffers\[61\] sky130_fd_sc_hd__einvp_8 + PLACED ( 685400 8160 ) FS ;
- user_to_mprj_oen_buffers\[62\] sky130_fd_sc_hd__einvp_8 + PLACED ( 533140 54400 ) N ;
- user_to_mprj_oen_buffers\[63\] sky130_fd_sc_hd__einvp_8 + PLACED ( 682180 2720 ) FS ;
- user_to_mprj_oen_buffers\[64\] sky130_fd_sc_hd__einvp_8 + PLACED ( 544640 57120 ) FS ;
- user_to_mprj_oen_buffers\[65\] sky130_fd_sc_hd__einvp_8 + PLACED ( 693220 10880 ) N ;
- user_to_mprj_oen_buffers\[66\] sky130_fd_sc_hd__einvp_8 + PLACED ( 556140 54400 ) N ;
- user_to_mprj_oen_buffers\[67\] sky130_fd_sc_hd__einvp_8 + PLACED ( 715760 8160 ) FS ;
- user_to_mprj_oen_buffers\[68\] sky130_fd_sc_hd__einvp_8 + PLACED ( 566260 51680 ) FS ;
- user_to_mprj_oen_buffers\[69\] sky130_fd_sc_hd__einvp_8 + PLACED ( 739220 8160 ) FS ;
- user_to_mprj_oen_buffers\[6\] sky130_fd_sc_hd__einvp_8 + PLACED ( 577760 54400 ) N ;
- user_to_mprj_oen_buffers\[70\] sky130_fd_sc_hd__einvp_8 + PLACED ( 511520 2720 ) FS ;
- user_to_mprj_oen_buffers\[71\] sky130_fd_sc_hd__einvp_8 + PLACED ( 558440 57120 ) FS ;
- user_to_mprj_oen_buffers\[72\] sky130_fd_sc_hd__einvp_8 + PLACED ( 511520 10880 ) N ;
- user_to_mprj_oen_buffers\[73\] sky130_fd_sc_hd__einvp_8 + PLACED ( 564420 48960 ) N ;
- user_to_mprj_oen_buffers\[74\] sky130_fd_sc_hd__einvp_8 + PLACED ( 548780 8160 ) FS ;
- user_to_mprj_oen_buffers\[75\] sky130_fd_sc_hd__einvp_8 + PLACED ( 572700 57120 ) FS ;
- user_to_mprj_oen_buffers\[76\] sky130_fd_sc_hd__einvp_8 + PLACED ( 581900 2720 ) FS ;
- user_to_mprj_oen_buffers\[77\] sky130_fd_sc_hd__einvp_8 + PLACED ( 578220 51680 ) FS ;
- user_to_mprj_oen_buffers\[78\] sky130_fd_sc_hd__einvp_8 + PLACED ( 596160 2720 ) FS ;
- user_to_mprj_oen_buffers\[79\] sky130_fd_sc_hd__einvp_8 + PLACED ( 597540 51680 ) FS ;
- user_to_mprj_oen_buffers\[7\] sky130_fd_sc_hd__einvp_8 + PLACED ( 645840 5440 ) N ;
- user_to_mprj_oen_buffers\[80\] sky130_fd_sc_hd__einvp_8 + PLACED ( 621460 54400 ) N ;
- user_to_mprj_oen_buffers\[81\] sky130_fd_sc_hd__einvp_8 + PLACED ( 669300 2720 ) FS ;
- user_to_mprj_oen_buffers\[82\] sky130_fd_sc_hd__einvp_8 + PLACED ( 647680 51680 ) FS ;
- user_to_mprj_oen_buffers\[83\] sky130_fd_sc_hd__einvp_8 + PLACED ( 695980 2720 ) FS ;
- user_to_mprj_oen_buffers\[84\] sky130_fd_sc_hd__einvp_8 + PLACED ( 648600 46240 ) FS ;
- user_to_mprj_oen_buffers\[85\] sky130_fd_sc_hd__einvp_8 + PLACED ( 705640 5440 ) N ;
- user_to_mprj_oen_buffers\[86\] sky130_fd_sc_hd__einvp_8 + PLACED ( 589720 54400 ) N ;
- user_to_mprj_oen_buffers\[87\] sky130_fd_sc_hd__einvp_8 + PLACED ( 725880 2720 ) FS ;
- user_to_mprj_oen_buffers\[88\] sky130_fd_sc_hd__einvp_8 + PLACED ( 601220 57120 ) FS ;
- user_to_mprj_oen_buffers\[89\] sky130_fd_sc_hd__einvp_8 + PLACED ( 753020 2720 ) FS ;
- user_to_mprj_oen_buffers\[8\] sky130_fd_sc_hd__einvp_8 + PLACED ( 631580 57120 ) FS ;
- user_to_mprj_oen_buffers\[90\] sky130_fd_sc_hd__einvp_8 + PLACED ( 791200 5440 ) N ;
- user_to_mprj_oen_buffers\[91\] sky130_fd_sc_hd__einvp_8 + PLACED ( 658260 57120 ) FS ;
- user_to_mprj_oen_buffers\[92\] sky130_fd_sc_hd__einvp_8 + PLACED ( 824780 2720 ) FS ;
- user_to_mprj_oen_buffers\[93\] sky130_fd_sc_hd__einvp_8 + PLACED ( 671140 54400 ) N ;
- user_to_mprj_oen_buffers\[94\] sky130_fd_sc_hd__einvp_8 + PLACED ( 778780 5440 ) N ;
- user_to_mprj_oen_buffers\[95\] sky130_fd_sc_hd__einvp_8 + PLACED ( 680800 51680 ) FS ;
- user_to_mprj_oen_buffers\[96\] sky130_fd_sc_hd__einvp_8 + PLACED ( 783380 2720 ) FS ;
- user_to_mprj_oen_buffers\[97\] sky130_fd_sc_hd__einvp_8 + PLACED ( 690000 48960 ) N ;
- user_to_mprj_oen_buffers\[98\] sky130_fd_sc_hd__einvp_8 + PLACED ( 797180 2720 ) FS ;
- user_to_mprj_oen_buffers\[99\] sky130_fd_sc_hd__einvp_8 + PLACED ( 701960 48960 ) N ;
- user_to_mprj_oen_buffers\[9\] sky130_fd_sc_hd__einvp_8 + PLACED ( 810060 2720 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 5440 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 5440 ) FN ;
- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 8160 ) FS ;
- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 8160 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 10880 ) N ;
- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 10880 ) FN ;
- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 13600 ) FS ;
- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 13600 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 16320 ) N ;
- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 16320 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 19040 ) FS ;
- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 19040 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 21760 ) N ;
- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 21760 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 24480 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 24480 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 27200 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 27200 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 29920 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 29920 ) S ;
- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 32640 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 32640 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 35360 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 35360 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 38080 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 38080 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 40800 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 40800 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 43520 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 43520 ) FN ;
- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 46240 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 46240 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 48960 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 48960 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 51680 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 51680 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 54400 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 54400 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 57120 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 57120 ) S ;
- PHY_40 sky130_fd_sc_hd__decap_3 + FIXED ( 1840 2720 ) FS ;
- PHY_41 sky130_fd_sc_hd__decap_3 + FIXED ( 463680 2720 ) S ;
- PHY_42 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 2720 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 2720 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 5440 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 5440 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 8160 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 8160 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 10880 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 10880 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 13600 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 13600 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 16320 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 16320 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 19040 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 19040 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 21760 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 21760 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 24480 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 24480 ) S ;
- PHY_60 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 27200 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 27200 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 29920 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 29920 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 32640 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 32640 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 35360 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 35360 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + FIXED ( 510140 38080 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + FIXED ( 846400 38080 ) FN ;
- PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 5440 ) N ;
- PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 5440 ) N ;
- PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 5440 ) N ;
- PHY_73 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 5440 ) N ;
- PHY_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 5440 ) N ;
- PHY_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 5440 ) N ;
- PHY_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 5440 ) N ;
- PHY_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 5440 ) N ;
- PHY_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 5440 ) N ;
- PHY_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 5440 ) N ;
- PHY_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 5440 ) N ;
- PHY_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 5440 ) N ;
- PHY_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 5440 ) N ;
- PHY_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 5440 ) N ;
- PHY_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 5440 ) N ;
- PHY_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 5440 ) N ;
- PHY_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 8160 ) FS ;
- PHY_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 8160 ) FS ;
- PHY_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 8160 ) FS ;
- PHY_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 8160 ) FS ;
- PHY_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 8160 ) FS ;
- PHY_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 8160 ) FS ;
- PHY_92 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 8160 ) FS ;
- PHY_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 8160 ) FS ;
- PHY_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 8160 ) FS ;
- PHY_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 8160 ) FS ;
- PHY_96 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 8160 ) FS ;
- PHY_97 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 8160 ) FS ;
- PHY_98 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 8160 ) FS ;
- PHY_99 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 8160 ) FS ;
- PHY_100 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 8160 ) FS ;
- PHY_101 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 8160 ) FS ;
- PHY_102 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 10880 ) N ;
- PHY_103 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 10880 ) N ;
- PHY_104 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 10880 ) N ;
- PHY_105 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 10880 ) N ;
- PHY_106 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 10880 ) N ;
- PHY_107 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 10880 ) N ;
- PHY_108 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 10880 ) N ;
- PHY_109 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 10880 ) N ;
- PHY_110 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 10880 ) N ;
- PHY_111 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 10880 ) N ;
- PHY_112 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 10880 ) N ;
- PHY_113 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 10880 ) N ;
- PHY_114 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 10880 ) N ;
- PHY_115 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 10880 ) N ;
- PHY_116 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 10880 ) N ;
- PHY_117 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 10880 ) N ;
- PHY_118 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 13600 ) FS ;
- PHY_119 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 13600 ) FS ;
- PHY_120 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 13600 ) FS ;
- PHY_121 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 13600 ) FS ;
- PHY_122 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 13600 ) FS ;
- PHY_123 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 13600 ) FS ;
- PHY_124 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 13600 ) FS ;
- PHY_125 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 13600 ) FS ;
- PHY_126 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 13600 ) FS ;
- PHY_127 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 13600 ) FS ;
- PHY_128 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 13600 ) FS ;
- PHY_129 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 13600 ) FS ;
- PHY_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 13600 ) FS ;
- PHY_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 13600 ) FS ;
- PHY_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 13600 ) FS ;
- PHY_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 13600 ) FS ;
- PHY_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 16320 ) N ;
- PHY_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 16320 ) N ;
- PHY_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 16320 ) N ;
- PHY_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 16320 ) N ;
- PHY_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 16320 ) N ;
- PHY_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 16320 ) N ;
- PHY_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 16320 ) N ;
- PHY_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 16320 ) N ;
- PHY_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 16320 ) N ;
- PHY_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 16320 ) N ;
- PHY_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 16320 ) N ;
- PHY_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 16320 ) N ;
- PHY_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 16320 ) N ;
- PHY_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 16320 ) N ;
- PHY_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 16320 ) N ;
- PHY_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 16320 ) N ;
- PHY_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 19040 ) FS ;
- PHY_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 19040 ) FS ;
- PHY_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 19040 ) FS ;
- PHY_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 19040 ) FS ;
- PHY_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 19040 ) FS ;
- PHY_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 19040 ) FS ;
- PHY_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 19040 ) FS ;
- PHY_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 19040 ) FS ;
- PHY_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 19040 ) FS ;
- PHY_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 19040 ) FS ;
- PHY_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 19040 ) FS ;
- PHY_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 19040 ) FS ;
- PHY_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 19040 ) FS ;
- PHY_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 19040 ) FS ;
- PHY_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 19040 ) FS ;
- PHY_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 19040 ) FS ;
- PHY_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 21760 ) N ;
- PHY_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 21760 ) N ;
- PHY_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 21760 ) N ;
- PHY_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 21760 ) N ;
- PHY_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 21760 ) N ;
- PHY_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 21760 ) N ;
- PHY_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 21760 ) N ;
- PHY_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 21760 ) N ;
- PHY_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 21760 ) N ;
- PHY_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 21760 ) N ;
- PHY_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 21760 ) N ;
- PHY_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 21760 ) N ;
- PHY_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 21760 ) N ;
- PHY_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 21760 ) N ;
- PHY_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 21760 ) N ;
- PHY_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 21760 ) N ;
- PHY_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 24480 ) FS ;
- PHY_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 24480 ) FS ;
- PHY_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 24480 ) FS ;
- PHY_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 24480 ) FS ;
- PHY_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 24480 ) FS ;
- PHY_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 24480 ) FS ;
- PHY_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 24480 ) FS ;
- PHY_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 24480 ) FS ;
- PHY_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 24480 ) FS ;
- PHY_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 24480 ) FS ;
- PHY_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 24480 ) FS ;
- PHY_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 24480 ) FS ;
- PHY_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 24480 ) FS ;
- PHY_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 24480 ) FS ;
- PHY_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 24480 ) FS ;
- PHY_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 24480 ) FS ;
- PHY_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 27200 ) N ;
- PHY_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 27200 ) N ;
- PHY_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 27200 ) N ;
- PHY_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 27200 ) N ;
- PHY_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 27200 ) N ;
- PHY_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 27200 ) N ;
- PHY_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 27200 ) N ;
- PHY_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 27200 ) N ;
- PHY_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 27200 ) N ;
- PHY_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 27200 ) N ;
- PHY_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 27200 ) N ;
- PHY_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 27200 ) N ;
- PHY_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 27200 ) N ;
- PHY_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 27200 ) N ;
- PHY_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 27200 ) N ;
- PHY_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 27200 ) N ;
- PHY_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 29920 ) FS ;
- PHY_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 29920 ) FS ;
- PHY_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 29920 ) FS ;
- PHY_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 29920 ) FS ;
- PHY_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 29920 ) FS ;
- PHY_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 29920 ) FS ;
- PHY_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 29920 ) FS ;
- PHY_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 29920 ) FS ;
- PHY_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 29920 ) FS ;
- PHY_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 29920 ) FS ;
- PHY_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 29920 ) FS ;
- PHY_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 29920 ) FS ;
- PHY_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 29920 ) FS ;
- PHY_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 29920 ) FS ;
- PHY_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 29920 ) FS ;
- PHY_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 29920 ) FS ;
- PHY_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 32640 ) N ;
- PHY_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 32640 ) N ;
- PHY_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 32640 ) N ;
- PHY_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 32640 ) N ;
- PHY_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 32640 ) N ;
- PHY_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 32640 ) N ;
- PHY_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 32640 ) N ;
- PHY_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 32640 ) N ;
- PHY_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 32640 ) N ;
- PHY_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 32640 ) N ;
- PHY_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 32640 ) N ;
- PHY_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 32640 ) N ;
- PHY_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 32640 ) N ;
- PHY_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 32640 ) N ;
- PHY_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 32640 ) N ;
- PHY_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 32640 ) N ;
- PHY_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 35360 ) FS ;
- PHY_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 35360 ) FS ;
- PHY_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 35360 ) FS ;
- PHY_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 35360 ) FS ;
- PHY_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 35360 ) FS ;
- PHY_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 35360 ) FS ;
- PHY_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 35360 ) FS ;
- PHY_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 35360 ) FS ;
- PHY_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 35360 ) FS ;
- PHY_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 35360 ) FS ;
- PHY_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 35360 ) FS ;
- PHY_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 35360 ) FS ;
- PHY_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 35360 ) FS ;
- PHY_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 35360 ) FS ;
- PHY_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 35360 ) FS ;
- PHY_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 35360 ) FS ;
- PHY_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 38080 ) N ;
- PHY_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 38080 ) N ;
- PHY_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 38080 ) N ;
- PHY_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 38080 ) N ;
- PHY_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 38080 ) N ;
- PHY_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 38080 ) N ;
- PHY_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 38080 ) N ;
- PHY_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 38080 ) N ;
- PHY_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 38080 ) N ;
- PHY_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 38080 ) N ;
- PHY_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 38080 ) N ;
- PHY_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 38080 ) N ;
- PHY_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 38080 ) N ;
- PHY_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 38080 ) N ;
- PHY_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 38080 ) N ;
- PHY_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 38080 ) N ;
- PHY_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 40800 ) FS ;
- PHY_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 40800 ) FS ;
- PHY_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 40800 ) FS ;
- PHY_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 40800 ) FS ;
- PHY_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 40800 ) FS ;
- PHY_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 40800 ) FS ;
- PHY_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 40800 ) FS ;
- PHY_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 40800 ) FS ;
- PHY_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 40800 ) FS ;
- PHY_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 40800 ) FS ;
- PHY_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 40800 ) FS ;
- PHY_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 40800 ) FS ;
- PHY_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 40800 ) FS ;
- PHY_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 40800 ) FS ;
- PHY_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 40800 ) FS ;
- PHY_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 40800 ) FS ;
- PHY_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 478860 40800 ) FS ;
- PHY_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 506920 40800 ) FS ;
- PHY_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 534980 40800 ) FS ;
- PHY_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 563040 40800 ) FS ;
- PHY_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 591100 40800 ) FS ;
- PHY_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 619160 40800 ) FS ;
- PHY_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 647220 40800 ) FS ;
- PHY_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 675280 40800 ) FS ;
- PHY_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 703340 40800 ) FS ;
- PHY_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 731400 40800 ) FS ;
- PHY_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 759460 40800 ) FS ;
- PHY_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 787520 40800 ) FS ;
- PHY_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 815580 40800 ) FS ;
- PHY_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 843640 40800 ) FS ;
- PHY_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 43520 ) N ;
- PHY_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 43520 ) N ;
- PHY_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 43520 ) N ;
- PHY_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 43520 ) N ;
- PHY_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 43520 ) N ;
- PHY_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 43520 ) N ;
- PHY_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 43520 ) N ;
- PHY_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 43520 ) N ;
- PHY_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 43520 ) N ;
- PHY_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 43520 ) N ;
- PHY_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 43520 ) N ;
- PHY_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 43520 ) N ;
- PHY_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 43520 ) N ;
- PHY_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 43520 ) N ;
- PHY_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 43520 ) N ;
- PHY_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 43520 ) N ;
- PHY_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 465060 43520 ) N ;
- PHY_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 493120 43520 ) N ;
- PHY_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 521180 43520 ) N ;
- PHY_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 549240 43520 ) N ;
- PHY_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 577300 43520 ) N ;
- PHY_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 605360 43520 ) N ;
- PHY_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 633420 43520 ) N ;
- PHY_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 661480 43520 ) N ;
- PHY_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 689540 43520 ) N ;
- PHY_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 717600 43520 ) N ;
- PHY_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 745660 43520 ) N ;
- PHY_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 773720 43520 ) N ;
- PHY_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 801780 43520 ) N ;
- PHY_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 829840 43520 ) N ;
- PHY_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 46240 ) FS ;
- PHY_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 46240 ) FS ;
- PHY_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 46240 ) FS ;
- PHY_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 46240 ) FS ;
- PHY_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 46240 ) FS ;
- PHY_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 46240 ) FS ;
- PHY_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 46240 ) FS ;
- PHY_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 46240 ) FS ;
- PHY_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 46240 ) FS ;
- PHY_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 46240 ) FS ;
- PHY_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 46240 ) FS ;
- PHY_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 46240 ) FS ;
- PHY_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 46240 ) FS ;
- PHY_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 46240 ) FS ;
- PHY_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 46240 ) FS ;
- PHY_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 46240 ) FS ;
- PHY_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 478860 46240 ) FS ;
- PHY_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 506920 46240 ) FS ;
- PHY_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 534980 46240 ) FS ;
- PHY_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 563040 46240 ) FS ;
- PHY_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 591100 46240 ) FS ;
- PHY_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 619160 46240 ) FS ;
- PHY_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 647220 46240 ) FS ;
- PHY_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 675280 46240 ) FS ;
- PHY_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 703340 46240 ) FS ;
- PHY_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 731400 46240 ) FS ;
- PHY_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 759460 46240 ) FS ;
- PHY_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 787520 46240 ) FS ;
- PHY_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 815580 46240 ) FS ;
- PHY_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 843640 46240 ) FS ;
- PHY_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 48960 ) N ;
- PHY_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 48960 ) N ;
- PHY_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 48960 ) N ;
- PHY_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 48960 ) N ;
- PHY_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 48960 ) N ;
- PHY_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 48960 ) N ;
- PHY_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 48960 ) N ;
- PHY_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 48960 ) N ;
- PHY_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 48960 ) N ;
- PHY_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 48960 ) N ;
- PHY_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 48960 ) N ;
- PHY_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 48960 ) N ;
- PHY_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 48960 ) N ;
- PHY_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 48960 ) N ;
- PHY_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 48960 ) N ;
- PHY_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 48960 ) N ;
- PHY_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 465060 48960 ) N ;
- PHY_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 493120 48960 ) N ;
- PHY_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 521180 48960 ) N ;
- PHY_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 549240 48960 ) N ;
- PHY_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 577300 48960 ) N ;
- PHY_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 605360 48960 ) N ;
- PHY_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 633420 48960 ) N ;
- PHY_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 661480 48960 ) N ;
- PHY_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 689540 48960 ) N ;
- PHY_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 717600 48960 ) N ;
- PHY_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 745660 48960 ) N ;
- PHY_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 773720 48960 ) N ;
- PHY_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 801780 48960 ) N ;
- PHY_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 829840 48960 ) N ;
- PHY_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 29900 51680 ) FS ;
- PHY_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 57960 51680 ) FS ;
- PHY_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 86020 51680 ) FS ;
- PHY_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 114080 51680 ) FS ;
- PHY_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 142140 51680 ) FS ;
- PHY_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 170200 51680 ) FS ;
- PHY_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 198260 51680 ) FS ;
- PHY_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 226320 51680 ) FS ;
- PHY_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 254380 51680 ) FS ;
- PHY_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 282440 51680 ) FS ;
- PHY_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 310500 51680 ) FS ;
- PHY_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 338560 51680 ) FS ;
- PHY_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 366620 51680 ) FS ;
- PHY_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 394680 51680 ) FS ;
- PHY_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 422740 51680 ) FS ;
- PHY_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 450800 51680 ) FS ;
- PHY_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 478860 51680 ) FS ;
- PHY_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 506920 51680 ) FS ;
- PHY_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 534980 51680 ) FS ;
- PHY_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 563040 51680 ) FS ;
- PHY_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 591100 51680 ) FS ;
- PHY_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 619160 51680 ) FS ;
- PHY_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 647220 51680 ) FS ;
- PHY_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 675280 51680 ) FS ;
- PHY_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 703340 51680 ) FS ;
- PHY_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 731400 51680 ) FS ;
- PHY_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 759460 51680 ) FS ;
- PHY_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 787520 51680 ) FS ;
- PHY_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 815580 51680 ) FS ;
- PHY_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 843640 51680 ) FS ;
- PHY_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 54400 ) N ;
- PHY_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44160 54400 ) N ;
- PHY_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 72220 54400 ) N ;
- PHY_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 100280 54400 ) N ;
- PHY_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 128340 54400 ) N ;
- PHY_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 156400 54400 ) N ;
- PHY_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 184460 54400 ) N ;
- PHY_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 212520 54400 ) N ;
- PHY_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 240580 54400 ) N ;
- PHY_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 268640 54400 ) N ;
- PHY_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 296700 54400 ) N ;
- PHY_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 324760 54400 ) N ;
- PHY_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 352820 54400 ) N ;
- PHY_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 380880 54400 ) N ;
- PHY_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 408940 54400 ) N ;
- PHY_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 437000 54400 ) N ;
- PHY_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 465060 54400 ) N ;
- PHY_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 493120 54400 ) N ;
- PHY_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 521180 54400 ) N ;
- PHY_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 549240 54400 ) N ;
- PHY_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 577300 54400 ) N ;
- PHY_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 605360 54400 ) N ;
- PHY_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 633420 54400 ) N ;
- PHY_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 661480 54400 ) N ;
- PHY_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 689540 54400 ) N ;
- PHY_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 717600 54400 ) N ;
- PHY_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 745660 54400 ) N ;
- PHY_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 773720 54400 ) N ;
- PHY_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 801780 54400 ) N ;
- PHY_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 829840 54400 ) N ;
- PHY_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 57120 ) FS ;
- PHY_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 30360 57120 ) FS ;
- PHY_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44620 57120 ) FS ;
- PHY_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 58880 57120 ) FS ;
- PHY_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 73140 57120 ) FS ;
- PHY_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 87400 57120 ) FS ;
- PHY_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 101660 57120 ) FS ;
- PHY_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 115920 57120 ) FS ;
- PHY_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 130180 57120 ) FS ;
- PHY_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 144440 57120 ) FS ;
- PHY_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 158700 57120 ) FS ;
- PHY_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 172960 57120 ) FS ;
- PHY_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 187220 57120 ) FS ;
- PHY_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 201480 57120 ) FS ;
- PHY_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 215740 57120 ) FS ;
- PHY_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 230000 57120 ) FS ;
- PHY_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 244260 57120 ) FS ;
- PHY_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 258520 57120 ) FS ;
- PHY_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 272780 57120 ) FS ;
- PHY_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 287040 57120 ) FS ;
- PHY_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 301300 57120 ) FS ;
- PHY_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 315560 57120 ) FS ;
- PHY_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 329820 57120 ) FS ;
- PHY_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 344080 57120 ) FS ;
- PHY_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 358340 57120 ) FS ;
- PHY_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 372600 57120 ) FS ;
- PHY_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 386860 57120 ) FS ;
- PHY_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 401120 57120 ) FS ;
- PHY_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 415380 57120 ) FS ;
- PHY_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 429640 57120 ) FS ;
- PHY_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 443900 57120 ) FS ;
- PHY_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 458160 57120 ) FS ;
- PHY_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 472420 57120 ) FS ;
- PHY_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 486680 57120 ) FS ;
- PHY_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 500940 57120 ) FS ;
- PHY_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 515200 57120 ) FS ;
- PHY_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 529460 57120 ) FS ;
- PHY_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 543720 57120 ) FS ;
- PHY_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 557980 57120 ) FS ;
- PHY_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 572240 57120 ) FS ;
- PHY_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 586500 57120 ) FS ;
- PHY_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 600760 57120 ) FS ;
- PHY_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 615020 57120 ) FS ;
- PHY_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 629280 57120 ) FS ;
- PHY_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 643540 57120 ) FS ;
- PHY_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 657800 57120 ) FS ;
- PHY_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 672060 57120 ) FS ;
- PHY_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 686320 57120 ) FS ;
- PHY_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 700580 57120 ) FS ;
- PHY_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 714840 57120 ) FS ;
- PHY_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 729100 57120 ) FS ;
- PHY_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 743360 57120 ) FS ;
- PHY_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 757620 57120 ) FS ;
- PHY_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 771880 57120 ) FS ;
- PHY_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 786140 57120 ) FS ;
- PHY_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 800400 57120 ) FS ;
- PHY_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 814660 57120 ) FS ;
- PHY_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 828920 57120 ) FS ;
- PHY_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 843180 57120 ) FS ;
- PHY_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 16100 2720 ) FS ;
- PHY_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 30360 2720 ) FS ;
- PHY_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 44620 2720 ) FS ;
- PHY_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 58880 2720 ) FS ;
- PHY_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 73140 2720 ) FS ;
- PHY_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 87400 2720 ) FS ;
- PHY_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 101660 2720 ) FS ;
- PHY_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 115920 2720 ) FS ;
- PHY_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 130180 2720 ) FS ;
- PHY_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 144440 2720 ) FS ;
- PHY_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 158700 2720 ) FS ;
- PHY_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 172960 2720 ) FS ;
- PHY_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 187220 2720 ) FS ;
- PHY_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 201480 2720 ) FS ;
- PHY_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 215740 2720 ) FS ;
- PHY_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 230000 2720 ) FS ;
- PHY_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 244260 2720 ) FS ;
- PHY_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 258520 2720 ) FS ;
- PHY_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 272780 2720 ) FS ;
- PHY_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 287040 2720 ) FS ;
- PHY_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 301300 2720 ) FS ;
- PHY_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 315560 2720 ) FS ;
- PHY_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 329820 2720 ) FS ;
- PHY_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 344080 2720 ) FS ;
- PHY_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 358340 2720 ) FS ;
- PHY_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 372600 2720 ) FS ;
- PHY_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 386860 2720 ) FS ;
- PHY_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 401120 2720 ) FS ;
- PHY_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 415380 2720 ) FS ;
- PHY_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 429640 2720 ) FS ;
- PHY_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 443900 2720 ) FS ;
- PHY_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 458160 2720 ) FS ;
- PHY_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 2720 ) FS ;
- PHY_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538660 2720 ) FS ;
- PHY_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552920 2720 ) FS ;
- PHY_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 567180 2720 ) FS ;
- PHY_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 581440 2720 ) FS ;
- PHY_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 595700 2720 ) FS ;
- PHY_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 609960 2720 ) FS ;
- PHY_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 624220 2720 ) FS ;
- PHY_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 638480 2720 ) FS ;
- PHY_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 652740 2720 ) FS ;
- PHY_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 667000 2720 ) FS ;
- PHY_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 681260 2720 ) FS ;
- PHY_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 695520 2720 ) FS ;
- PHY_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 709780 2720 ) FS ;
- PHY_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 724040 2720 ) FS ;
- PHY_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 738300 2720 ) FS ;
- PHY_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 752560 2720 ) FS ;
- PHY_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 766820 2720 ) FS ;
- PHY_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 781080 2720 ) FS ;
- PHY_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 795340 2720 ) FS ;
- PHY_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 809600 2720 ) FS ;
- PHY_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 823860 2720 ) FS ;
- PHY_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 838120 2720 ) FS ;
- PHY_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 5440 ) N ;
- PHY_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 5440 ) N ;
- PHY_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 5440 ) N ;
- PHY_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 5440 ) N ;
- PHY_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 5440 ) N ;
- PHY_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 5440 ) N ;
- PHY_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 5440 ) N ;
- PHY_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 5440 ) N ;
- PHY_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 5440 ) N ;
- PHY_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 5440 ) N ;
- PHY_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 5440 ) N ;
- PHY_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 5440 ) N ;
- PHY_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 8160 ) FS ;
- PHY_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 8160 ) FS ;
- PHY_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 8160 ) FS ;
- PHY_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 8160 ) FS ;
- PHY_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 8160 ) FS ;
- PHY_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 8160 ) FS ;
- PHY_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 8160 ) FS ;
- PHY_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 8160 ) FS ;
- PHY_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 8160 ) FS ;
- PHY_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 8160 ) FS ;
- PHY_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 8160 ) FS ;
- PHY_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 10880 ) N ;
- PHY_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 10880 ) N ;
- PHY_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 10880 ) N ;
- PHY_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 10880 ) N ;
- PHY_599 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 10880 ) N ;
- PHY_600 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 10880 ) N ;
- PHY_601 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 10880 ) N ;
- PHY_602 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 10880 ) N ;
- PHY_603 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 10880 ) N ;
- PHY_604 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 10880 ) N ;
- PHY_605 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 10880 ) N ;
- PHY_606 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 10880 ) N ;
- PHY_607 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 13600 ) FS ;
- PHY_608 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 13600 ) FS ;
- PHY_609 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 13600 ) FS ;
- PHY_610 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 13600 ) FS ;
- PHY_611 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 13600 ) FS ;
- PHY_612 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 13600 ) FS ;
- PHY_613 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 13600 ) FS ;
- PHY_614 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 13600 ) FS ;
- PHY_615 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 13600 ) FS ;
- PHY_616 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 13600 ) FS ;
- PHY_617 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 13600 ) FS ;
- PHY_618 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 16320 ) N ;
- PHY_619 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 16320 ) N ;
- PHY_620 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 16320 ) N ;
- PHY_621 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 16320 ) N ;
- PHY_622 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 16320 ) N ;
- PHY_623 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 16320 ) N ;
- PHY_624 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 16320 ) N ;
- PHY_625 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 16320 ) N ;
- PHY_626 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 16320 ) N ;
- PHY_627 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 16320 ) N ;
- PHY_628 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 16320 ) N ;
- PHY_629 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 16320 ) N ;
- PHY_630 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 19040 ) FS ;
- PHY_631 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 19040 ) FS ;
- PHY_632 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 19040 ) FS ;
- PHY_633 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 19040 ) FS ;
- PHY_634 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 19040 ) FS ;
- PHY_635 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 19040 ) FS ;
- PHY_636 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 19040 ) FS ;
- PHY_637 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 19040 ) FS ;
- PHY_638 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 19040 ) FS ;
- PHY_639 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 19040 ) FS ;
- PHY_640 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 19040 ) FS ;
- PHY_641 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 21760 ) N ;
- PHY_642 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 21760 ) N ;
- PHY_643 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 21760 ) N ;
- PHY_644 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 21760 ) N ;
- PHY_645 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 21760 ) N ;
- PHY_646 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 21760 ) N ;
- PHY_647 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 21760 ) N ;
- PHY_648 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 21760 ) N ;
- PHY_649 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 21760 ) N ;
- PHY_650 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 21760 ) N ;
- PHY_651 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 21760 ) N ;
- PHY_652 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 21760 ) N ;
- PHY_653 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 24480 ) FS ;
- PHY_654 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 24480 ) FS ;
- PHY_655 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 24480 ) FS ;
- PHY_656 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 24480 ) FS ;
- PHY_657 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 24480 ) FS ;
- PHY_658 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 24480 ) FS ;
- PHY_659 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 24480 ) FS ;
- PHY_660 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 24480 ) FS ;
- PHY_661 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 24480 ) FS ;
- PHY_662 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 24480 ) FS ;
- PHY_663 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 24480 ) FS ;
- PHY_664 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 27200 ) N ;
- PHY_665 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 27200 ) N ;
- PHY_666 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 27200 ) N ;
- PHY_667 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 27200 ) N ;
- PHY_668 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 27200 ) N ;
- PHY_669 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 27200 ) N ;
- PHY_670 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 27200 ) N ;
- PHY_671 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 27200 ) N ;
- PHY_672 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 27200 ) N ;
- PHY_673 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 27200 ) N ;
- PHY_674 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 27200 ) N ;
- PHY_675 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 27200 ) N ;
- PHY_676 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 29920 ) FS ;
- PHY_677 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 29920 ) FS ;
- PHY_678 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 29920 ) FS ;
- PHY_679 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 29920 ) FS ;
- PHY_680 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 29920 ) FS ;
- PHY_681 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 29920 ) FS ;
- PHY_682 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 29920 ) FS ;
- PHY_683 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 29920 ) FS ;
- PHY_684 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 29920 ) FS ;
- PHY_685 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 29920 ) FS ;
- PHY_686 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 29920 ) FS ;
- PHY_687 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 32640 ) N ;
- PHY_688 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 32640 ) N ;
- PHY_689 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 32640 ) N ;
- PHY_690 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 32640 ) N ;
- PHY_691 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 32640 ) N ;
- PHY_692 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 32640 ) N ;
- PHY_693 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 32640 ) N ;
- PHY_694 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 32640 ) N ;
- PHY_695 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 32640 ) N ;
- PHY_696 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 32640 ) N ;
- PHY_697 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 32640 ) N ;
- PHY_698 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 32640 ) N ;
- PHY_699 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 538200 35360 ) FS ;
- PHY_700 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 566260 35360 ) FS ;
- PHY_701 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 594320 35360 ) FS ;
- PHY_702 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 622380 35360 ) FS ;
- PHY_703 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 650440 35360 ) FS ;
- PHY_704 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 678500 35360 ) FS ;
- PHY_705 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 706560 35360 ) FS ;
- PHY_706 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 734620 35360 ) FS ;
- PHY_707 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 762680 35360 ) FS ;
- PHY_708 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 790740 35360 ) FS ;
- PHY_709 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 818800 35360 ) FS ;
- PHY_710 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 524400 38080 ) N ;
- PHY_711 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 552460 38080 ) N ;
- PHY_712 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 580520 38080 ) N ;
- PHY_713 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 608580 38080 ) N ;
- PHY_714 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 636640 38080 ) N ;
- PHY_715 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 664700 38080 ) N ;
- PHY_716 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 692760 38080 ) N ;
- PHY_717 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 720820 38080 ) N ;
- PHY_718 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 748880 38080 ) N ;
- PHY_719 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 776940 38080 ) N ;
- PHY_720 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 805000 38080 ) N ;
- PHY_721 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 833060 38080 ) N ;
- ANTENNA__398__A sky130_fd_sc_hd__diode_2 + PLACED ( 799020 10880 ) N ;
- ANTENNA__399__A sky130_fd_sc_hd__diode_2 + PLACED ( 827540 16320 ) N ;
- ANTENNA__396__A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[100\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 93380 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[101\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 89700 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[102\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 82800 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[103\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 108560 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[104\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[105\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 97520 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[106\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 107640 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[107\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[108\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 111320 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[109\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 104420 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 119600 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[110\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 127420 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[111\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[112\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[113\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 189980 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[114\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[115\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 27200 ) N ;
- ANTENNA_user_to_mprj_in_gates\[116\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 121440 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[117\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[118\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 135700 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[119\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 142600 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[120\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[121\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 178940 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[122\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 157780 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[123\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 142140 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[124\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 188140 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[125\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 177100 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[126\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 234140 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[127\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 191820 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 204240 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 211600 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247480 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 206080 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 246100 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 235520 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 205160 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 207000 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 218040 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 249320 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 253920 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 261740 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 260360 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 262200 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 263580 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 263120 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 259900 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 265880 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 218040 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 228620 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 259900 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 277380 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[32\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 281520 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[33\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 283360 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[34\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 292100 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[35\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 276000 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[36\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 287500 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[37\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 294860 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[38\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 287960 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[39\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 302680 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 277840 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[40\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 286120 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[41\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 286120 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[42\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 293940 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[43\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 292560 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[44\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 299460 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[45\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 289340 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[46\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 302220 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[47\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 320620 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[48\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[49\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 84640 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[50\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 97520 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[51\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 233220 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[52\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 16320 ) N ;
- ANTENNA_user_to_mprj_in_gates\[53\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 235980 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[54\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[55\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247480 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[56\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[57\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 292560 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[58\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 173880 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[59\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 302220 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 181700 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[60\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 281520 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[61\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 189980 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[62\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 279680 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[63\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 198720 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[64\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 304060 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[65\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 225400 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[66\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 271860 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[67\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[68\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 265880 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[69\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 275080 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[70\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 233220 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[71\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 271400 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[72\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 16320 ) N ;
- ANTENNA_user_to_mprj_in_gates\[73\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 310040 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[74\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 264040 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[75\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 311880 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[76\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 274160 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[77\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 327520 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[78\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 281980 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[79\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 348220 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 289800 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[80\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 350060 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[81\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 290720 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[82\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 346840 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[83\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 299920 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[84\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 358340 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[85\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 306360 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[86\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 358340 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[87\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 304060 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[88\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 361560 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[89\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 314180 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 366160 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[90\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 322000 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[91\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 373980 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[92\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 344080 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[93\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 376740 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[94\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 362020 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[95\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 375820 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[96\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 386400 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[97\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 386400 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[98\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 400200 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[99\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 376740 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 406180 5440 ) N ;
- ANTENNA__471__A sky130_fd_sc_hd__diode_2 + PLACED ( 315100 10880 ) N ;
- ANTENNA__571__A sky130_fd_sc_hd__diode_2 + PLACED ( 282900 10880 ) N ;
- ANTENNA__572__A sky130_fd_sc_hd__diode_2 + PLACED ( 310960 10880 ) N ;
- ANTENNA__573__A sky130_fd_sc_hd__diode_2 + PLACED ( 293020 10880 ) N ;
- ANTENNA__574__A sky130_fd_sc_hd__diode_2 + PLACED ( 321080 8160 ) FS ;
- ANTENNA__575__A sky130_fd_sc_hd__diode_2 + PLACED ( 308200 16320 ) N ;
- ANTENNA__576__A sky130_fd_sc_hd__diode_2 + PLACED ( 293020 16320 ) N ;
- ANTENNA__577__A sky130_fd_sc_hd__diode_2 + PLACED ( 310040 16320 ) N ;
- ANTENNA__578__A sky130_fd_sc_hd__diode_2 + PLACED ( 281980 16320 ) N ;
- ANTENNA__579__A sky130_fd_sc_hd__diode_2 + PLACED ( 320160 10880 ) N ;
- ANTENNA__580__A sky130_fd_sc_hd__diode_2 + PLACED ( 316020 16320 ) N ;
- ANTENNA__481__A sky130_fd_sc_hd__diode_2 + PLACED ( 265880 10880 ) N ;
- ANTENNA__581__A sky130_fd_sc_hd__diode_2 + PLACED ( 321080 16320 ) N ;
- ANTENNA__582__A sky130_fd_sc_hd__diode_2 + PLACED ( 126500 2720 ) FS ;
- ANTENNA__583__A sky130_fd_sc_hd__diode_2 + PLACED ( 327520 5440 ) N ;
- ANTENNA__584__A sky130_fd_sc_hd__diode_2 + PLACED ( 316940 10880 ) N ;
- ANTENNA__585__A sky130_fd_sc_hd__diode_2 + PLACED ( 135700 10880 ) N ;
- ANTENNA__586__A sky130_fd_sc_hd__diode_2 + PLACED ( 334420 10880 ) N ;
- ANTENNA__587__A sky130_fd_sc_hd__diode_2 + PLACED ( 206540 10880 ) N ;
- ANTENNA__588__A sky130_fd_sc_hd__diode_2 + PLACED ( 334880 5440 ) N ;
- ANTENNA__589__A sky130_fd_sc_hd__diode_2 + PLACED ( 339940 16320 ) N ;
- ANTENNA__590__A sky130_fd_sc_hd__diode_2 + PLACED ( 107640 10880 ) N ;
- ANTENNA__482__A sky130_fd_sc_hd__diode_2 + PLACED ( 339480 10880 ) N ;
- ANTENNA__591__A sky130_fd_sc_hd__diode_2 + PLACED ( 304980 16320 ) N ;
- ANTENNA__592__A sky130_fd_sc_hd__diode_2 + PLACED ( 341780 16320 ) N ;
- ANTENNA__593__A sky130_fd_sc_hd__diode_2 + PLACED ( 354660 2720 ) FS ;
- ANTENNA__594__A sky130_fd_sc_hd__diode_2 + PLACED ( 349600 16320 ) N ;
- ANTENNA__595__A sky130_fd_sc_hd__diode_2 + PLACED ( 355580 16320 ) N ;
- ANTENNA__596__A sky130_fd_sc_hd__diode_2 + PLACED ( 322000 10880 ) N ;
- ANTENNA__597__A sky130_fd_sc_hd__diode_2 + PLACED ( 365700 16320 ) N ;
- ANTENNA__598__A sky130_fd_sc_hd__diode_2 + PLACED ( 360640 16320 ) N ;
- ANTENNA__483__A sky130_fd_sc_hd__diode_2 + PLACED ( 348220 10880 ) N ;
- ANTENNA__484__A sky130_fd_sc_hd__diode_2 + PLACED ( 361100 10880 ) N ;
- ANTENNA__485__A sky130_fd_sc_hd__diode_2 + PLACED ( 329360 5440 ) N ;
- ANTENNA__486__A sky130_fd_sc_hd__diode_2 + PLACED ( 369380 8160 ) FS ;
- ANTENNA__487__A sky130_fd_sc_hd__diode_2 + PLACED ( 424120 5440 ) N ;
- ANTENNA__488__A sky130_fd_sc_hd__diode_2 + PLACED ( 354660 5440 ) N ;
- ANTENNA__489__A sky130_fd_sc_hd__diode_2 + PLACED ( 357420 10880 ) N ;
- ANTENNA__490__A sky130_fd_sc_hd__diode_2 + PLACED ( 369380 10880 ) N ;
- ANTENNA__472__A sky130_fd_sc_hd__diode_2 + PLACED ( 349600 5440 ) N ;
- ANTENNA__491__A sky130_fd_sc_hd__diode_2 + PLACED ( 350060 10880 ) N ;
- ANTENNA__492__A sky130_fd_sc_hd__diode_2 + PLACED ( 363860 5440 ) N ;
- ANTENNA__493__A sky130_fd_sc_hd__diode_2 + PLACED ( 355580 10880 ) N ;
- ANTENNA__494__A sky130_fd_sc_hd__diode_2 + PLACED ( 371220 10880 ) N ;
- ANTENNA__495__A sky130_fd_sc_hd__diode_2 + PLACED ( 342240 10880 ) N ;
- ANTENNA__496__A sky130_fd_sc_hd__diode_2 + PLACED ( 351900 10880 ) N ;
- ANTENNA__497__A sky130_fd_sc_hd__diode_2 + PLACED ( 390540 5440 ) N ;
- ANTENNA__498__A sky130_fd_sc_hd__diode_2 + PLACED ( 362480 16320 ) N ;
- ANTENNA__499__A sky130_fd_sc_hd__diode_2 + PLACED ( 389620 2720 ) FS ;
- ANTENNA__500__A sky130_fd_sc_hd__diode_2 + PLACED ( 374900 10880 ) N ;
- ANTENNA__473__A sky130_fd_sc_hd__diode_2 + PLACED ( 394220 5440 ) N ;
- ANTENNA__501__A sky130_fd_sc_hd__diode_2 + PLACED ( 405720 10880 ) N ;
- ANTENNA__502__A sky130_fd_sc_hd__diode_2 + PLACED ( 411700 10880 ) N ;
- ANTENNA__503__A sky130_fd_sc_hd__diode_2 + PLACED ( 402040 5440 ) N ;
- ANTENNA__504__A sky130_fd_sc_hd__diode_2 + PLACED ( 411700 5440 ) N ;
- ANTENNA__505__A sky130_fd_sc_hd__diode_2 + PLACED ( 336720 5440 ) N ;
- ANTENNA__506__A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 10880 ) N ;
- ANTENNA__507__A sky130_fd_sc_hd__diode_2 + PLACED ( 413540 5440 ) N ;
- ANTENNA__508__A sky130_fd_sc_hd__diode_2 + PLACED ( 269560 2720 ) FS ;
- ANTENNA__509__A sky130_fd_sc_hd__diode_2 + PLACED ( 418140 2720 ) FS ;
- ANTENNA__510__A sky130_fd_sc_hd__diode_2 + PLACED ( 275540 10880 ) N ;
- ANTENNA__474__A sky130_fd_sc_hd__diode_2 + PLACED ( 412620 2720 ) FS ;
- ANTENNA__511__A sky130_fd_sc_hd__diode_2 + PLACED ( 419060 10880 ) N ;
- ANTENNA__512__A sky130_fd_sc_hd__diode_2 + PLACED ( 423200 2720 ) FS ;
- ANTENNA__513__A sky130_fd_sc_hd__diode_2 + PLACED ( 217580 10880 ) N ;
- ANTENNA__514__A sky130_fd_sc_hd__diode_2 + PLACED ( 419060 8160 ) FS ;
- ANTENNA__515__A sky130_fd_sc_hd__diode_2 + PLACED ( 346840 16320 ) N ;
- ANTENNA__516__A sky130_fd_sc_hd__diode_2 + PLACED ( 432400 2720 ) FS ;
- ANTENNA__517__A sky130_fd_sc_hd__diode_2 + PLACED ( 357420 16320 ) N ;
- ANTENNA__518__A sky130_fd_sc_hd__diode_2 + PLACED ( 429180 5440 ) N ;
- ANTENNA__519__A sky130_fd_sc_hd__diode_2 + PLACED ( 368920 57120 ) FS ;
- ANTENNA__520__A sky130_fd_sc_hd__diode_2 + PLACED ( 431020 5440 ) N ;
- ANTENNA__475__A sky130_fd_sc_hd__diode_2 + PLACED ( 378580 54400 ) N ;
- ANTENNA__521__A sky130_fd_sc_hd__diode_2 + PLACED ( 434240 5440 ) N ;
- ANTENNA__522__A sky130_fd_sc_hd__diode_2 + PLACED ( 377660 48960 ) N ;
- ANTENNA__523__A sky130_fd_sc_hd__diode_2 + PLACED ( 439760 5440 ) N ;
- ANTENNA__524__A sky130_fd_sc_hd__diode_2 + PLACED ( 383180 57120 ) FS ;
- ANTENNA__525__A sky130_fd_sc_hd__diode_2 + PLACED ( 446660 2720 ) FS ;
- ANTENNA__526__A sky130_fd_sc_hd__diode_2 + PLACED ( 388240 54400 ) N ;
- ANTENNA__527__A sky130_fd_sc_hd__diode_2 + PLACED ( 439760 10880 ) N ;
- ANTENNA__528__A sky130_fd_sc_hd__diode_2 + PLACED ( 391460 54400 ) N ;
- ANTENNA__529__A sky130_fd_sc_hd__diode_2 + PLACED ( 451720 2720 ) FS ;
- ANTENNA__530__A sky130_fd_sc_hd__diode_2 + PLACED ( 383640 48960 ) N ;
- ANTENNA__476__A sky130_fd_sc_hd__diode_2 + PLACED ( 439300 2720 ) FS ;
- ANTENNA__531__A sky130_fd_sc_hd__diode_2 + PLACED ( 383640 43520 ) N ;
- ANTENNA__532__A sky130_fd_sc_hd__diode_2 + PLACED ( 444820 10880 ) N ;
- ANTENNA__533__A sky130_fd_sc_hd__diode_2 + PLACED ( 388700 48960 ) N ;
- ANTENNA__534__A sky130_fd_sc_hd__diode_2 + PLACED ( 441600 10880 ) N ;
- ANTENNA__535__A sky130_fd_sc_hd__diode_2 + PLACED ( 395140 48960 ) N ;
- ANTENNA__536__A sky130_fd_sc_hd__diode_2 + PLACED ( 458620 5440 ) N ;
- ANTENNA__537__A sky130_fd_sc_hd__diode_2 + PLACED ( 391460 51680 ) FS ;
- ANTENNA__538__A sky130_fd_sc_hd__diode_2 + PLACED ( 448960 16320 ) N ;
- ANTENNA__539__A sky130_fd_sc_hd__diode_2 + PLACED ( 398820 48960 ) N ;
- ANTENNA__540__A sky130_fd_sc_hd__diode_2 + PLACED ( 454020 16320 ) N ;
- ANTENNA__477__A sky130_fd_sc_hd__diode_2 + PLACED ( 394680 54400 ) N ;
- ANTENNA__541__A sky130_fd_sc_hd__diode_2 + PLACED ( 450800 16320 ) N ;
- ANTENNA__542__A sky130_fd_sc_hd__diode_2 + PLACED ( 411700 54400 ) N ;
- ANTENNA__543__A sky130_fd_sc_hd__diode_2 + PLACED ( 453560 21760 ) N ;
- ANTENNA__544__A sky130_fd_sc_hd__diode_2 + PLACED ( 403880 48960 ) N ;
- ANTENNA__545__A sky130_fd_sc_hd__diode_2 + PLACED ( 445740 16320 ) N ;
- ANTENNA__546__A sky130_fd_sc_hd__diode_2 + PLACED ( 416760 54400 ) N ;
- ANTENNA__547__A sky130_fd_sc_hd__diode_2 + PLACED ( 513820 13600 ) FS ;
- ANTENNA__548__A sky130_fd_sc_hd__diode_2 + PLACED ( 418600 54400 ) N ;
- ANTENNA__549__A sky130_fd_sc_hd__diode_2 + PLACED ( 443440 16320 ) N ;
- ANTENNA__550__A sky130_fd_sc_hd__diode_2 + PLACED ( 403420 43520 ) N ;
- ANTENNA__478__A sky130_fd_sc_hd__diode_2 + PLACED ( 460920 2720 ) FS ;
- ANTENNA__551__A sky130_fd_sc_hd__diode_2 + PLACED ( 411700 48960 ) N ;
- ANTENNA__552__A sky130_fd_sc_hd__diode_2 + PLACED ( 527160 5440 ) N ;
- ANTENNA__553__A sky130_fd_sc_hd__diode_2 + PLACED ( 413540 48960 ) N ;
- ANTENNA__554__A sky130_fd_sc_hd__diode_2 + PLACED ( 532220 5440 ) N ;
- ANTENNA__555__A sky130_fd_sc_hd__diode_2 + PLACED ( 421820 54400 ) N ;
- ANTENNA__556__A sky130_fd_sc_hd__diode_2 + PLACED ( 563040 8160 ) FS ;
- ANTENNA__557__A sky130_fd_sc_hd__diode_2 + PLACED ( 423660 54400 ) N ;
- ANTENNA__558__A sky130_fd_sc_hd__diode_2 + PLACED ( 555220 5440 ) N ;
- ANTENNA__559__A sky130_fd_sc_hd__diode_2 + PLACED ( 426880 54400 ) N ;
- ANTENNA__560__A sky130_fd_sc_hd__diode_2 + PLACED ( 520720 10880 ) N ;
- ANTENNA__479__A sky130_fd_sc_hd__diode_2 + PLACED ( 435620 54400 ) N ;
- ANTENNA__561__A sky130_fd_sc_hd__diode_2 + PLACED ( 460920 21760 ) N ;
- ANTENNA__562__A sky130_fd_sc_hd__diode_2 + PLACED ( 417680 48960 ) N ;
- ANTENNA__563__A sky130_fd_sc_hd__diode_2 + PLACED ( 513820 16320 ) N ;
- ANTENNA__564__A sky130_fd_sc_hd__diode_2 + PLACED ( 411700 43520 ) N ;
- ANTENNA__565__A sky130_fd_sc_hd__diode_2 + PLACED ( 436080 10880 ) N ;
- ANTENNA__566__A sky130_fd_sc_hd__diode_2 + PLACED ( 414920 51680 ) FS ;
- ANTENNA__567__A sky130_fd_sc_hd__diode_2 + PLACED ( 434240 10880 ) N ;
- ANTENNA__568__A sky130_fd_sc_hd__diode_2 + PLACED ( 413540 43520 ) N ;
- ANTENNA__569__A sky130_fd_sc_hd__diode_2 + PLACED ( 515660 16320 ) N ;
- ANTENNA__570__A sky130_fd_sc_hd__diode_2 + PLACED ( 415380 43520 ) N ;
- ANTENNA__480__A sky130_fd_sc_hd__diode_2 + PLACED ( 513820 8160 ) FS ;
- ANTENNA__599__A sky130_fd_sc_hd__diode_2 + PLACED ( 513820 27200 ) N ;
- ANTENNA__368__A sky130_fd_sc_hd__diode_2 + PLACED ( 532680 16320 ) N ;
- ANTENNA__369__A sky130_fd_sc_hd__diode_2 + PLACED ( 538660 5440 ) N ;
- ANTENNA__370__A sky130_fd_sc_hd__diode_2 + PLACED ( 538660 16320 ) N ;
- ANTENNA__371__A sky130_fd_sc_hd__diode_2 + PLACED ( 551080 21760 ) N ;
- ANTENNA__372__A sky130_fd_sc_hd__diode_2 + PLACED ( 534060 5440 ) N ;
- ANTENNA__373__A sky130_fd_sc_hd__diode_2 + PLACED ( 538660 10880 ) N ;
- ANTENNA__374__A sky130_fd_sc_hd__diode_2 + PLACED ( 544180 10880 ) N ;
- ANTENNA__375__A sky130_fd_sc_hd__diode_2 + PLACED ( 549240 10880 ) N ;
- ANTENNA__376__A sky130_fd_sc_hd__diode_2 + PLACED ( 551080 10880 ) N ;
- ANTENNA__377__A sky130_fd_sc_hd__diode_2 + PLACED ( 555220 10880 ) N ;
- ANTENNA__609__A sky130_fd_sc_hd__diode_2 + PLACED ( 557060 10880 ) N ;
- ANTENNA__378__A sky130_fd_sc_hd__diode_2 + PLACED ( 560280 10880 ) N ;
- ANTENNA__379__A sky130_fd_sc_hd__diode_2 + PLACED ( 544640 5440 ) N ;
- ANTENNA__380__A sky130_fd_sc_hd__diode_2 + PLACED ( 565340 10880 ) N ;
- ANTENNA__381__A sky130_fd_sc_hd__diode_2 + PLACED ( 570400 10880 ) N ;
- ANTENNA__382__A sky130_fd_sc_hd__diode_2 + PLACED ( 556600 27200 ) N ;
- ANTENNA__383__A sky130_fd_sc_hd__diode_2 + PLACED ( 583280 5440 ) N ;
- ANTENNA__384__A sky130_fd_sc_hd__diode_2 + PLACED ( 462760 21760 ) N ;
- ANTENNA__385__A sky130_fd_sc_hd__diode_2 + PLACED ( 568560 16320 ) N ;
- ANTENNA__386__A sky130_fd_sc_hd__diode_2 + PLACED ( 460920 16320 ) N ;
- ANTENNA__387__A sky130_fd_sc_hd__diode_2 + PLACED ( 462760 16320 ) N ;
- ANTENNA__610__A sky130_fd_sc_hd__diode_2 + PLACED ( 485760 43520 ) N ;
- ANTENNA__388__A sky130_fd_sc_hd__diode_2 + PLACED ( 529000 21760 ) N ;
- ANTENNA__389__A sky130_fd_sc_hd__diode_2 + PLACED ( 573620 16320 ) N ;
- ANTENNA__390__A sky130_fd_sc_hd__diode_2 + PLACED ( 579140 16320 ) N ;
- ANTENNA__391__A sky130_fd_sc_hd__diode_2 + PLACED ( 575460 27200 ) N ;
- ANTENNA__392__A sky130_fd_sc_hd__diode_2 + PLACED ( 572240 10880 ) N ;
- ANTENNA__393__A sky130_fd_sc_hd__diode_2 + PLACED ( 589260 5440 ) N ;
- ANTENNA__394__A sky130_fd_sc_hd__diode_2 + PLACED ( 585580 10880 ) N ;
- ANTENNA__395__A sky130_fd_sc_hd__diode_2 + PLACED ( 588800 10880 ) N ;
- ANTENNA__611__A sky130_fd_sc_hd__diode_2 + PLACED ( 593860 10880 ) N ;
- ANTENNA__612__A sky130_fd_sc_hd__diode_2 + PLACED ( 583280 10880 ) N ;
- ANTENNA__613__A sky130_fd_sc_hd__diode_2 + PLACED ( 605820 10880 ) N ;
- ANTENNA__614__A sky130_fd_sc_hd__diode_2 + PLACED ( 597540 16320 ) N ;
- ANTENNA__615__A sky130_fd_sc_hd__diode_2 + PLACED ( 607660 16320 ) N ;
- ANTENNA__616__A sky130_fd_sc_hd__diode_2 + PLACED ( 597080 8160 ) FS ;
- ANTENNA__617__A sky130_fd_sc_hd__diode_2 + PLACED ( 604900 5440 ) N ;
- ANTENNA__618__A sky130_fd_sc_hd__diode_2 + PLACED ( 611340 21760 ) N ;
- ANTENNA__600__A sky130_fd_sc_hd__diode_2 + PLACED ( 583280 27200 ) N ;
- ANTENNA__619__A sky130_fd_sc_hd__diode_2 + PLACED ( 611340 16320 ) N ;
- ANTENNA__620__A sky130_fd_sc_hd__diode_2 + PLACED ( 611800 10880 ) N ;
- ANTENNA__621__A sky130_fd_sc_hd__diode_2 + PLACED ( 614100 5440 ) N ;
- ANTENNA__622__A sky130_fd_sc_hd__diode_2 + PLACED ( 622840 16320 ) N ;
- ANTENNA__623__A sky130_fd_sc_hd__diode_2 + PLACED ( 626520 16320 ) N ;
- ANTENNA__624__A sky130_fd_sc_hd__diode_2 + PLACED ( 620540 10880 ) N ;
- ANTENNA__625__A sky130_fd_sc_hd__diode_2 + PLACED ( 576380 21760 ) N ;
- ANTENNA__626__A sky130_fd_sc_hd__diode_2 + PLACED ( 625140 8160 ) FS ;
- ANTENNA__627__A sky130_fd_sc_hd__diode_2 + PLACED ( 628360 16320 ) N ;
- ANTENNA__628__A sky130_fd_sc_hd__diode_2 + PLACED ( 626520 10880 ) N ;
- ANTENNA__601__A sky130_fd_sc_hd__diode_2 + PLACED ( 632040 10880 ) N ;
- ANTENNA__629__A sky130_fd_sc_hd__diode_2 + PLACED ( 639400 10880 ) N ;
- ANTENNA__630__A sky130_fd_sc_hd__diode_2 + PLACED ( 633880 10880 ) N ;
- ANTENNA__631__A sky130_fd_sc_hd__diode_2 + PLACED ( 640780 5440 ) N ;
- ANTENNA__632__A sky130_fd_sc_hd__diode_2 + PLACED ( 634340 16320 ) N ;
- ANTENNA__633__A sky130_fd_sc_hd__diode_2 + PLACED ( 641240 10880 ) N ;
- ANTENNA__634__A sky130_fd_sc_hd__diode_2 + PLACED ( 638480 21760 ) N ;
- ANTENNA__635__A sky130_fd_sc_hd__diode_2 + PLACED ( 631580 19040 ) FS ;
- ANTENNA__636__A sky130_fd_sc_hd__diode_2 + PLACED ( 653200 10880 ) N ;
- ANTENNA__637__A sky130_fd_sc_hd__diode_2 + PLACED ( 647680 21760 ) N ;
- ANTENNA__638__A sky130_fd_sc_hd__diode_2 + PLACED ( 652740 21760 ) N ;
- ANTENNA__602__A sky130_fd_sc_hd__diode_2 + PLACED ( 655040 5440 ) N ;
- ANTENNA__639__A sky130_fd_sc_hd__diode_2 + PLACED ( 650900 16320 ) N ;
- ANTENNA__640__A sky130_fd_sc_hd__diode_2 + PLACED ( 655960 16320 ) N ;
- ANTENNA__641__A sky130_fd_sc_hd__diode_2 + PLACED ( 657800 16320 ) N ;
- ANTENNA__642__A sky130_fd_sc_hd__diode_2 + PLACED ( 657800 21760 ) N ;
- ANTENNA__643__A sky130_fd_sc_hd__diode_2 + PLACED ( 666080 10880 ) N ;
- ANTENNA__644__A sky130_fd_sc_hd__diode_2 + PLACED ( 670680 10880 ) N ;
- ANTENNA__645__A sky130_fd_sc_hd__diode_2 + PLACED ( 669300 16320 ) N ;
- ANTENNA__646__A sky130_fd_sc_hd__diode_2 + PLACED ( 677120 10880 ) N ;
- ANTENNA__647__A sky130_fd_sc_hd__diode_2 + PLACED ( 483920 57120 ) FS ;
- ANTENNA__648__A sky130_fd_sc_hd__diode_2 + PLACED ( 612720 2720 ) FS ;
- ANTENNA__603__A sky130_fd_sc_hd__diode_2 + PLACED ( 490820 54400 ) N ;
- ANTENNA__649__A sky130_fd_sc_hd__diode_2 + PLACED ( 611340 5440 ) N ;
- ANTENNA__650__A sky130_fd_sc_hd__diode_2 + PLACED ( 523940 54400 ) N ;
- ANTENNA__651__A sky130_fd_sc_hd__diode_2 + PLACED ( 601220 5440 ) N ;
- ANTENNA__652__A sky130_fd_sc_hd__diode_2 + PLACED ( 574540 54400 ) N ;
- ANTENNA__653__A sky130_fd_sc_hd__diode_2 + PLACED ( 630200 2720 ) FS ;
- ANTENNA__654__A sky130_fd_sc_hd__diode_2 + PLACED ( 532220 54400 ) N ;
- ANTENNA__655__A sky130_fd_sc_hd__diode_2 + PLACED ( 643540 2720 ) FS ;
- ANTENNA__656__A sky130_fd_sc_hd__diode_2 + PLACED ( 542340 54400 ) N ;
- ANTENNA__657__A sky130_fd_sc_hd__diode_2 + PLACED ( 684020 10880 ) N ;
- ANTENNA__658__A sky130_fd_sc_hd__diode_2 + PLACED ( 552000 54400 ) N ;
- ANTENNA__604__A sky130_fd_sc_hd__diode_2 + PLACED ( 690000 10880 ) N ;
- ANTENNA__659__A sky130_fd_sc_hd__diode_2 + PLACED ( 593400 57120 ) FS ;
- ANTENNA__660__A sky130_fd_sc_hd__diode_2 + PLACED ( 695520 16320 ) N ;
- ANTENNA__330__A sky130_fd_sc_hd__diode_2 + PLACED ( 608120 54400 ) N ;
- ANTENNA__331__A sky130_fd_sc_hd__diode_2 + PLACED ( 691840 10880 ) N ;
- ANTENNA__332__A sky130_fd_sc_hd__diode_2 + PLACED ( 615480 54400 ) N ;
- ANTENNA__333__A sky130_fd_sc_hd__diode_2 + PLACED ( 699660 8160 ) FS ;
- ANTENNA__334__A sky130_fd_sc_hd__diode_2 + PLACED ( 620540 54400 ) N ;
- ANTENNA__335__A sky130_fd_sc_hd__diode_2 + PLACED ( 712540 2720 ) FS ;
- ANTENNA__336__A sky130_fd_sc_hd__diode_2 + PLACED ( 618700 54400 ) N ;
- ANTENNA__337__A sky130_fd_sc_hd__diode_2 + PLACED ( 726800 5440 ) N ;
- ANTENNA__605__A sky130_fd_sc_hd__diode_2 + PLACED ( 615020 48960 ) N ;
- ANTENNA__338__A sky130_fd_sc_hd__diode_2 + PLACED ( 681260 8160 ) FS ;
- ANTENNA__339__A sky130_fd_sc_hd__diode_2 + PLACED ( 636180 54400 ) N ;
- ANTENNA__340__A sky130_fd_sc_hd__diode_2 + PLACED ( 664240 2720 ) FS ;
- ANTENNA__341__A sky130_fd_sc_hd__diode_2 + PLACED ( 629280 48960 ) N ;
- ANTENNA__342__A sky130_fd_sc_hd__diode_2 + PLACED ( 667920 5440 ) N ;
- ANTENNA__343__A sky130_fd_sc_hd__diode_2 + PLACED ( 624220 48960 ) N ;
- ANTENNA__344__A sky130_fd_sc_hd__diode_2 + PLACED ( 661940 5440 ) N ;
- ANTENNA__345__A sky130_fd_sc_hd__diode_2 + PLACED ( 636180 48960 ) N ;
- ANTENNA__346__A sky130_fd_sc_hd__diode_2 + PLACED ( 657800 2720 ) FS ;
- ANTENNA__347__A sky130_fd_sc_hd__diode_2 + PLACED ( 626060 48960 ) N ;
- ANTENNA__606__A sky130_fd_sc_hd__diode_2 + PLACED ( 685860 10880 ) N ;
- ANTENNA__348__A sky130_fd_sc_hd__diode_2 + PLACED ( 646300 57120 ) FS ;
- ANTENNA__349__A sky130_fd_sc_hd__diode_2 + PLACED ( 695980 13600 ) FS ;
- ANTENNA__350__A sky130_fd_sc_hd__diode_2 + PLACED ( 652280 54400 ) N ;
- ANTENNA__351__A sky130_fd_sc_hd__diode_2 + PLACED ( 709320 8160 ) FS ;
- ANTENNA__352__A sky130_fd_sc_hd__diode_2 + PLACED ( 657340 54400 ) N ;
- ANTENNA__353__A sky130_fd_sc_hd__diode_2 + PLACED ( 717600 2720 ) FS ;
- ANTENNA__354__A sky130_fd_sc_hd__diode_2 + PLACED ( 638940 48960 ) N ;
- ANTENNA__355__A sky130_fd_sc_hd__diode_2 + PLACED ( 731860 5440 ) N ;
- ANTENNA__356__A sky130_fd_sc_hd__diode_2 + PLACED ( 634800 43520 ) N ;
- ANTENNA__357__A sky130_fd_sc_hd__diode_2 + PLACED ( 759000 5440 ) N ;
- ANTENNA__607__A sky130_fd_sc_hd__diode_2 + PLACED ( 654120 48960 ) N ;
- ANTENNA__358__A sky130_fd_sc_hd__diode_2 + PLACED ( 800400 5440 ) N ;
- ANTENNA__359__A sky130_fd_sc_hd__diode_2 + PLACED ( 664240 54400 ) N ;
- ANTENNA__360__A sky130_fd_sc_hd__diode_2 + PLACED ( 835820 5440 ) N ;
- ANTENNA__361__A sky130_fd_sc_hd__diode_2 + PLACED ( 674820 57120 ) FS ;
- ANTENNA__362__A sky130_fd_sc_hd__diode_2 + PLACED ( 785680 8160 ) FS ;
- ANTENNA__363__A sky130_fd_sc_hd__diode_2 + PLACED ( 671140 48960 ) N ;
- ANTENNA__364__A sky130_fd_sc_hd__diode_2 + PLACED ( 790280 5440 ) N ;
- ANTENNA__365__A sky130_fd_sc_hd__diode_2 + PLACED ( 676200 48960 ) N ;
- ANTENNA__366__A sky130_fd_sc_hd__diode_2 + PLACED ( 807760 5440 ) N ;
- ANTENNA__367__A sky130_fd_sc_hd__diode_2 + PLACED ( 680340 54400 ) N ;
- ANTENNA__608__A sky130_fd_sc_hd__diode_2 + PLACED ( 814200 5440 ) N ;
- ANTENNA__407__A sky130_fd_sc_hd__diode_2 + PLACED ( 678040 48960 ) N ;
- ANTENNA__417__A sky130_fd_sc_hd__diode_2 + PLACED ( 716220 10880 ) N ;
- ANTENNA__418__A sky130_fd_sc_hd__diode_2 + PLACED ( 685400 54400 ) N ;
- ANTENNA__419__A sky130_fd_sc_hd__diode_2 + PLACED ( 728640 10880 ) N ;
- ANTENNA__420__A sky130_fd_sc_hd__diode_2 + PLACED ( 687240 54400 ) N ;
- ANTENNA__421__A sky130_fd_sc_hd__diode_2 + PLACED ( 730480 10880 ) N ;
- ANTENNA__422__A sky130_fd_sc_hd__diode_2 + PLACED ( 694140 57120 ) FS ;
- ANTENNA__423__A sky130_fd_sc_hd__diode_2 + PLACED ( 733700 10880 ) N ;
- ANTENNA__424__A sky130_fd_sc_hd__diode_2 + PLACED ( 699200 48960 ) N ;
- ANTENNA__425__A sky130_fd_sc_hd__diode_2 + PLACED ( 733700 5440 ) N ;
- ANTENNA__426__A sky130_fd_sc_hd__diode_2 + PLACED ( 716680 54400 ) N ;
- ANTENNA__408__A sky130_fd_sc_hd__diode_2 + PLACED ( 740600 10880 ) N ;
- ANTENNA__427__A sky130_fd_sc_hd__diode_2 + PLACED ( 736460 57120 ) FS ;
- ANTENNA__428__A sky130_fd_sc_hd__diode_2 + PLACED ( 728640 21760 ) N ;
- ANTENNA__429__A sky130_fd_sc_hd__diode_2 + PLACED ( 751640 54400 ) N ;
- ANTENNA__430__A sky130_fd_sc_hd__diode_2 + PLACED ( 745660 10880 ) N ;
- ANTENNA__431__A sky130_fd_sc_hd__diode_2 + PLACED ( 788900 57120 ) FS ;
- ANTENNA__432__A sky130_fd_sc_hd__diode_2 + PLACED ( 731860 16320 ) N ;
- ANTENNA__433__A sky130_fd_sc_hd__diode_2 + PLACED ( 811440 54400 ) N ;
- ANTENNA__434__A sky130_fd_sc_hd__diode_2 + PLACED ( 751640 5440 ) N ;
- ANTENNA__435__A sky130_fd_sc_hd__diode_2 + PLACED ( 761760 54400 ) N ;
- ANTENNA__436__A sky130_fd_sc_hd__diode_2 + PLACED ( 753480 5440 ) N ;
- ANTENNA__409__A sky130_fd_sc_hd__diode_2 + PLACED ( 772340 54400 ) N ;
- ANTENNA__437__A sky130_fd_sc_hd__diode_2 + PLACED ( 756700 10880 ) N ;
- ANTENNA__438__A sky130_fd_sc_hd__diode_2 + PLACED ( 781080 54400 ) N ;
- ANTENNA__410__A sky130_fd_sc_hd__diode_2 + PLACED ( 738300 21760 ) N ;
- ANTENNA__411__A sky130_fd_sc_hd__diode_2 + PLACED ( 800860 54400 ) N ;
- ANTENNA__412__A sky130_fd_sc_hd__diode_2 + PLACED ( 747500 10880 ) N ;
- ANTENNA__413__A sky130_fd_sc_hd__diode_2 + PLACED ( 817420 57120 ) FS ;
- ANTENNA__414__A sky130_fd_sc_hd__diode_2 + PLACED ( 740600 16320 ) N ;
- ANTENNA__415__A sky130_fd_sc_hd__diode_2 + PLACED ( 828920 54400 ) N ;
- ANTENNA__416__A sky130_fd_sc_hd__diode_2 + PLACED ( 751640 10880 ) N ;
- ANTENNA__400__A sky130_fd_sc_hd__diode_2 + PLACED ( 751640 16320 ) N ;
- ANTENNA__439__A sky130_fd_sc_hd__diode_2 + PLACED ( 763140 5440 ) N ;
- ANTENNA__449__A sky130_fd_sc_hd__diode_2 + PLACED ( 761760 10880 ) N ;
- ANTENNA__450__A sky130_fd_sc_hd__diode_2 + PLACED ( 752560 21760 ) N ;
- ANTENNA__451__A sky130_fd_sc_hd__diode_2 + PLACED ( 753480 16320 ) N ;
- ANTENNA__452__A sky130_fd_sc_hd__diode_2 + PLACED ( 768200 5440 ) N ;
- ANTENNA__453__A sky130_fd_sc_hd__diode_2 + PLACED ( 760380 21760 ) N ;
- ANTENNA__454__A sky130_fd_sc_hd__diode_2 + PLACED ( 759920 16320 ) N ;
- ANTENNA__455__A sky130_fd_sc_hd__diode_2 + PLACED ( 774180 5440 ) N ;
- ANTENNA__456__A sky130_fd_sc_hd__diode_2 + PLACED ( 770960 10880 ) N ;
- ANTENNA__457__A sky130_fd_sc_hd__diode_2 + PLACED ( 776020 10880 ) N ;
- ANTENNA__458__A sky130_fd_sc_hd__diode_2 + PLACED ( 771880 21760 ) N ;
- ANTENNA__440__A sky130_fd_sc_hd__diode_2 + PLACED ( 781080 10880 ) N ;
- ANTENNA__459__A sky130_fd_sc_hd__diode_2 + PLACED ( 780620 8160 ) FS ;
- ANTENNA__460__A sky130_fd_sc_hd__diode_2 + PLACED ( 782920 10880 ) N ;
- ANTENNA__461__A sky130_fd_sc_hd__diode_2 + PLACED ( 781080 21760 ) N ;
- ANTENNA__462__A sky130_fd_sc_hd__diode_2 + PLACED ( 780160 16320 ) N ;
- ANTENNA__463__A sky130_fd_sc_hd__diode_2 + PLACED ( 775100 16320 ) N ;
- ANTENNA__464__A sky130_fd_sc_hd__diode_2 + PLACED ( 788440 10880 ) N ;
- ANTENNA__465__A sky130_fd_sc_hd__diode_2 + PLACED ( 793040 27200 ) N ;
- ANTENNA__466__A sky130_fd_sc_hd__diode_2 + PLACED ( 793960 10880 ) N ;
- ANTENNA__467__A sky130_fd_sc_hd__diode_2 + PLACED ( 800860 10880 ) N ;
- ANTENNA__468__A sky130_fd_sc_hd__diode_2 + PLACED ( 798100 27200 ) N ;
- ANTENNA__441__A sky130_fd_sc_hd__diode_2 + PLACED ( 804080 5440 ) N ;
- ANTENNA__469__A sky130_fd_sc_hd__diode_2 + PLACED ( 792120 16320 ) N ;
- ANTENNA__470__A sky130_fd_sc_hd__diode_2 + PLACED ( 803160 10880 ) N ;
- ANTENNA__442__A sky130_fd_sc_hd__diode_2 + PLACED ( 800860 16320 ) N ;
- ANTENNA__443__A sky130_fd_sc_hd__diode_2 + PLACED ( 810520 10880 ) N ;
- ANTENNA__444__A sky130_fd_sc_hd__diode_2 + PLACED ( 809600 5440 ) N ;
- ANTENNA__445__A sky130_fd_sc_hd__diode_2 + PLACED ( 806380 16320 ) N ;
- ANTENNA__446__A sky130_fd_sc_hd__diode_2 + PLACED ( 815580 10880 ) N ;
- ANTENNA__447__A sky130_fd_sc_hd__diode_2 + PLACED ( 810980 16320 ) N ;
- ANTENNA__448__A sky130_fd_sc_hd__diode_2 + PLACED ( 820180 5440 ) N ;
- ANTENNA__403__A sky130_fd_sc_hd__diode_2 + PLACED ( 822940 16320 ) N ;
- ANTENNA__404__A sky130_fd_sc_hd__diode_2 + PLACED ( 817880 21760 ) N ;
- ANTENNA__405__A sky130_fd_sc_hd__diode_2 + PLACED ( 822020 5440 ) N ;
- ANTENNA__406__A sky130_fd_sc_hd__diode_2 + PLACED ( 819720 10880 ) N ;
- ANTENNA__401__A sky130_fd_sc_hd__diode_2 + PLACED ( 822940 21760 ) N ;
- ANTENNA__402__A sky130_fd_sc_hd__diode_2 + PLACED ( 827080 13600 ) FS ;
- ANTENNA__397__A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 16320 ) N ;
- ANTENNA_mprj_rstn_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 10880 ) N ;
- ANTENNA_mprj_clk_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 826620 32640 ) N ;
- ANTENNA_mprj_clk2_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 828920 38080 ) N ;
- ANTENNA_mprj_cyc_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 738300 48960 ) N ;
- ANTENNA_mprj_stb_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 834440 43520 ) N ;
- ANTENNA_mprj_we_buf_A sky130_fd_sc_hd__diode_2 + PLACED ( 827080 27200 ) N ;
- ANTENNA_mprj_sel_buf\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 827080 43520 ) N ;
- ANTENNA_mprj_sel_buf\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 823400 48960 ) N ;
- ANTENNA_mprj_sel_buf\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 828920 27200 ) N ;
- ANTENNA_mprj_sel_buf\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 825240 46240 ) FS ;
- ANTENNA_mprj_adr_buf\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 711160 48960 ) N ;
- ANTENNA_mprj_adr_buf\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 718060 32640 ) N ;
- ANTENNA_mprj_adr_buf\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 714840 54400 ) N ;
- ANTENNA_mprj_adr_buf\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 718060 38080 ) N ;
- ANTENNA_mprj_adr_buf\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 699200 54400 ) N ;
- ANTENNA_mprj_adr_buf\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 722200 32640 ) N ;
- ANTENNA_mprj_adr_buf\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 710240 57120 ) FS ;
- ANTENNA_mprj_adr_buf\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 719900 38080 ) N ;
- ANTENNA_mprj_adr_buf\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 727260 54400 ) N ;
- ANTENNA_mprj_adr_buf\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 734160 38080 ) N ;
- ANTENNA_mprj_adr_buf\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 728180 51680 ) FS ;
- ANTENNA_mprj_adr_buf\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 741060 40800 ) FS ;
- ANTENNA_mprj_adr_buf\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 741520 54400 ) N ;
- ANTENNA_mprj_adr_buf\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 714380 43520 ) N ;
- ANTENNA_mprj_adr_buf\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 760380 57120 ) FS ;
- ANTENNA_mprj_adr_buf\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 746580 32640 ) N ;
- ANTENNA_mprj_adr_buf\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 793500 54400 ) N ;
- ANTENNA_mprj_adr_buf\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 716220 43520 ) N ;
- ANTENNA_mprj_adr_buf\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 823400 54400 ) N ;
- ANTENNA_mprj_adr_buf\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 758540 38080 ) N ;
- ANTENNA_mprj_adr_buf\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 766360 48960 ) N ;
- ANTENNA_mprj_adr_buf\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 750260 27200 ) N ;
- ANTENNA_mprj_adr_buf\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 781540 48960 ) N ;
- ANTENNA_mprj_adr_buf\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 762220 32640 ) N ;
- ANTENNA_mprj_adr_buf\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 799020 48960 ) N ;
- ANTENNA_mprj_adr_buf\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 732780 48960 ) N ;
- ANTENNA_mprj_adr_buf\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 825240 48960 ) N ;
- ANTENNA_mprj_adr_buf\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 741520 43520 ) N ;
- ANTENNA_mprj_adr_buf\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 834440 48960 ) N ;
- ANTENNA_mprj_adr_buf\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 741060 46240 ) FS ;
- ANTENNA_mprj_adr_buf\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 839500 54400 ) N ;
- ANTENNA_mprj_adr_buf\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 746120 38080 ) N ;
- ANTENNA_mprj_dat_buf\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 774180 27200 ) N ;
- ANTENNA_mprj_dat_buf\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 755320 40800 ) FS ;
- ANTENNA_mprj_dat_buf\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 753020 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 755320 48960 ) N ;
- ANTENNA_mprj_dat_buf\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 774180 32640 ) N ;
- ANTENNA_mprj_dat_buf\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 755780 43520 ) N ;
- ANTENNA_mprj_dat_buf\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 757160 48960 ) N ;
- ANTENNA_mprj_dat_buf\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 773720 21760 ) N ;
- ANTENNA_mprj_dat_buf\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 770960 40800 ) FS ;
- ANTENNA_mprj_dat_buf\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 774180 38080 ) N ;
- ANTENNA_mprj_dat_buf\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 767740 43520 ) N ;
- ANTENNA_mprj_dat_buf\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 779700 32640 ) N ;
- ANTENNA_mprj_dat_buf\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 776020 27200 ) N ;
- ANTENNA_mprj_dat_buf\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 782920 21760 ) N ;
- ANTENNA_mprj_dat_buf\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 782920 40800 ) FS ;
- ANTENNA_mprj_dat_buf\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 780620 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 783380 43520 ) N ;
- ANTENNA_mprj_dat_buf\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 787980 27200 ) N ;
- ANTENNA_mprj_dat_buf\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 786600 38080 ) N ;
- ANTENNA_mprj_dat_buf\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 797640 21760 ) N ;
- ANTENNA_mprj_dat_buf\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 800860 38080 ) N ;
- ANTENNA_mprj_dat_buf\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 795340 43520 ) N ;
- ANTENNA_mprj_dat_buf\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 808680 35360 ) FS ;
- ANTENNA_mprj_dat_buf\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 792580 40800 ) FS ;
- ANTENNA_mprj_dat_buf\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 803620 38080 ) N ;
- ANTENNA_mprj_dat_buf\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 797180 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 814660 38080 ) N ;
- ANTENNA_mprj_dat_buf\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 814660 32640 ) N ;
- ANTENNA_mprj_dat_buf\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 811440 48960 ) N ;
- ANTENNA_mprj_dat_buf\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 828460 32640 ) N ;
- ANTENNA_mprj_dat_buf\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 811440 43520 ) N ;
- ANTENNA_mprj_dat_buf\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 826620 38080 ) N ;
- ANTENNA_la_buf\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 48760 35360 ) FS ;
- ANTENNA_la_buf\[100\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 31280 32640 ) N ;
- ANTENNA_la_buf\[101\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 28980 43520 ) N ;
- ANTENNA_la_buf\[102\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 27200 ) N ;
- ANTENNA_la_buf\[103\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 38080 ) N ;
- ANTENNA_la_buf\[104\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 43520 ) N ;
- ANTENNA_la_buf\[105\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 24480 ) FS ;
- ANTENNA_la_buf\[106\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 40800 ) FS ;
- ANTENNA_la_buf\[107\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 141680 32640 ) N ;
- ANTENNA_la_buf\[108\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 27200 ) N ;
- ANTENNA_la_buf\[109\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 39560 48960 ) N ;
- ANTENNA_la_buf\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 208380 32640 ) N ;
- ANTENNA_la_buf\[110\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 35360 ) FS ;
- ANTENNA_la_buf\[111\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 124200 21760 ) N ;
- ANTENNA_la_buf\[112\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 27200 ) N ;
- ANTENNA_la_buf\[113\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 123740 24480 ) FS ;
- ANTENNA_la_buf\[114\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 27200 ) N ;
- ANTENNA_la_buf\[115\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 179860 27200 ) N ;
- ANTENNA_la_buf\[116\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 179860 29920 ) FS ;
- ANTENNA_la_buf\[117\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 27200 ) N ;
- ANTENNA_la_buf\[118\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 119600 40800 ) FS ;
- ANTENNA_la_buf\[119\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 116380 32640 ) N ;
- ANTENNA_la_buf\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 85560 43520 ) N ;
- ANTENNA_la_buf\[120\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 27200 ) N ;
- ANTENNA_la_buf\[121\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 81880 32640 ) N ;
- ANTENNA_la_buf\[122\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 294860 27200 ) N ;
- ANTENNA_la_buf\[123\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 28980 21760 ) N ;
- ANTENNA_la_buf\[124\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 328900 27200 ) N ;
- ANTENNA_la_buf\[125\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 16320 ) N ;
- ANTENNA_la_buf\[126\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 314180 38080 ) N ;
- ANTENNA_la_buf\[127\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 241960 32640 ) N ;
- ANTENNA_la_buf\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 33120 10880 ) N ;
- ANTENNA_la_buf\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 79580 35360 ) FS ;
- ANTENNA_la_buf\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 10880 ) N ;
- ANTENNA_la_buf\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 262660 27200 ) N ;
- ANTENNA_la_buf\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 426420 38080 ) N ;
- ANTENNA_la_buf\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 10880 ) N ;
- ANTENNA_la_buf\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 194580 38080 ) N ;
- ANTENNA_la_buf\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 57040 16320 ) N ;
- ANTENNA_la_buf\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 103960 27200 ) N ;
- ANTENNA_la_buf\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 32640 ) N ;
- ANTENNA_la_buf\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 64860 10880 ) N ;
- ANTENNA_la_buf\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 73600 38080 ) N ;
- ANTENNA_la_buf\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 10880 ) N ;
- ANTENNA_la_buf\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 118220 43520 ) N ;
- ANTENNA_la_buf\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 214820 27200 ) N ;
- ANTENNA_la_buf\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 51980 5440 ) N ;
- ANTENNA_la_buf\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 356040 27200 ) N ;
- ANTENNA_la_buf\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 5440 ) N ;
- ANTENNA_la_buf\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 308660 32640 ) N ;
- ANTENNA_la_buf\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 350520 32640 ) N ;
- ANTENNA_la_buf\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 406180 38080 ) N ;
- ANTENNA_la_buf\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 405260 32640 ) N ;
- ANTENNA_la_buf\[32\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 368000 38080 ) N ;
- ANTENNA_la_buf\[33\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 418600 32640 ) N ;
- ANTENNA_la_buf\[34\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 298540 32640 ) N ;
- ANTENNA_la_buf\[35\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 237820 38080 ) N ;
- ANTENNA_la_buf\[36\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 109940 5440 ) N ;
- ANTENNA_la_buf\[37\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 124660 32640 ) N ;
- ANTENNA_la_buf\[38\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 8160 ) FS ;
- ANTENNA_la_buf\[39\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 61180 27200 ) N ;
- ANTENNA_la_buf\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 85100 5440 ) N ;
- ANTENNA_la_buf\[40\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 416300 38080 ) N ;
- ANTENNA_la_buf\[41\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 30820 5440 ) N ;
- ANTENNA_la_buf\[42\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 207920 35360 ) FS ;
- ANTENNA_la_buf\[43\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 25300 8160 ) FS ;
- ANTENNA_la_buf\[44\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 291180 35360 ) FS ;
- ANTENNA_la_buf\[45\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 5440 ) N ;
- ANTENNA_la_buf\[46\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 320620 38080 ) N ;
- ANTENNA_la_buf\[47\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 2720 ) FS ;
- ANTENNA_la_buf\[48\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 48960 ) N ;
- ANTENNA_la_buf\[49\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 62100 5440 ) N ;
- ANTENNA_la_buf\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 56580 54400 ) N ;
- ANTENNA_la_buf\[50\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 2720 ) FS ;
- ANTENNA_la_buf\[51\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 54400 ) N ;
- ANTENNA_la_buf\[52\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 2720 ) FS ;
- ANTENNA_la_buf\[53\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 39560 54400 ) N ;
- ANTENNA_la_buf\[54\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 2720 ) FS ;
- ANTENNA_la_buf\[55\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 69460 48960 ) N ;
- ANTENNA_la_buf\[56\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 39560 16320 ) N ;
- ANTENNA_la_buf\[57\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 48960 ) N ;
- ANTENNA_la_buf\[58\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 453560 5440 ) N ;
- ANTENNA_la_buf\[59\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 107640 51680 ) FS ;
- ANTENNA_la_buf\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 373980 5440 ) N ;
- ANTENNA_la_buf\[60\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 109940 54400 ) N ;
- ANTENNA_la_buf\[61\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 346840 5440 ) N ;
- ANTENNA_la_buf\[62\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 109940 48960 ) N ;
- ANTENNA_la_buf\[63\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 307740 8160 ) FS ;
- ANTENNA_la_buf\[64\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 81880 54400 ) N ;
- ANTENNA_la_buf\[65\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 5440 ) N ;
- ANTENNA_la_buf\[66\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247940 48960 ) N ;
- ANTENNA_la_buf\[67\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 145820 10880 ) N ;
- ANTENNA_la_buf\[68\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 111780 54400 ) N ;
- ANTENNA_la_buf\[69\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 124660 5440 ) N ;
- ANTENNA_la_buf\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 292100 48960 ) N ;
- ANTENNA_la_buf\[70\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 166060 5440 ) N ;
- ANTENNA_la_buf\[71\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 371680 54400 ) N ;
- ANTENNA_la_buf\[72\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 141680 2720 ) FS ;
- ANTENNA_la_buf\[73\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 360180 48960 ) N ;
- ANTENNA_la_buf\[74\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 441600 5440 ) N ;
- ANTENNA_la_buf\[75\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 215280 48960 ) N ;
- ANTENNA_la_buf\[76\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 460460 5440 ) N ;
- ANTENNA_la_buf\[77\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 145360 54400 ) N ;
- ANTENNA_la_buf\[78\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 384560 8160 ) FS ;
- ANTENNA_la_buf\[79\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 186760 48960 ) N ;
- ANTENNA_la_buf\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 460920 10880 ) N ;
- ANTENNA_la_buf\[80\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 194120 54400 ) N ;
- ANTENNA_la_buf\[81\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 520720 5440 ) N ;
- ANTENNA_la_buf\[82\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 407100 48960 ) N ;
- ANTENNA_la_buf\[83\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 535900 2720 ) FS ;
- ANTENNA_la_buf\[84\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 406180 54400 ) N ;
- ANTENNA_la_buf\[85\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 567180 5440 ) N ;
- ANTENNA_la_buf\[86\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 408020 54400 ) N ;
- ANTENNA_la_buf\[87\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 563500 2720 ) FS ;
- ANTENNA_la_buf\[88\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 432400 51680 ) FS ;
- ANTENNA_la_buf\[89\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 529000 5440 ) N ;
- ANTENNA_la_buf\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 444360 51680 ) FS ;
- ANTENNA_la_buf\[90\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 460920 13600 ) FS ;
- ANTENNA_la_buf\[91\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 390540 48960 ) N ;
- ANTENNA_la_buf\[92\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 421360 8160 ) FS ;
- ANTENNA_la_buf\[93\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 330740 48960 ) N ;
- ANTENNA_la_buf\[94\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 389620 8160 ) FS ;
- ANTENNA_la_buf\[95\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 257600 54400 ) N ;
- ANTENNA_la_buf\[96\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 341320 2720 ) FS ;
- ANTENNA_la_buf\[97\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 197340 48960 ) N ;
- ANTENNA_la_buf\[98\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 267260 5440 ) N ;
- ANTENNA_la_buf\[99\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 181700 54400 ) N ;
- ANTENNA_la_buf\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 222640 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 434240 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[100\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 446660 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[101\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 446660 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[102\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 458620 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[103\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 442060 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[104\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 448500 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[105\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 446660 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[106\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 458620 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[107\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 455860 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[108\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 450800 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[109\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 446660 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 448040 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[110\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 448040 24480 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[111\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 480700 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[112\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 457700 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[113\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 452180 24480 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[114\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 470120 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[115\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 521640 21760 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[116\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 463680 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[117\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 473340 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[118\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 461380 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[119\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 474720 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 464140 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[120\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 474720 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[121\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 502780 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[122\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 488520 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[123\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 489440 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[124\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 501400 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[125\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 576380 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[126\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 504620 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[127\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 494500 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 519340 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 575920 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 590640 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 507380 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 518420 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 570400 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 602600 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 523940 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 521640 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 586960 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 605820 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 615940 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 546940 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 541880 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 611340 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 576380 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 585120 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 600760 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 618240 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 623300 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 633420 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 615480 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[32\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 646300 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[33\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 629280 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[34\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 634800 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[35\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 637560 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[36\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 617320 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[37\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 658260 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[38\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 612260 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[39\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 649060 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 654120 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[40\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 646760 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[41\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 655960 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[42\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 658720 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[43\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 651360 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[44\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 667460 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[45\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 674360 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[46\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 668380 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[47\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 683560 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[48\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 486680 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[49\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 577300 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 489440 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[50\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 578680 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[51\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 518420 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[52\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 598920 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[53\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 529460 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[54\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 628820 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[55\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 525780 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[56\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 634340 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[57\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 544640 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[58\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 685860 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[59\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 557060 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 702420 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[60\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 506460 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[61\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 691840 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[62\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 540960 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[63\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 691380 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[64\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 553840 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[65\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 702420 10880 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[66\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 565340 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[67\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 722200 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[68\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 573620 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[69\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 745660 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 586960 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[70\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 520720 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[71\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 567180 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[72\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 518880 13600 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[73\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 575460 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[74\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 557060 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[75\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 581900 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[76\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 591100 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[77\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 585120 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[78\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 605360 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[79\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 603980 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 652280 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[80\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 630660 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[81\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 678500 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[82\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 655960 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[83\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 705180 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[84\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 657800 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[85\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 714840 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[86\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 598920 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[87\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 735080 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[88\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 609960 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[89\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 762220 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 638020 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[90\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 797640 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[91\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 666080 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[92\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 833980 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[93\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 679880 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[94\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 787980 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[95\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 687240 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[96\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 792580 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[97\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 697360 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[98\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 806380 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[99\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 713000 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 819260 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[0\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[100\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 79580 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[101\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 86020 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[102\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 93840 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[103\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 108100 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[104\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[105\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 93840 24480 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[106\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 91540 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[107\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[108\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 99360 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[109\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 107180 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[10\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 113620 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[110\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 118220 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[111\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 124200 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[112\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 124660 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[113\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 126500 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[114\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 125120 19040 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[115\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[116\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 122360 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[117\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 116840 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[118\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[119\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[11\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 116380 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[120\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 163300 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[121\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[122\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 150880 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[123\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 130640 24480 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[124\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 189980 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[125\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 172500 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[126\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[127\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[12\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[13\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 152260 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[14\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 246100 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[15\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[16\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 231380 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[17\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[18\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[19\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 203780 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[1\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 207000 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[20\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 239660 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[21\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 232300 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[22\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 239660 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[23\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 244720 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[24\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 253000 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[25\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 246100 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[26\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247940 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[27\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 258980 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[28\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247940 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[29\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 182620 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[2\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 227240 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[30\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 254380 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[31\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 261740 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[32\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 274160 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[33\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 267720 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[34\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 291640 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[35\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 272780 13600 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[36\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 277380 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[37\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 302220 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[38\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 276920 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[39\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 306820 13600 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[3\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 267720 10880 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[40\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 270940 16320 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[41\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 276000 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[42\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 279680 13600 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[43\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 283360 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[44\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 285200 21760 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[45\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 285200 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[46\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 293020 27200 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[47\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 313260 19040 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[48\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 23920 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[49\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[4\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 57120 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[50\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 97520 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[51\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 120520 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[52\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 121440 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[53\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 122360 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[54\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[55\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[56\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[57\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 132480 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[58\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 169280 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[59\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 127420 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[5\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 181240 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[60\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[61\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 192740 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[62\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 136620 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[63\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[64\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[65\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 220340 8160 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[66\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 147200 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[67\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 221260 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[68\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 153180 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[69\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 230460 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[6\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[70\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 235520 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[71\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[72\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 232300 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[73\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 155480 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[74\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[75\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 160080 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[76\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 246100 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[77\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[78\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 249780 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[79\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 178480 57120 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[7\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 247940 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[80\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[81\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 253920 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[82\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 192740 57120 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[83\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 284280 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[84\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 183540 43520 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[85\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 297620 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[86\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 201940 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[87\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 306820 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[88\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 203780 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[89\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 308200 5440 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[8\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 195500 43520 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[90\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 322460 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[91\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 209760 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[92\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 349600 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[93\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 194580 46240 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[94\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 364320 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[95\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 205160 48960 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[96\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 384100 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[97\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 218040 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[98\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 398360 2720 ) FS ;
- ANTENNA_user_to_mprj_in_buffers\[99\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 235060 54400 ) N ;
- ANTENNA_user_to_mprj_in_buffers\[9\]_A sky130_fd_sc_hd__diode_2 + PLACED ( 407560 2720 ) FS ;
- ANTENNA_mprj2_vdd_pwrgood_A sky130_fd_sc_hd__diode_2 + PLACED ( 837660 5440 ) N ;
- ANTENNA_mprj2_pwrgood_A sky130_fd_sc_hd__diode_2 + PLACED ( 830300 5440 ) N ;
- ANTENNA_mprj_rstn_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 17940 10880 ) N ;
- ANTENNA_la_buf\[26\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 46000 5440 ) N ;
- ANTENNA_la_buf\[27\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 349600 27200 ) N ;
- ANTENNA_la_buf\[28\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 35880 5440 ) N ;
- ANTENNA_la_buf\[29\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 301300 35360 ) FS ;
- ANTENNA_la_buf\[30\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 397440 40800 ) FS ;
- ANTENNA_la_buf\[31\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 400200 32640 ) N ;
- ANTENNA_la_buf\[32\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 357880 38080 ) N ;
- ANTENNA_la_buf\[33\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 409400 35360 ) FS ;
- ANTENNA_la_buf\[34\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 293940 32640 ) N ;
- ANTENNA_la_buf\[35\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 228620 40800 ) FS ;
- ANTENNA_mprj_adr_buf\[0\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 704720 46240 ) FS ;
- ANTENNA_la_buf\[36\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 99360 5440 ) N ;
- ANTENNA_la_buf\[37\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 118220 32640 ) N ;
- ANTENNA_la_buf\[38\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 98900 2720 ) FS ;
- ANTENNA_la_buf\[39\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 51980 29920 ) FS ;
- ANTENNA_la_buf\[40\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 411700 38080 ) N ;
- ANTENNA_la_buf\[41\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 20700 5440 ) N ;
- ANTENNA_la_buf\[42\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 198260 32640 ) N ;
- ANTENNA_la_buf\[43\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 18860 5440 ) N ;
- ANTENNA_la_buf\[44\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 283820 38080 ) N ;
- ANTENNA_la_buf\[45\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 112700 8160 ) FS ;
- ANTENNA_mprj_adr_buf\[1\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 736000 38080 ) N ;
- ANTENNA_la_buf\[46\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 316020 38080 ) N ;
- ANTENNA_la_buf\[47\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 60260 2720 ) FS ;
- ANTENNA_la_buf\[48\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 41400 48960 ) N ;
- ANTENNA_la_buf\[49\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 55200 8160 ) FS ;
- ANTENNA_la_buf\[50\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 17480 2720 ) FS ;
- ANTENNA_la_buf\[51\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 28520 57120 ) FS ;
- ANTENNA_la_buf\[52\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 29440 2720 ) FS ;
- ANTENNA_la_buf\[53\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 31280 51680 ) FS ;
- ANTENNA_la_buf\[54\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 43700 2720 ) FS ;
- ANTENNA_la_buf\[55\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 63020 48960 ) N ;
- ANTENNA_mprj_adr_buf\[2\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 776480 48960 ) N ;
- ANTENNA_la_buf\[56\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 34500 16320 ) N ;
- ANTENNA_la_buf\[57\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 86480 48960 ) N ;
- ANTENNA_la_buf\[58\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 444360 8160 ) FS ;
- ANTENNA_la_buf\[59\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 98440 48960 ) N ;
- ANTENNA_la_buf\[60\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 100740 57120 ) FS ;
- ANTENNA_la_buf\[61\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 337640 8160 ) FS ;
- ANTENNA_la_buf\[62\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 103960 46240 ) FS ;
- ANTENNA_la_buf\[63\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 295780 5440 ) N ;
- ANTENNA_la_buf\[64\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 71300 54400 ) N ;
- ANTENNA_la_buf\[65\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 140760 5440 ) N ;
- ANTENNA_mprj_adr_buf\[3\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 722660 48960 ) N ;
- ANTENNA_la_buf\[66\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 239660 48960 ) N ;
- ANTENNA_la_buf\[67\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 139380 13600 ) FS ;
- ANTENNA_la_buf\[68\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 99360 54400 ) N ;
- ANTENNA_la_buf\[69\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 126500 5440 ) N ;
- ANTENNA_la_buf\[70\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 155480 5440 ) N ;
- ANTENNA_la_buf\[71\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 363860 57120 ) FS ;
- ANTENNA_la_buf\[72\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 129260 2720 ) FS ;
- ANTENNA_la_buf\[73\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 350980 48960 ) N ;
- ANTENNA_la_buf\[74\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 436080 5440 ) N ;
- ANTENNA_la_buf\[75\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 207000 48960 ) N ;
- ANTENNA_mprj_adr_buf\[4\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 813280 48960 ) N ;
- ANTENNA_la_buf\[76\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 455400 5440 ) N ;
- ANTENNA_la_buf\[77\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 136160 57120 ) FS ;
- ANTENNA_la_buf\[78\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 375820 5440 ) N ;
- ANTENNA_la_buf\[79\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 180780 48960 ) N ;
- ANTENNA_la_buf\[80\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 184920 57120 ) FS ;
- ANTENNA_la_buf\[81\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 515660 8160 ) FS ;
- ANTENNA_la_buf\[82\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 400660 48960 ) N ;
- ANTENNA_la_buf\[83\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 525780 2720 ) FS ;
- ANTENNA_la_buf\[84\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 396980 57120 ) FS ;
- ANTENNA_la_buf\[85\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 557980 8160 ) FS ;
- ANTENNA_mprj_adr_buf\[5\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 731400 43520 ) N ;
- ANTENNA_la_buf\[86\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 400200 57120 ) FS ;
- ANTENNA_la_buf\[87\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 552000 2720 ) FS ;
- ANTENNA_la_buf\[88\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 423200 48960 ) N ;
- ANTENNA_la_buf\[89\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 522560 5440 ) N ;
- ANTENNA_la_buf\[90\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 450800 10880 ) N ;
- ANTENNA_la_buf\[91\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 379960 48960 ) N ;
- ANTENNA_la_buf\[92\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 414920 8160 ) FS ;
- ANTENNA_la_buf\[93\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 326140 48960 ) N ;
- ANTENNA_la_buf\[94\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 379960 5440 ) N ;
- ANTENNA_la_buf\[95\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 249780 57120 ) FS ;
- ANTENNA_mprj_adr_buf\[6\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 828000 48960 ) N ;
- ANTENNA_la_buf\[96\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 331200 2720 ) FS ;
- ANTENNA_la_buf\[97\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 189520 51680 ) FS ;
- ANTENNA_la_buf\[98\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 262200 5440 ) N ;
- ANTENNA_la_buf\[99\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 171580 54400 ) N ;
- ANTENNA_la_buf\[100\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 21160 32640 ) N ;
- ANTENNA_la_buf\[101\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 18860 43520 ) N ;
- ANTENNA_la_buf\[102\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 40020 27200 ) N ;
- ANTENNA_la_buf\[103\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 15180 38080 ) N ;
- ANTENNA_la_buf\[104\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 32660 46240 ) FS ;
- ANTENNA_la_buf\[105\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 17480 21760 ) N ;
- ANTENNA_mprj_adr_buf\[7\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 729560 43520 ) N ;
- ANTENNA_la_buf\[106\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 13340 38080 ) N ;
- ANTENNA_la_buf\[107\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 131560 32640 ) N ;
- ANTENNA_la_buf\[108\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 28980 29920 ) FS ;
- ANTENNA_la_buf\[109\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 29440 48960 ) N ;
- ANTENNA_la_buf\[110\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 19320 32640 ) N ;
- ANTENNA_la_buf\[111\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 114080 21760 ) N ;
- ANTENNA_la_buf\[112\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 79120 27200 ) N ;
- ANTENNA_la_buf\[113\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 112240 21760 ) N ;
- ANTENNA_la_buf\[114\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 106720 29920 ) FS ;
- ANTENNA_la_buf\[115\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 169740 27200 ) N ;
- ANTENNA_mprj_adr_buf\[8\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 831680 57120 ) FS ;
- ANTENNA_la_buf\[116\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 167900 27200 ) N ;
- ANTENNA_la_buf\[117\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 228160 24480 ) FS ;
- ANTENNA_la_buf\[118\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 110400 40800 ) FS ;
- ANTENNA_la_buf\[119\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 106260 32640 ) N ;
- ANTENNA_la_buf\[120\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 18400 29920 ) FS ;
- ANTENNA_la_buf\[121\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 71300 32640 ) N ;
- ANTENNA_la_buf\[122\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 287040 27200 ) N ;
- ANTENNA_la_buf\[123\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 19780 19040 ) FS ;
- ANTENNA_la_buf\[124\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 322460 27200 ) N ;
- ANTENNA_la_buf\[125\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 22540 16320 ) N ;
- ANTENNA_mprj_adr_buf\[9\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 737380 35360 ) FS ;
- ANTENNA_mprj_clk_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 816500 32640 ) N ;
- ANTENNA_la_buf\[126\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 304980 40800 ) FS ;
- ANTENNA_la_buf\[127\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 234140 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[0\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 425040 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[1\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 515200 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[2\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 614100 29920 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[3\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 644000 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[4\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 479320 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[5\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 694600 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[6\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 576380 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[7\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 645840 8160 ) FS ;
- ANTENNA_mprj_adr_buf\[10\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 708860 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[8\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 632500 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[9\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 808680 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[10\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 438840 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[11\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 458620 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[12\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 513820 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[13\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 566260 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[14\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 581900 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[15\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 497260 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[16\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 513360 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[17\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 561200 40800 ) FS ;
- ANTENNA_mprj_adr_buf\[11\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 704720 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[18\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 594780 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[19\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 516580 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[20\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 579140 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[21\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 602140 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[22\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 606740 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[23\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 541420 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[24\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 535440 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[25\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 604440 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[26\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 571780 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[27\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 578680 32640 ) N ;
- ANTENNA_mprj_adr_buf\[12\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 711620 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[28\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 596160 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[29\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 604900 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[30\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 629280 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[31\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 606280 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[32\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 637100 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[33\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 622840 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[34\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 629740 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[35\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 631120 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[36\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 604440 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[37\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 649060 35360 ) FS ;
- ANTENNA_mprj_adr_buf\[13\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 690000 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[38\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 606740 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[39\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 642620 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[40\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 637560 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[41\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 647680 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[42\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 648600 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[43\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 642160 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[44\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 661020 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[45\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 665620 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[46\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 661940 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[47\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 674360 35360 ) FS ;
- ANTENNA_mprj_adr_buf\[14\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 713920 29920 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[48\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 477480 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[49\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 569020 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[50\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 568560 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[51\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 509220 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[52\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 592020 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[53\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 523020 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[54\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 619620 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[55\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 520260 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[56\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 630660 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[57\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 538200 48960 ) N ;
- ANTENNA_mprj_adr_buf\[15\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 701040 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[58\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 676660 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[59\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 552000 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[60\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 498180 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[61\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 687700 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[62\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 535440 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[63\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 680340 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[64\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 544640 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[65\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 696440 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[66\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 556140 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[67\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 716680 5440 ) N ;
- ANTENNA_mprj_adr_buf\[16\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 708860 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[68\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 566260 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[69\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 741520 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[70\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 522560 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[71\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 559360 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[72\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 515660 13600 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[73\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 564420 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[74\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 549700 5440 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[75\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 571320 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[76\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 580520 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[77\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 580060 48960 ) N ;
- ANTENNA_mprj_adr_buf\[17\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 719900 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[78\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 594780 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[79\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 597540 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[80\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 622840 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[81\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 668380 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[82\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 650900 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[83\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 694600 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[84\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 646300 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[85\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 705640 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[86\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 589720 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[87\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 723120 2720 ) FS ;
- ANTENNA_mprj_adr_buf\[18\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 724960 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[88\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 601220 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[89\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 751640 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[90\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 793500 8160 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[91\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 659180 54400 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[92\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 822940 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[93\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 671140 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[94\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 778780 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[95\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 683560 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[96\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 782460 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[97\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 690000 51680 ) FS ;
- ANTENNA_mprj_adr_buf\[19\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 720360 48960 ) N ;
- ANTENNA_mprj_clk2_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 830760 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[98\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 794420 2720 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[99\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 701960 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[100\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 436080 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[101\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 437460 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[102\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 449420 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[103\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 434240 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[104\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 436080 38080 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[105\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 436080 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[106\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 452180 35360 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[107\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 449420 40800 ) FS ;
- ANTENNA_mprj_adr_buf\[20\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 732320 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[108\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 440680 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[109\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 437460 29920 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[110\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 441140 21760 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[111\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 471500 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[112\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 451260 27200 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[113\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 442980 21760 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[114\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 463220 48960 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[115\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 516580 21760 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[116\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 460460 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[117\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 462760 38080 ) N ;
- ANTENNA_mprj_adr_buf\[21\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 704260 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[118\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 452180 51680 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[119\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 466440 46240 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[120\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 467820 57120 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[121\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 492200 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[122\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 482540 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[123\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 477940 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[124\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 491280 40800 ) FS ;
- ANTENNA_user_to_mprj_oen_buffers\[125\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 564420 32640 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[126\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 490360 43520 ) N ;
- ANTENNA_user_to_mprj_oen_buffers\[127\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 491280 48960 ) N ;
- ANTENNA_mprj_adr_buf\[22\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 754860 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[0\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 99360 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[1\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 213900 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[2\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 224480 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[3\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 279220 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[4\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 19780 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[5\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 177560 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[6\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 273240 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[7\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 285660 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[8\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 362020 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[9\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 408020 5440 ) N ;
- ANTENNA_mprj_adr_buf\[23\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 742900 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[10\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 115460 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[11\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 123740 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[12\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 198260 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[13\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 209300 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[14\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 243340 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[15\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 196420 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[16\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 242420 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[17\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 237360 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[18\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 199180 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[19\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 205620 46240 ) FS ;
- ANTENNA_mprj_adr_buf\[24\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 784300 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[20\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 247940 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[21\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 249780 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[22\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 255760 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[23\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 254380 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[24\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 252540 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[25\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 259900 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[26\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 264960 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[27\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 257600 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[28\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 267720 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[29\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 214360 35360 ) FS ;
- ANTENNA_mprj_adr_buf\[25\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 710700 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[30\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 257140 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[31\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 279220 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[32\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 275540 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[33\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 279680 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[34\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 287960 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[35\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 271860 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[36\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 284280 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[37\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 296700 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[38\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 281980 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[39\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 300380 38080 ) N ;
- ANTENNA_mprj_adr_buf\[26\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 813280 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[40\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 283820 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[41\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 284280 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[42\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 287960 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[43\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 289800 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[44\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 295780 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[45\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 285660 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[46\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 295780 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[47\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 314640 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[48\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 26680 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[49\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 78660 2720 ) FS ;
- ANTENNA_mprj_adr_buf\[27\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 747960 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[50\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 95220 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[51\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 229080 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[52\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 104880 19040 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[53\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 236900 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[54\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 129720 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[55\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 245640 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[56\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 153640 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[57\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 289340 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[58\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 167900 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[59\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 298080 57120 ) FS ;
- ANTENNA_mprj_adr_buf\[28\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 760380 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[60\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 281520 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[61\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 185840 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[62\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 276460 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[63\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 194580 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[64\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 302680 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[65\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 219420 10880 ) N ;
- ANTENNA_user_to_mprj_in_gates\[66\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 267720 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[67\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 207000 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[68\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 261740 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[69\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 222180 8160 ) FS ;
- ANTENNA_mprj_adr_buf\[29\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 741980 27200 ) N ;
- ANTENNA_mprj_cyc_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 734620 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[70\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 231840 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[71\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 267260 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[72\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 229540 19040 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[73\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 304060 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[74\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 257600 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[75\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 307740 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[76\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 270020 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[77\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 322920 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[78\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 277840 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[79\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 342240 54400 ) N ;
- ANTENNA_mprj_adr_buf\[30\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 752100 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[80\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 349600 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[81\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 286580 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[82\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 343620 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[83\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 293940 5440 ) N ;
- ANTENNA_user_to_mprj_in_gates\[84\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 354660 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[85\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 301760 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[86\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 354200 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[87\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 300840 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[88\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 360180 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[89\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 316020 5440 ) N ;
- ANTENNA_mprj_adr_buf\[31\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 788900 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[90\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 317860 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[91\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 368000 48960 ) N ;
- ANTENNA_user_to_mprj_in_gates\[92\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 343160 2720 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[93\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 373520 54400 ) N ;
- ANTENNA_user_to_mprj_in_gates\[94\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 357880 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[95\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 372140 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[96\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 383180 13600 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[97\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 385020 57120 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[98\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 397440 8160 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[99\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 371680 43520 ) N ;
- ANTENNA_mprj_dat_buf\[0\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 764060 27200 ) N ;
- ANTENNA_user_to_mprj_in_gates\[100\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 87400 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[101\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 91540 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[102\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 79120 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[103\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 104420 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[104\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 99360 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[105\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 93380 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[106\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 97520 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[107\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 103500 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[108\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 108560 29920 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[109\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 99360 32640 ) N ;
- ANTENNA_mprj_dat_buf\[1\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 776020 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[110\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 125120 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[111\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 169280 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[112\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 180780 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[113\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 185840 35360 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[114\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 129720 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[115\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 127420 27200 ) N ;
- ANTENNA_user_to_mprj_in_gates\[116\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 117300 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[117\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 129720 40800 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[118\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 135700 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[119\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 139380 51680 ) FS ;
- ANTENNA_mprj_dat_buf\[2\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 802240 32640 ) N ;
- ANTENNA_user_to_mprj_in_gates\[120\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 163300 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[121\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 177560 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[122\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 154560 43520 ) N ;
- ANTENNA_user_to_mprj_in_gates\[123\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 138000 46240 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[124\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 183540 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[125\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 173420 38080 ) N ;
- ANTENNA_user_to_mprj_in_gates\[126\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 230000 51680 ) FS ;
- ANTENNA_user_to_mprj_in_gates\[127\]_B sky130_fd_sc_hd__diode_2 + PLACED ( 192740 35360 ) FS ;
- ANTENNA_mprj_pwrgood_A sky130_fd_sc_hd__diode_2 + PLACED ( 832140 5440 ) N ;
- ANTENNA_mprj_dat_buf\[3\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 789820 51680 ) FS ;
- ANTENNA_mprj_dat_buf\[4\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 806380 40800 ) FS ;
- ANTENNA_mprj_dat_buf\[5\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 804080 32640 ) N ;
- ANTENNA_mprj_dat_buf\[6\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 802240 51680 ) FS ;
- ANTENNA_mprj_dat_buf\[7\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 817420 35360 ) FS ;
- ANTENNA_mprj_stb_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 828920 43520 ) N ;
- ANTENNA_mprj_dat_buf\[8\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 802240 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[9\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 816500 38080 ) N ;
- ANTENNA_mprj_dat_buf\[10\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 749340 35360 ) FS ;
- ANTENNA_mprj_dat_buf\[11\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 743820 43520 ) N ;
- ANTENNA_mprj_dat_buf\[12\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 744740 48960 ) N ;
- ANTENNA_mprj_dat_buf\[13\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 764980 35360 ) FS ;
- ANTENNA_mprj_dat_buf\[14\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 742900 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[15\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 742900 48960 ) N ;
- ANTENNA_mprj_dat_buf\[16\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 766820 21760 ) N ;
- ANTENNA_mprj_dat_buf\[17\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 761760 38080 ) N ;
- ANTENNA_mprj_we_buf_TE sky130_fd_sc_hd__diode_2 + PLACED ( 817880 29920 ) FS ;
- ANTENNA_mprj_dat_buf\[18\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 764060 38080 ) N ;
- ANTENNA_mprj_dat_buf\[19\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 758540 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[20\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 764980 29920 ) FS ;
- ANTENNA_mprj_dat_buf\[21\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 776020 21760 ) N ;
- ANTENNA_mprj_dat_buf\[22\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 776020 38080 ) N ;
- ANTENNA_mprj_dat_buf\[23\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 771420 43520 ) N ;
- ANTENNA_mprj_dat_buf\[24\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 769580 43520 ) N ;
- ANTENNA_mprj_dat_buf\[25\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 781080 29920 ) FS ;
- ANTENNA_mprj_dat_buf\[26\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 780620 35360 ) FS ;
- ANTENNA_mprj_dat_buf\[27\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 791200 21760 ) N ;
- ANTENNA_mprj_sel_buf\[0\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 816960 43520 ) N ;
- ANTENNA_mprj_dat_buf\[28\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 790740 38080 ) N ;
- ANTENNA_mprj_dat_buf\[29\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 785220 43520 ) N ;
- ANTENNA_mprj_dat_buf\[30\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 786140 46240 ) FS ;
- ANTENNA_mprj_dat_buf\[31\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 797180 35360 ) FS ;
- ANTENNA_la_buf\[0\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 41400 32640 ) N ;
- ANTENNA_la_buf\[1\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 97520 27200 ) N ;
- ANTENNA_la_buf\[2\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 344080 32640 ) N ;
- ANTENNA_la_buf\[3\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 74980 5440 ) N ;
- ANTENNA_la_buf\[4\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 47380 57120 ) FS ;
- ANTENNA_la_buf\[5\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 364780 8160 ) FS ;
- ANTENNA_mprj_sel_buf\[1\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 814200 51680 ) FS ;
- ANTENNA_la_buf\[6\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 293940 48960 ) N ;
- ANTENNA_la_buf\[7\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 448960 10880 ) N ;
- ANTENNA_la_buf\[8\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 434240 51680 ) FS ;
- ANTENNA_la_buf\[9\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 213440 8160 ) FS ;
- ANTENNA_la_buf\[10\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 196420 32640 ) N ;
- ANTENNA_la_buf\[11\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 76360 46240 ) FS ;
- ANTENNA_la_buf\[12\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 27140 13600 ) FS ;
- ANTENNA_la_buf\[13\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 69460 32640 ) N ;
- ANTENNA_la_buf\[14\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 31280 8160 ) FS ;
- ANTENNA_la_buf\[15\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 258060 27200 ) N ;
- ANTENNA_mprj_sel_buf\[2\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 816960 27200 ) N ;
- ANTENNA_la_buf\[16\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 419060 40800 ) FS ;
- ANTENNA_la_buf\[17\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 42320 10880 ) N ;
- ANTENNA_la_buf\[18\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 181700 38080 ) N ;
- ANTENNA_la_buf\[19\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 46920 16320 ) N ;
- ANTENNA_la_buf\[20\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 43240 32640 ) N ;
- ANTENNA_la_buf\[21\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 59340 10880 ) N ;
- ANTENNA_la_buf\[22\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 66240 38080 ) N ;
- ANTENNA_la_buf\[23\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 45540 10880 ) N ;
- ANTENNA_la_buf\[24\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 109020 46240 ) FS ;
- ANTENNA_la_buf\[25\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 209760 27200 ) N ;
- ANTENNA_mprj_sel_buf\[3\]_TE sky130_fd_sc_hd__diode_2 + PLACED ( 815120 43520 ) N ;
- ANTENNA_mprj_vdd_pwrgood_A sky130_fd_sc_hd__diode_2 + PLACED ( 823400 13600 ) FS ;
END COMPONENTS
PINS 923 ;
- caravel_clk + NET caravel_clk + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- caravel_clk2 + NET caravel_clk2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 4830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- caravel_rstn + NET caravel_rstn + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[0] + NET la_data_in_core[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[100] + NET la_data_in_core[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 60180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[101] + NET la_data_in_core[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 5750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[102] + NET la_data_in_core[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 57460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[103] + NET la_data_in_core[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 7590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[104] + NET la_data_in_core[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[105] + NET la_data_in_core[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[106] + NET la_data_in_core[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 11270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[107] + NET la_data_in_core[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 52020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[108] + NET la_data_in_core[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[109] + NET la_data_in_core[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[10] + NET la_data_in_core[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 49300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[110] + NET la_data_in_core[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 16790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[111] + NET la_data_in_core[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[112] + NET la_data_in_core[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 18630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[113] + NET la_data_in_core[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 20470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[114] + NET la_data_in_core[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 43860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[115] + NET la_data_in_core[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 22310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[116] + NET la_data_in_core[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[117] + NET la_data_in_core[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 24150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[118] + NET la_data_in_core[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[119] + NET la_data_in_core[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[11] + NET la_data_in_core[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 27830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[120] + NET la_data_in_core[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[121] + NET la_data_in_core[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 29670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[122] + NET la_data_in_core[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 31510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[123] + NET la_data_in_core[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[124] + NET la_data_in_core[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[125] + NET la_data_in_core[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[126] + NET la_data_in_core[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 35190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[127] + NET la_data_in_core[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[12] + NET la_data_in_core[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[13] + NET la_data_in_core[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[14] + NET la_data_in_core[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[15] + NET la_data_in_core[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[16] + NET la_data_in_core[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 42550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[17] + NET la_data_in_core[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[18] + NET la_data_in_core[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 44390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[19] + NET la_data_in_core[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[1] + NET la_data_in_core[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 46230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[20] + NET la_data_in_core[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[21] + NET la_data_in_core[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[22] + NET la_data_in_core[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 49910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[23] + NET la_data_in_core[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[24] + NET la_data_in_core[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 51750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[25] + NET la_data_in_core[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 53590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[26] + NET la_data_in_core[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[27] + NET la_data_in_core[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 55430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[28] + NET la_data_in_core[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[29] + NET la_data_in_core[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 57270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[2] + NET la_data_in_core[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[30] + NET la_data_in_core[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[31] + NET la_data_in_core[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 62790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[32] + NET la_data_in_core[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 64630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[33] + NET la_data_in_core[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 66470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[34] + NET la_data_in_core[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 68310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[35] + NET la_data_in_core[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[36] + NET la_data_in_core[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[37] + NET la_data_in_core[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[38] + NET la_data_in_core[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 8510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[39] + NET la_data_in_core[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 73830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[3] + NET la_data_in_core[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[40] + NET la_data_in_core[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 75670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[41] + NET la_data_in_core[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[42] + NET la_data_in_core[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 77510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[43] + NET la_data_in_core[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[44] + NET la_data_in_core[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 79350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[45] + NET la_data_in_core[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[46] + NET la_data_in_core[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 81190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[47] + NET la_data_in_core[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 17710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[48] + NET la_data_in_core[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[49] + NET la_data_in_core[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[4] + NET la_data_in_core[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 84870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[50] + NET la_data_in_core[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 21390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[51] + NET la_data_in_core[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 86710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[52] + NET la_data_in_core[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[53] + NET la_data_in_core[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 88550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[54] + NET la_data_in_core[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[55] + NET la_data_in_core[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 90390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[56] + NET la_data_in_core[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[57] + NET la_data_in_core[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 92230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[58] + NET la_data_in_core[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[59] + NET la_data_in_core[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[5] + NET la_data_in_core[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 30590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[60] + NET la_data_in_core[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 95910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[61] + NET la_data_in_core[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[62] + NET la_data_in_core[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[63] + NET la_data_in_core[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 34270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[64] + NET la_data_in_core[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 99590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[65] + NET la_data_in_core[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 36110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[66] + NET la_data_in_core[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 101430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[67] + NET la_data_in_core[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[68] + NET la_data_in_core[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 103270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[69] + NET la_data_in_core[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 39790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[6] + NET la_data_in_core[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[70] + NET la_data_in_core[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 41630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[71] + NET la_data_in_core[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[72] + NET la_data_in_core[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[73] + NET la_data_in_core[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 108790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[74] + NET la_data_in_core[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 45310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[75] + NET la_data_in_core[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 110630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[76] + NET la_data_in_core[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[77] + NET la_data_in_core[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 112470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[78] + NET la_data_in_core[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[79] + NET la_data_in_core[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 114310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[7] + NET la_data_in_core[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[80] + NET la_data_in_core[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 116150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[81] + NET la_data_in_core[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 52670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[82] + NET la_data_in_core[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 117990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[83] + NET la_data_in_core[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 54510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[84] + NET la_data_in_core[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 119830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[85] + NET la_data_in_core[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 56350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[86] + NET la_data_in_core[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[87] + NET la_data_in_core[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 58190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[88] + NET la_data_in_core[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 123510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[89] + NET la_data_in_core[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[8] + NET la_data_in_core[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 125350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[90] + NET la_data_in_core[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 61870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[91] + NET la_data_in_core[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 127190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[92] + NET la_data_in_core[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 63710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[93] + NET la_data_in_core[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[94] + NET la_data_in_core[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[95] + NET la_data_in_core[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 130870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[96] + NET la_data_in_core[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 67390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[97] + NET la_data_in_core[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 132710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[98] + NET la_data_in_core[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 69230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[99] + NET la_data_in_core[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 134550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[9] + NET la_data_in_core[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[0] + NET la_data_in_mprj[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 72910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[100] + NET la_data_in_mprj[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[101] + NET la_data_in_mprj[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 76590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[102] + NET la_data_in_mprj[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 78430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[103] + NET la_data_in_mprj[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 80270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[104] + NET la_data_in_mprj[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 82110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[105] + NET la_data_in_mprj[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[106] + NET la_data_in_mprj[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 85790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[107] + NET la_data_in_mprj[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 87630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[108] + NET la_data_in_mprj[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 89470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[109] + NET la_data_in_mprj[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 91310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[10] + NET la_data_in_mprj[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 93150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[110] + NET la_data_in_mprj[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[111] + NET la_data_in_mprj[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 96830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[112] + NET la_data_in_mprj[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 98670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[113] + NET la_data_in_mprj[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 100510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[114] + NET la_data_in_mprj[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 102350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[115] + NET la_data_in_mprj[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 104190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[116] + NET la_data_in_mprj[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[117] + NET la_data_in_mprj[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 107870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[118] + NET la_data_in_mprj[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 109710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[119] + NET la_data_in_mprj[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 111550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[11] + NET la_data_in_mprj[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 113390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[120] + NET la_data_in_mprj[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 115230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[121] + NET la_data_in_mprj[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 117070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[122] + NET la_data_in_mprj[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 118910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[123] + NET la_data_in_mprj[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 120750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[124] + NET la_data_in_mprj[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 122590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[125] + NET la_data_in_mprj[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 124430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[126] + NET la_data_in_mprj[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 126270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[127] + NET la_data_in_mprj[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 128110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[12] + NET la_data_in_mprj[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[13] + NET la_data_in_mprj[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 131790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[14] + NET la_data_in_mprj[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 133630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[15] + NET la_data_in_mprj[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 135470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[16] + NET la_data_in_mprj[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 137310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[17] + NET la_data_in_mprj[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 139150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[18] + NET la_data_in_mprj[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 140990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[19] + NET la_data_in_mprj[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 142830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[1] + NET la_data_in_mprj[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 144670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[20] + NET la_data_in_mprj[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 146510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[21] + NET la_data_in_mprj[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 148350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[22] + NET la_data_in_mprj[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 150190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[23] + NET la_data_in_mprj[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[24] + NET la_data_in_mprj[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 153870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[25] + NET la_data_in_mprj[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 155710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[26] + NET la_data_in_mprj[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 157550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[27] + NET la_data_in_mprj[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 159390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[28] + NET la_data_in_mprj[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 161230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[29] + NET la_data_in_mprj[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[2] + NET la_data_in_mprj[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 164910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[30] + NET la_data_in_mprj[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 166750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[31] + NET la_data_in_mprj[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 168590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[32] + NET la_data_in_mprj[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 170430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[33] + NET la_data_in_mprj[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 172270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[34] + NET la_data_in_mprj[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 174110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[35] + NET la_data_in_mprj[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[36] + NET la_data_in_mprj[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[37] + NET la_data_in_mprj[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 179630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[38] + NET la_data_in_mprj[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[39] + NET la_data_in_mprj[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[3] + NET la_data_in_mprj[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 185150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[40] + NET la_data_in_mprj[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[41] + NET la_data_in_mprj[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 188830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[42] + NET la_data_in_mprj[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 190670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[43] + NET la_data_in_mprj[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 192510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[44] + NET la_data_in_mprj[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 194350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[45] + NET la_data_in_mprj[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 196190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[46] + NET la_data_in_mprj[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[47] + NET la_data_in_mprj[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 199870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[48] + NET la_data_in_mprj[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 136390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[49] + NET la_data_in_mprj[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 201710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[4] + NET la_data_in_mprj[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 138230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[50] + NET la_data_in_mprj[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 203550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[51] + NET la_data_in_mprj[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 140070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[52] + NET la_data_in_mprj[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 205390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[53] + NET la_data_in_mprj[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 141910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[54] + NET la_data_in_mprj[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 207230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[55] + NET la_data_in_mprj[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 143750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[56] + NET la_data_in_mprj[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[57] + NET la_data_in_mprj[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[58] + NET la_data_in_mprj[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 210910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[59] + NET la_data_in_mprj[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 147430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[5] + NET la_data_in_mprj[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 212750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[60] + NET la_data_in_mprj[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 149270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[61] + NET la_data_in_mprj[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 214590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[62] + NET la_data_in_mprj[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 151110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[63] + NET la_data_in_mprj[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[64] + NET la_data_in_mprj[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[65] + NET la_data_in_mprj[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 218270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[66] + NET la_data_in_mprj[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 154790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[67] + NET la_data_in_mprj[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 220110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[68] + NET la_data_in_mprj[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 156630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[69] + NET la_data_in_mprj[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 221950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[6] + NET la_data_in_mprj[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 158470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[70] + NET la_data_in_mprj[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 223790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[71] + NET la_data_in_mprj[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 160310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[72] + NET la_data_in_mprj[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[73] + NET la_data_in_mprj[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 162150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[74] + NET la_data_in_mprj[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 227470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[75] + NET la_data_in_mprj[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[76] + NET la_data_in_mprj[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 229310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[77] + NET la_data_in_mprj[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 165830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[78] + NET la_data_in_mprj[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 231150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[79] + NET la_data_in_mprj[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 167670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[7] + NET la_data_in_mprj[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 232990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[80] + NET la_data_in_mprj[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 169510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[81] + NET la_data_in_mprj[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[82] + NET la_data_in_mprj[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 171350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[83] + NET la_data_in_mprj[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 236670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[84] + NET la_data_in_mprj[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 173190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[85] + NET la_data_in_mprj[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 238510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[86] + NET la_data_in_mprj[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[87] + NET la_data_in_mprj[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 240350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[88] + NET la_data_in_mprj[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 176870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[89] + NET la_data_in_mprj[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 242190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[8] + NET la_data_in_mprj[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 178710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[90] + NET la_data_in_mprj[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 244030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[91] + NET la_data_in_mprj[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 180550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[92] + NET la_data_in_mprj[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 245870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[93] + NET la_data_in_mprj[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 182390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[94] + NET la_data_in_mprj[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 247710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[95] + NET la_data_in_mprj[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 184230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[96] + NET la_data_in_mprj[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 249550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[97] + NET la_data_in_mprj[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[98] + NET la_data_in_mprj[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 251390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[99] + NET la_data_in_mprj[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 187910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[9] + NET la_data_in_mprj[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 253230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[0] + NET la_data_out_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 189750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[100] + NET la_data_out_core[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 191590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[101] + NET la_data_out_core[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 193430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[102] + NET la_data_out_core[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 195270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[103] + NET la_data_out_core[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[104] + NET la_data_out_core[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[105] + NET la_data_out_core[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[106] + NET la_data_out_core[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[107] + NET la_data_out_core[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[108] + NET la_data_out_core[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 206310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[109] + NET la_data_out_core[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 208150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[10] + NET la_data_out_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[110] + NET la_data_out_core[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 211830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[111] + NET la_data_out_core[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 213670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[112] + NET la_data_out_core[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 215510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[113] + NET la_data_out_core[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 217350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[114] + NET la_data_out_core[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 219190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[115] + NET la_data_out_core[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 221030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[116] + NET la_data_out_core[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[117] + NET la_data_out_core[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 224710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[118] + NET la_data_out_core[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 226550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[119] + NET la_data_out_core[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[11] + NET la_data_out_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 230230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[120] + NET la_data_out_core[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 232070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[121] + NET la_data_out_core[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 233910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[122] + NET la_data_out_core[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 235750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[123] + NET la_data_out_core[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 237590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[124] + NET la_data_out_core[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 239430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[125] + NET la_data_out_core[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 241270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[126] + NET la_data_out_core[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 243110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[127] + NET la_data_out_core[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 244950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[12] + NET la_data_out_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[13] + NET la_data_out_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[14] + NET la_data_out_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 250470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[15] + NET la_data_out_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 252310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[16] + NET la_data_out_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 254150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[17] + NET la_data_out_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 255990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[18] + NET la_data_out_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 257830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[19] + NET la_data_out_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 259670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[1] + NET la_data_out_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 261510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[20] + NET la_data_out_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 263350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[21] + NET la_data_out_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 265190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[22] + NET la_data_out_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 267030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[23] + NET la_data_out_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 268870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[24] + NET la_data_out_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 270710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[25] + NET la_data_out_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 272550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[26] + NET la_data_out_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 274390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[27] + NET la_data_out_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[28] + NET la_data_out_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 278070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[29] + NET la_data_out_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 279910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[2] + NET la_data_out_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 281750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[30] + NET la_data_out_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 283590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[31] + NET la_data_out_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 285430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[32] + NET la_data_out_core[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 287270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[33] + NET la_data_out_core[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 289110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[34] + NET la_data_out_core[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 290950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[35] + NET la_data_out_core[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 292790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[36] + NET la_data_out_core[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[37] + NET la_data_out_core[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 296470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[38] + NET la_data_out_core[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 298310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[39] + NET la_data_out_core[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[3] + NET la_data_out_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 301990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[40] + NET la_data_out_core[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 303830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[41] + NET la_data_out_core[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 305670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[42] + NET la_data_out_core[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 307510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[43] + NET la_data_out_core[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 309350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[44] + NET la_data_out_core[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 311190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[45] + NET la_data_out_core[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 313030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[46] + NET la_data_out_core[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 314870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[47] + NET la_data_out_core[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 316710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[48] + NET la_data_out_core[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[49] + NET la_data_out_core[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 255070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[4] + NET la_data_out_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 320390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[50] + NET la_data_out_core[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 256910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[51] + NET la_data_out_core[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 322230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[52] + NET la_data_out_core[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[53] + NET la_data_out_core[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 324070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[54] + NET la_data_out_core[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 260590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[55] + NET la_data_out_core[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 325910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[56] + NET la_data_out_core[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 262430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[57] + NET la_data_out_core[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 327750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[58] + NET la_data_out_core[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[59] + NET la_data_out_core[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 329590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[5] + NET la_data_out_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 266110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[60] + NET la_data_out_core[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 331430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[61] + NET la_data_out_core[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 267950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[62] + NET la_data_out_core[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 333270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[63] + NET la_data_out_core[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 269790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[64] + NET la_data_out_core[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 335110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[65] + NET la_data_out_core[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 271630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[66] + NET la_data_out_core[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[67] + NET la_data_out_core[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 273470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[68] + NET la_data_out_core[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 338790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[69] + NET la_data_out_core[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 275310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[6] + NET la_data_out_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 340630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[70] + NET la_data_out_core[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 277150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[71] + NET la_data_out_core[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 342470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[72] + NET la_data_out_core[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 278990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[73] + NET la_data_out_core[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 344310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[74] + NET la_data_out_core[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 280830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[75] + NET la_data_out_core[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 346150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[76] + NET la_data_out_core[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[77] + NET la_data_out_core[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[78] + NET la_data_out_core[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 284510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[79] + NET la_data_out_core[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 349830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[7] + NET la_data_out_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 286350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[80] + NET la_data_out_core[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 351670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[81] + NET la_data_out_core[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 288190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[82] + NET la_data_out_core[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[83] + NET la_data_out_core[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 290030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[84] + NET la_data_out_core[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 355350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[85] + NET la_data_out_core[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 291870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[86] + NET la_data_out_core[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 357190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[87] + NET la_data_out_core[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 293710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[88] + NET la_data_out_core[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 359030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[89] + NET la_data_out_core[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 295550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[8] + NET la_data_out_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 360870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[90] + NET la_data_out_core[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 297390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[91] + NET la_data_out_core[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 362710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[92] + NET la_data_out_core[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 299230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[93] + NET la_data_out_core[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 364550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[94] + NET la_data_out_core[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 301070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[95] + NET la_data_out_core[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 366390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[96] + NET la_data_out_core[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 302910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[97] + NET la_data_out_core[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 368230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[98] + NET la_data_out_core[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 304750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[99] + NET la_data_out_core[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[9] + NET la_data_out_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 306590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[0] + NET la_data_out_mprj[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 308430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[100] + NET la_data_out_mprj[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 310270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[101] + NET la_data_out_mprj[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[102] + NET la_data_out_mprj[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 313950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[103] + NET la_data_out_mprj[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 315790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[104] + NET la_data_out_mprj[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 317630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[105] + NET la_data_out_mprj[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 319470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[106] + NET la_data_out_mprj[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 321310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[107] + NET la_data_out_mprj[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 323150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[108] + NET la_data_out_mprj[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 324990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[109] + NET la_data_out_mprj[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 326830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[10] + NET la_data_out_mprj[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 328670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[110] + NET la_data_out_mprj[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[111] + NET la_data_out_mprj[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 332350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[112] + NET la_data_out_mprj[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 334190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[113] + NET la_data_out_mprj[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[114] + NET la_data_out_mprj[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 337870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[115] + NET la_data_out_mprj[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 339710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[116] + NET la_data_out_mprj[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 341550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[117] + NET la_data_out_mprj[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 343390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[118] + NET la_data_out_mprj[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 345230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[119] + NET la_data_out_mprj[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[11] + NET la_data_out_mprj[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 348910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[120] + NET la_data_out_mprj[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 350750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[121] + NET la_data_out_mprj[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 352590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[122] + NET la_data_out_mprj[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 354430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[123] + NET la_data_out_mprj[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 356270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[124] + NET la_data_out_mprj[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 358110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[125] + NET la_data_out_mprj[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 359950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[126] + NET la_data_out_mprj[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 361790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[127] + NET la_data_out_mprj[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 363630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[12] + NET la_data_out_mprj[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[13] + NET la_data_out_mprj[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 367310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[14] + NET la_data_out_mprj[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 369150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[15] + NET la_data_out_mprj[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[16] + NET la_data_out_mprj[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 372830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[17] + NET la_data_out_mprj[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 374670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[18] + NET la_data_out_mprj[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 376510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[19] + NET la_data_out_mprj[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 378350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[1] + NET la_data_out_mprj[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 380190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[20] + NET la_data_out_mprj[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 382030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[21] + NET la_data_out_mprj[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[22] + NET la_data_out_mprj[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 385710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[23] + NET la_data_out_mprj[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 387550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[24] + NET la_data_out_mprj[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[25] + NET la_data_out_mprj[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 391230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[26] + NET la_data_out_mprj[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 393070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[27] + NET la_data_out_mprj[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 394910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[28] + NET la_data_out_mprj[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 396750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[29] + NET la_data_out_mprj[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 398590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[2] + NET la_data_out_mprj[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 400430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[30] + NET la_data_out_mprj[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 402270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[31] + NET la_data_out_mprj[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 404110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[32] + NET la_data_out_mprj[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 405950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[33] + NET la_data_out_mprj[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[34] + NET la_data_out_mprj[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 409630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[35] + NET la_data_out_mprj[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 411470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[36] + NET la_data_out_mprj[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 413310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[37] + NET la_data_out_mprj[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 415150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[38] + NET la_data_out_mprj[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 416990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[39] + NET la_data_out_mprj[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 418830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[3] + NET la_data_out_mprj[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 420670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[40] + NET la_data_out_mprj[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 422510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[41] + NET la_data_out_mprj[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[42] + NET la_data_out_mprj[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 426190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[43] + NET la_data_out_mprj[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 428030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[44] + NET la_data_out_mprj[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 429870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[45] + NET la_data_out_mprj[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 431710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[46] + NET la_data_out_mprj[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 433550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[47] + NET la_data_out_mprj[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 435390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[48] + NET la_data_out_mprj[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[49] + NET la_data_out_mprj[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 437230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[4] + NET la_data_out_mprj[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 373750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[50] + NET la_data_out_mprj[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 439070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[51] + NET la_data_out_mprj[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 375590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[52] + NET la_data_out_mprj[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 440910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[53] + NET la_data_out_mprj[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 377430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[54] + NET la_data_out_mprj[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[55] + NET la_data_out_mprj[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 379270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[56] + NET la_data_out_mprj[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 444590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[57] + NET la_data_out_mprj[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 381110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[58] + NET la_data_out_mprj[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 446430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[59] + NET la_data_out_mprj[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 382950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[5] + NET la_data_out_mprj[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 448270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[60] + NET la_data_out_mprj[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 384790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[61] + NET la_data_out_mprj[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 450110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[62] + NET la_data_out_mprj[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 386630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[63] + NET la_data_out_mprj[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 451950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[64] + NET la_data_out_mprj[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 388470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[65] + NET la_data_out_mprj[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 453790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[66] + NET la_data_out_mprj[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 390310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[67] + NET la_data_out_mprj[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 455630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[68] + NET la_data_out_mprj[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 392150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[69] + NET la_data_out_mprj[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 457470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[6] + NET la_data_out_mprj[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 393990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[70] + NET la_data_out_mprj[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 459310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[71] + NET la_data_out_mprj[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 395830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[72] + NET la_data_out_mprj[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 461150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[73] + NET la_data_out_mprj[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 397670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[74] + NET la_data_out_mprj[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 462990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[75] + NET la_data_out_mprj[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 399510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[76] + NET la_data_out_mprj[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 464830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[77] + NET la_data_out_mprj[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[78] + NET la_data_out_mprj[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 466670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[79] + NET la_data_out_mprj[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 403190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[7] + NET la_data_out_mprj[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 468510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[80] + NET la_data_out_mprj[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 405030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[81] + NET la_data_out_mprj[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 470350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[82] + NET la_data_out_mprj[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 406870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[83] + NET la_data_out_mprj[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[84] + NET la_data_out_mprj[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 408710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[85] + NET la_data_out_mprj[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 474030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[86] + NET la_data_out_mprj[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 410550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[87] + NET la_data_out_mprj[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 475870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[88] + NET la_data_out_mprj[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 412390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[89] + NET la_data_out_mprj[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 477710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[8] + NET la_data_out_mprj[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 414230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[90] + NET la_data_out_mprj[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 479550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[91] + NET la_data_out_mprj[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 416070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[92] + NET la_data_out_mprj[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 481390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[93] + NET la_data_out_mprj[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 417910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[94] + NET la_data_out_mprj[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 483230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[95] + NET la_data_out_mprj[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[96] + NET la_data_out_mprj[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 485070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[97] + NET la_data_out_mprj[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 421590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[98] + NET la_data_out_mprj[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 486910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[99] + NET la_data_out_mprj[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 423430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[9] + NET la_data_out_mprj[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 488750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[0] + NET la_oen_core[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 425270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[100] + NET la_oen_core[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 427110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[101] + NET la_oen_core[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 428950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[102] + NET la_oen_core[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[103] + NET la_oen_core[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 432630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[104] + NET la_oen_core[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 434470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[105] + NET la_oen_core[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 436310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[106] + NET la_oen_core[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 438150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[107] + NET la_oen_core[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 439990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[108] + NET la_oen_core[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 441830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[109] + NET la_oen_core[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 443670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[10] + NET la_oen_core[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[110] + NET la_oen_core[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 447350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[111] + NET la_oen_core[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 449190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[112] + NET la_oen_core[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 451030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[113] + NET la_oen_core[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 452870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[114] + NET la_oen_core[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 454710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[115] + NET la_oen_core[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 456550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[116] + NET la_oen_core[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 458390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[117] + NET la_oen_core[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 460230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[118] + NET la_oen_core[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 462070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[119] + NET la_oen_core[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 463910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[11] + NET la_oen_core[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 465750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[120] + NET la_oen_core[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 467590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[121] + NET la_oen_core[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 469430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[122] + NET la_oen_core[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 471270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[123] + NET la_oen_core[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 473110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[124] + NET la_oen_core[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 474950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[125] + NET la_oen_core[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 476790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[126] + NET la_oen_core[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 478630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[127] + NET la_oen_core[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 480470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[12] + NET la_oen_core[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 482310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[13] + NET la_oen_core[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[14] + NET la_oen_core[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 485990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[15] + NET la_oen_core[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 487830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[16] + NET la_oen_core[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 489670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[17] + NET la_oen_core[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 491510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[18] + NET la_oen_core[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 493350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[19] + NET la_oen_core[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 495190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[1] + NET la_oen_core[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[20] + NET la_oen_core[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 498870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[21] + NET la_oen_core[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 500710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[22] + NET la_oen_core[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[23] + NET la_oen_core[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 504390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[24] + NET la_oen_core[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 506230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[25] + NET la_oen_core[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 508070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[26] + NET la_oen_core[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 509910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[27] + NET la_oen_core[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 511750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[28] + NET la_oen_core[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 513590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[29] + NET la_oen_core[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 515430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[2] + NET la_oen_core[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 517270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[30] + NET la_oen_core[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 519110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[31] + NET la_oen_core[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[32] + NET la_oen_core[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 522790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[33] + NET la_oen_core[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 524630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[34] + NET la_oen_core[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 526470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[35] + NET la_oen_core[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 528310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[36] + NET la_oen_core[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 530150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[37] + NET la_oen_core[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 531990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[38] + NET la_oen_core[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 533830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[39] + NET la_oen_core[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 535670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[3] + NET la_oen_core[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[40] + NET la_oen_core[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 539350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[41] + NET la_oen_core[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 541190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[42] + NET la_oen_core[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 543030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[43] + NET la_oen_core[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 544870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[44] + NET la_oen_core[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 546710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[45] + NET la_oen_core[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 548550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[46] + NET la_oen_core[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 550390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[47] + NET la_oen_core[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 552230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[48] + NET la_oen_core[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 554070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[49] + NET la_oen_core[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 490590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[4] + NET la_oen_core[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[50] + NET la_oen_core[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 492430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[51] + NET la_oen_core[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 557750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[52] + NET la_oen_core[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 494270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[53] + NET la_oen_core[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 559590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[54] + NET la_oen_core[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 496110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[55] + NET la_oen_core[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 561430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[56] + NET la_oen_core[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[57] + NET la_oen_core[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 563270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[58] + NET la_oen_core[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 499790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[59] + NET la_oen_core[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 565110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[5] + NET la_oen_core[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 501630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[60] + NET la_oen_core[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 566950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[61] + NET la_oen_core[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 503470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[62] + NET la_oen_core[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 568790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[63] + NET la_oen_core[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 505310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[64] + NET la_oen_core[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 570630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[65] + NET la_oen_core[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 507150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[66] + NET la_oen_core[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 572470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[67] + NET la_oen_core[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 508990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[68] + NET la_oen_core[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 574310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[69] + NET la_oen_core[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 510830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[6] + NET la_oen_core[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 576150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[70] + NET la_oen_core[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 512670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[71] + NET la_oen_core[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 577990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[72] + NET la_oen_core[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 514510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[73] + NET la_oen_core[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 579830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[74] + NET la_oen_core[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 516350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[75] + NET la_oen_core[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 581670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[76] + NET la_oen_core[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 518190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[77] + NET la_oen_core[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 583510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[78] + NET la_oen_core[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[79] + NET la_oen_core[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 585350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[7] + NET la_oen_core[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 521870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[80] + NET la_oen_core[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 587190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[81] + NET la_oen_core[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 523710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[82] + NET la_oen_core[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 589030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[83] + NET la_oen_core[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 525550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[84] + NET la_oen_core[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 590870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[85] + NET la_oen_core[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 527390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[86] + NET la_oen_core[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 592710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[87] + NET la_oen_core[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 529230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[88] + NET la_oen_core[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 594550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[89] + NET la_oen_core[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 531070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[8] + NET la_oen_core[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 596390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[90] + NET la_oen_core[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 532910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[91] + NET la_oen_core[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 598230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[92] + NET la_oen_core[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 534750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[93] + NET la_oen_core[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 600070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[94] + NET la_oen_core[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 536590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[95] + NET la_oen_core[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 601910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[96] + NET la_oen_core[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 538430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[97] + NET la_oen_core[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 603750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[98] + NET la_oen_core[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 540270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[99] + NET la_oen_core[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 605590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[9] + NET la_oen_core[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 542110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[0] + NET la_oen_mprj[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[100] + NET la_oen_mprj[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 545790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[101] + NET la_oen_mprj[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 547630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[102] + NET la_oen_mprj[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[103] + NET la_oen_mprj[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 551310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[104] + NET la_oen_mprj[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 553150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[105] + NET la_oen_mprj[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 554990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[106] + NET la_oen_mprj[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 556830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[107] + NET la_oen_mprj[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 558670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[108] + NET la_oen_mprj[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 560510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[109] + NET la_oen_mprj[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 562350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[10] + NET la_oen_mprj[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 564190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[110] + NET la_oen_mprj[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 566030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[111] + NET la_oen_mprj[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[112] + NET la_oen_mprj[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 569710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[113] + NET la_oen_mprj[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 571550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[114] + NET la_oen_mprj[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 573390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[115] + NET la_oen_mprj[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 575230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[116] + NET la_oen_mprj[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 577070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[117] + NET la_oen_mprj[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 578910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[118] + NET la_oen_mprj[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 580750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[119] + NET la_oen_mprj[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 582590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[11] + NET la_oen_mprj[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 584430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[120] + NET la_oen_mprj[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 586270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[121] + NET la_oen_mprj[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 588110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[122] + NET la_oen_mprj[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 589950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[123] + NET la_oen_mprj[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 591790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[124] + NET la_oen_mprj[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 593630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[125] + NET la_oen_mprj[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 595470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[126] + NET la_oen_mprj[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[127] + NET la_oen_mprj[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 599150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[12] + NET la_oen_mprj[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 600990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[13] + NET la_oen_mprj[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 602830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[14] + NET la_oen_mprj[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 604670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[15] + NET la_oen_mprj[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 606510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[16] + NET la_oen_mprj[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 608350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[17] + NET la_oen_mprj[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 610190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[18] + NET la_oen_mprj[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 612030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[19] + NET la_oen_mprj[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 613870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[1] + NET la_oen_mprj[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[20] + NET la_oen_mprj[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 617550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[21] + NET la_oen_mprj[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 619390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[22] + NET la_oen_mprj[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[23] + NET la_oen_mprj[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 623070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[24] + NET la_oen_mprj[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 624910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[25] + NET la_oen_mprj[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 626750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[26] + NET la_oen_mprj[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 628590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[27] + NET la_oen_mprj[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 630430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[28] + NET la_oen_mprj[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 632270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[29] + NET la_oen_mprj[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 634110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[2] + NET la_oen_mprj[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 635950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[30] + NET la_oen_mprj[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 637790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[31] + NET la_oen_mprj[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 639630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[32] + NET la_oen_mprj[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 641470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[33] + NET la_oen_mprj[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 643310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[34] + NET la_oen_mprj[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[35] + NET la_oen_mprj[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 646990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[36] + NET la_oen_mprj[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 648830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[37] + NET la_oen_mprj[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 650670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[38] + NET la_oen_mprj[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 652510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[39] + NET la_oen_mprj[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 654350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[3] + NET la_oen_mprj[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 656190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[40] + NET la_oen_mprj[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 658030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[41] + NET la_oen_mprj[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 659870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[42] + NET la_oen_mprj[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 661710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[43] + NET la_oen_mprj[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[44] + NET la_oen_mprj[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 665390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[45] + NET la_oen_mprj[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 667230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[46] + NET la_oen_mprj[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[47] + NET la_oen_mprj[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 670910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[48] + NET la_oen_mprj[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 607430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[49] + NET la_oen_mprj[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 672750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[4] + NET la_oen_mprj[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 609270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[50] + NET la_oen_mprj[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 674590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[51] + NET la_oen_mprj[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 611110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[52] + NET la_oen_mprj[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 676430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[53] + NET la_oen_mprj[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 612950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[54] + NET la_oen_mprj[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 678270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[55] + NET la_oen_mprj[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 614790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[56] + NET la_oen_mprj[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[57] + NET la_oen_mprj[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 616630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[58] + NET la_oen_mprj[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 681950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[59] + NET la_oen_mprj[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 618470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[5] + NET la_oen_mprj[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 683790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[60] + NET la_oen_mprj[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 620310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[61] + NET la_oen_mprj[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 685630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[62] + NET la_oen_mprj[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 622150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[63] + NET la_oen_mprj[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 687470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[64] + NET la_oen_mprj[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 623990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[65] + NET la_oen_mprj[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 689310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[66] + NET la_oen_mprj[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 625830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[67] + NET la_oen_mprj[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 691150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[68] + NET la_oen_mprj[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 627670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[69] + NET la_oen_mprj[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 692990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[6] + NET la_oen_mprj[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 629510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[70] + NET la_oen_mprj[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 694830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[71] + NET la_oen_mprj[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 631350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[72] + NET la_oen_mprj[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 696670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[73] + NET la_oen_mprj[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[74] + NET la_oen_mprj[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[75] + NET la_oen_mprj[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 635030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[76] + NET la_oen_mprj[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 700350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[77] + NET la_oen_mprj[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 636870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[78] + NET la_oen_mprj[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 702190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[79] + NET la_oen_mprj[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 638710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[7] + NET la_oen_mprj[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[80] + NET la_oen_mprj[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 640550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[81] + NET la_oen_mprj[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 705870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[82] + NET la_oen_mprj[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 642390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[83] + NET la_oen_mprj[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 707710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[84] + NET la_oen_mprj[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 644230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[85] + NET la_oen_mprj[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 709550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[86] + NET la_oen_mprj[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 646070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[87] + NET la_oen_mprj[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 711390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[88] + NET la_oen_mprj[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 647910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[89] + NET la_oen_mprj[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 713230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[8] + NET la_oen_mprj[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 649750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[90] + NET la_oen_mprj[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 715070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[91] + NET la_oen_mprj[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[92] + NET la_oen_mprj[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[93] + NET la_oen_mprj[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 653430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[94] + NET la_oen_mprj[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 718750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[95] + NET la_oen_mprj[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 655270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[96] + NET la_oen_mprj[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 720590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[97] + NET la_oen_mprj[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 657110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[98] + NET la_oen_mprj[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[99] + NET la_oen_mprj[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 658950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[9] + NET la_oen_mprj[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 724270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[0] + NET mprj_adr_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 660790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[10] + NET mprj_adr_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 726110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[11] + NET mprj_adr_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 662630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[12] + NET mprj_adr_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 727950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[13] + NET mprj_adr_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 664470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[14] + NET mprj_adr_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 729790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[15] + NET mprj_adr_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 666310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[16] + NET mprj_adr_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 731630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[17] + NET mprj_adr_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 668150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[18] + NET mprj_adr_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 733470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[19] + NET mprj_adr_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[1] + NET mprj_adr_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 735310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[20] + NET mprj_adr_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 671830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[21] + NET mprj_adr_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 737150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[22] + NET mprj_adr_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 673670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[23] + NET mprj_adr_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 738990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[24] + NET mprj_adr_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 675510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[25] + NET mprj_adr_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[26] + NET mprj_adr_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 677350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[27] + NET mprj_adr_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 742670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[28] + NET mprj_adr_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 679190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[29] + NET mprj_adr_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 744510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[2] + NET mprj_adr_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 681030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[30] + NET mprj_adr_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 746350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[31] + NET mprj_adr_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 682870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[3] + NET mprj_adr_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 748190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[4] + NET mprj_adr_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 684710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[5] + NET mprj_adr_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 750030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[6] + NET mprj_adr_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[7] + NET mprj_adr_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 751870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[8] + NET mprj_adr_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 688390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[9] + NET mprj_adr_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 753710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[0] + NET mprj_adr_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 690230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[10] + NET mprj_adr_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[11] + NET mprj_adr_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 693910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[12] + NET mprj_adr_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 695750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[13] + NET mprj_adr_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 697590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[14] + NET mprj_adr_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 699430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[15] + NET mprj_adr_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 701270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[16] + NET mprj_adr_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 703110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[17] + NET mprj_adr_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 704950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[18] + NET mprj_adr_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 706790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[19] + NET mprj_adr_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 708630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[1] + NET mprj_adr_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[20] + NET mprj_adr_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 712310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[21] + NET mprj_adr_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 714150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[22] + NET mprj_adr_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 715990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[23] + NET mprj_adr_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 717830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[24] + NET mprj_adr_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 719670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[25] + NET mprj_adr_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 721510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[26] + NET mprj_adr_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 723350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[27] + NET mprj_adr_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 725190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[28] + NET mprj_adr_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 727030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[29] + NET mprj_adr_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[2] + NET mprj_adr_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 730710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[30] + NET mprj_adr_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 732550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[31] + NET mprj_adr_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 734390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[3] + NET mprj_adr_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 736230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[4] + NET mprj_adr_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[5] + NET mprj_adr_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 739910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[6] + NET mprj_adr_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 741750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[7] + NET mprj_adr_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 743590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[8] + NET mprj_adr_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 745430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[9] + NET mprj_adr_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 747270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_cyc_o_core + NET mprj_cyc_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 755550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_cyc_o_user + NET mprj_cyc_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 749110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[0] + NET mprj_dat_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[10] + NET mprj_dat_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 759230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[11] + NET mprj_dat_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 761070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[12] + NET mprj_dat_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 762910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[13] + NET mprj_dat_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 764750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[14] + NET mprj_dat_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 766590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[15] + NET mprj_dat_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 768430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[16] + NET mprj_dat_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 770270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[17] + NET mprj_dat_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 772110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[18] + NET mprj_dat_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 773950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[19] + NET mprj_dat_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[1] + NET mprj_dat_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 777630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[20] + NET mprj_dat_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 779470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[21] + NET mprj_dat_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 781310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[22] + NET mprj_dat_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 783150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[23] + NET mprj_dat_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 784990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[24] + NET mprj_dat_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 786830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[25] + NET mprj_dat_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 788670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[26] + NET mprj_dat_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 790510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[27] + NET mprj_dat_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 792350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[28] + NET mprj_dat_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 794190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[29] + NET mprj_dat_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 796030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[2] + NET mprj_dat_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 797870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[30] + NET mprj_dat_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 799710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[31] + NET mprj_dat_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 801550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[3] + NET mprj_dat_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 803390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[4] + NET mprj_dat_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[5] + NET mprj_dat_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 807070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[6] + NET mprj_dat_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 808910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[7] + NET mprj_dat_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 810750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[8] + NET mprj_dat_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 812590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[9] + NET mprj_dat_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 814430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[0] + NET mprj_dat_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 750950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[10] + NET mprj_dat_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 752790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[11] + NET mprj_dat_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 754630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[12] + NET mprj_dat_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 756470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[13] + NET mprj_dat_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 758310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[14] + NET mprj_dat_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 760150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[15] + NET mprj_dat_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 761990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[16] + NET mprj_dat_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[17] + NET mprj_dat_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 765670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[18] + NET mprj_dat_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 767510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[19] + NET mprj_dat_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 769350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[1] + NET mprj_dat_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 771190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[20] + NET mprj_dat_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 773030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[21] + NET mprj_dat_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 774870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[22] + NET mprj_dat_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 776710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[23] + NET mprj_dat_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 778550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[24] + NET mprj_dat_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 780390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[25] + NET mprj_dat_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 782230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[26] + NET mprj_dat_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 784070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[27] + NET mprj_dat_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 785910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[28] + NET mprj_dat_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 787750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[29] + NET mprj_dat_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 789590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[2] + NET mprj_dat_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 791430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[30] + NET mprj_dat_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 793270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[31] + NET mprj_dat_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 795110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[3] + NET mprj_dat_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 796950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[4] + NET mprj_dat_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 798790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[5] + NET mprj_dat_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 800630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[6] + NET mprj_dat_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 802470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[7] + NET mprj_dat_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 804310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[8] + NET mprj_dat_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 806150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[9] + NET mprj_dat_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 807990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[0] + NET mprj_sel_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 816270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[1] + NET mprj_sel_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 818110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[2] + NET mprj_sel_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 819950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[3] + NET mprj_sel_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 821790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[0] + NET mprj_sel_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 809830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[1] + NET mprj_sel_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 811670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[2] + NET mprj_sel_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 813510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[3] + NET mprj_sel_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 815350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_stb_o_core + NET mprj_stb_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_stb_o_user + NET mprj_stb_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o_core + NET mprj_we_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 825470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o_user + NET mprj_we_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 819030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user1_vcc_powergood + NET user1_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 827310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user1_vdd_powergood + NET user1_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 829150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user2_vcc_powergood + NET user2_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 830990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user2_vdd_powergood + NET user2_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 832830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_clock + NET user_clock + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 820870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_clock2 + NET user_clock2 + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 822710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_reset + NET user_reset + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 824550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_resetn + NET user_resetn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 424810 57120 ) N + LAYER met1 ( -422970 -240 ) ( 422970 240 ) ;
- VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 424810 59840 ) N + LAYER met1 ( -422970 -240 ) ( 422970 240 ) ;
END PINS
SPECIALNETS 2 ;
- VPWR ( PIN VPWR ) ( * VPWR ) ( * VPB ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 707107 52113 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 425000 52113 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 142893 52113 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 707107 32260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 425000 32260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 142893 32260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 707107 12407 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 425000 12407 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 142893 12407 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 707107 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 8160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 8160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 8160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 8160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 8160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 8160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 8160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 8160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 8160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 707107 2720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 707107 2720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 707107 2720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 425000 2720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 425000 2720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 425000 2720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 142893 2720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 142893 2720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 142893 2720 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 1840 52113 ) ( 847780 52113 )
NEW met5 1600 + SHAPE STRIPE ( 1840 32260 ) ( 847780 32260 )
NEW met5 1600 + SHAPE STRIPE ( 1840 12407 ) ( 847780 12407 )
NEW met4 1600 + SHAPE STRIPE ( 707107 2480 ) ( 707107 60080 )
NEW met4 1600 + SHAPE STRIPE ( 425000 2480 ) ( 425000 60080 )
NEW met4 1600 + SHAPE STRIPE ( 142893 2480 ) ( 142893 60080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 57120 ) ( 847780 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 51680 ) ( 847780 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 46240 ) ( 847780 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 40800 ) ( 847780 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 35360 ) ( 847780 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 35360 ) ( 465060 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 29920 ) ( 847780 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 29920 ) ( 465060 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 24480 ) ( 847780 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 24480 ) ( 465060 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 19040 ) ( 847780 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 19040 ) ( 465060 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 13600 ) ( 847780 13600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 13600 ) ( 465060 13600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 8160 ) ( 847780 8160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 8160 ) ( 465060 8160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 2720 ) ( 847780 2720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 2720 ) ( 465060 2720 ) ;
- VGND ( PIN VGND ) ( * VGND ) ( * VNB ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 566053 42186 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 283946 42186 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 566053 22333 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 283946 22333 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 566053 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 566053 5440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 566053 5440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 566053 5440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 283946 5440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 283946 5440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 283946 5440 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 1840 42186 ) ( 847780 42186 )
NEW met5 1600 + SHAPE STRIPE ( 1840 22333 ) ( 847780 22333 )
NEW met4 1600 + SHAPE STRIPE ( 566053 2480 ) ( 566053 60080 )
NEW met4 1600 + SHAPE STRIPE ( 283946 2480 ) ( 283946 60080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 59840 ) ( 847780 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 54400 ) ( 847780 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 48960 ) ( 847780 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 43520 ) ( 847780 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 38080 ) ( 847780 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 38080 ) ( 465060 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 32640 ) ( 847780 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 32640 ) ( 465060 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 27200 ) ( 847780 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 27200 ) ( 465060 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 21760 ) ( 847780 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 21760 ) ( 465060 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 16320 ) ( 847780 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 16320 ) ( 465060 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 10880 ) ( 847780 10880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 10880 ) ( 465060 10880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 510140 5440 ) ( 847780 5440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 1840 5440 ) ( 465060 5440 ) ;
END SPECIALNETS
NETS 1840 ;
- caravel_clk ( PIN caravel_clk ) ( ANTENNA__398__A DIODE ) ( _398_ A ) + USE SIGNAL ;
- caravel_clk2 ( PIN caravel_clk2 ) ( ANTENNA__399__A DIODE ) ( _399_ A ) + USE SIGNAL ;
- caravel_rstn ( PIN caravel_rstn ) ( ANTENNA__396__A DIODE ) ( _396_ A ) + USE SIGNAL ;
- la_data_in_core[0] ( PIN la_data_in_core[0] ) ( la_buf\[0\] Z ) + USE SIGNAL ;
- la_data_in_core[100] ( PIN la_data_in_core[100] ) ( la_buf\[100\] Z ) + USE SIGNAL ;
- la_data_in_core[101] ( PIN la_data_in_core[101] ) ( la_buf\[101\] Z ) + USE SIGNAL ;
- la_data_in_core[102] ( PIN la_data_in_core[102] ) ( la_buf\[102\] Z ) + USE SIGNAL ;
- la_data_in_core[103] ( PIN la_data_in_core[103] ) ( la_buf\[103\] Z ) + USE SIGNAL ;
- la_data_in_core[104] ( PIN la_data_in_core[104] ) ( la_buf\[104\] Z ) + USE SIGNAL ;
- la_data_in_core[105] ( PIN la_data_in_core[105] ) ( la_buf\[105\] Z ) + USE SIGNAL ;
- la_data_in_core[106] ( PIN la_data_in_core[106] ) ( la_buf\[106\] Z ) + USE SIGNAL ;
- la_data_in_core[107] ( PIN la_data_in_core[107] ) ( la_buf\[107\] Z ) + USE SIGNAL ;
- la_data_in_core[108] ( PIN la_data_in_core[108] ) ( la_buf\[108\] Z ) + USE SIGNAL ;
- la_data_in_core[109] ( PIN la_data_in_core[109] ) ( la_buf\[109\] Z ) + USE SIGNAL ;
- la_data_in_core[10] ( PIN la_data_in_core[10] ) ( la_buf\[10\] Z ) + USE SIGNAL ;
- la_data_in_core[110] ( PIN la_data_in_core[110] ) ( la_buf\[110\] Z ) + USE SIGNAL ;
- la_data_in_core[111] ( PIN la_data_in_core[111] ) ( la_buf\[111\] Z ) + USE SIGNAL ;
- la_data_in_core[112] ( PIN la_data_in_core[112] ) ( la_buf\[112\] Z ) + USE SIGNAL ;
- la_data_in_core[113] ( PIN la_data_in_core[113] ) ( la_buf\[113\] Z ) + USE SIGNAL ;
- la_data_in_core[114] ( PIN la_data_in_core[114] ) ( la_buf\[114\] Z ) + USE SIGNAL ;
- la_data_in_core[115] ( PIN la_data_in_core[115] ) ( la_buf\[115\] Z ) + USE SIGNAL ;
- la_data_in_core[116] ( PIN la_data_in_core[116] ) ( la_buf\[116\] Z ) + USE SIGNAL ;
- la_data_in_core[117] ( PIN la_data_in_core[117] ) ( la_buf\[117\] Z ) + USE SIGNAL ;
- la_data_in_core[118] ( PIN la_data_in_core[118] ) ( la_buf\[118\] Z ) + USE SIGNAL ;
- la_data_in_core[119] ( PIN la_data_in_core[119] ) ( la_buf\[119\] Z ) + USE SIGNAL ;
- la_data_in_core[11] ( PIN la_data_in_core[11] ) ( la_buf\[11\] Z ) + USE SIGNAL ;
- la_data_in_core[120] ( PIN la_data_in_core[120] ) ( la_buf\[120\] Z ) + USE SIGNAL ;
- la_data_in_core[121] ( PIN la_data_in_core[121] ) ( la_buf\[121\] Z ) + USE SIGNAL ;
- la_data_in_core[122] ( PIN la_data_in_core[122] ) ( la_buf\[122\] Z ) + USE SIGNAL ;
- la_data_in_core[123] ( PIN la_data_in_core[123] ) ( la_buf\[123\] Z ) + USE SIGNAL ;
- la_data_in_core[124] ( PIN la_data_in_core[124] ) ( la_buf\[124\] Z ) + USE SIGNAL ;
- la_data_in_core[125] ( PIN la_data_in_core[125] ) ( la_buf\[125\] Z ) + USE SIGNAL ;
- la_data_in_core[126] ( PIN la_data_in_core[126] ) ( la_buf\[126\] Z ) + USE SIGNAL ;
- la_data_in_core[127] ( PIN la_data_in_core[127] ) ( la_buf\[127\] Z ) + USE SIGNAL ;
- la_data_in_core[12] ( PIN la_data_in_core[12] ) ( la_buf\[12\] Z ) + USE SIGNAL ;
- la_data_in_core[13] ( PIN la_data_in_core[13] ) ( la_buf\[13\] Z ) + USE SIGNAL ;
- la_data_in_core[14] ( PIN la_data_in_core[14] ) ( la_buf\[14\] Z ) + USE SIGNAL ;
- la_data_in_core[15] ( PIN la_data_in_core[15] ) ( la_buf\[15\] Z ) + USE SIGNAL ;
- la_data_in_core[16] ( PIN la_data_in_core[16] ) ( la_buf\[16\] Z ) + USE SIGNAL ;
- la_data_in_core[17] ( PIN la_data_in_core[17] ) ( la_buf\[17\] Z ) + USE SIGNAL ;
- la_data_in_core[18] ( PIN la_data_in_core[18] ) ( la_buf\[18\] Z ) + USE SIGNAL ;
- la_data_in_core[19] ( PIN la_data_in_core[19] ) ( la_buf\[19\] Z ) + USE SIGNAL ;
- la_data_in_core[1] ( PIN la_data_in_core[1] ) ( la_buf\[1\] Z ) + USE SIGNAL ;
- la_data_in_core[20] ( PIN la_data_in_core[20] ) ( la_buf\[20\] Z ) + USE SIGNAL ;
- la_data_in_core[21] ( PIN la_data_in_core[21] ) ( la_buf\[21\] Z ) + USE SIGNAL ;
- la_data_in_core[22] ( PIN la_data_in_core[22] ) ( la_buf\[22\] Z ) + USE SIGNAL ;
- la_data_in_core[23] ( PIN la_data_in_core[23] ) ( la_buf\[23\] Z ) + USE SIGNAL ;
- la_data_in_core[24] ( PIN la_data_in_core[24] ) ( la_buf\[24\] Z ) + USE SIGNAL ;
- la_data_in_core[25] ( PIN la_data_in_core[25] ) ( la_buf\[25\] Z ) + USE SIGNAL ;
- la_data_in_core[26] ( PIN la_data_in_core[26] ) ( la_buf\[26\] Z ) + USE SIGNAL ;
- la_data_in_core[27] ( PIN la_data_in_core[27] ) ( la_buf\[27\] Z ) + USE SIGNAL ;
- la_data_in_core[28] ( PIN la_data_in_core[28] ) ( la_buf\[28\] Z ) + USE SIGNAL ;
- la_data_in_core[29] ( PIN la_data_in_core[29] ) ( la_buf\[29\] Z ) + USE SIGNAL ;
- la_data_in_core[2] ( PIN la_data_in_core[2] ) ( la_buf\[2\] Z ) + USE SIGNAL ;
- la_data_in_core[30] ( PIN la_data_in_core[30] ) ( la_buf\[30\] Z ) + USE SIGNAL ;
- la_data_in_core[31] ( PIN la_data_in_core[31] ) ( la_buf\[31\] Z ) + USE SIGNAL ;
- la_data_in_core[32] ( PIN la_data_in_core[32] ) ( la_buf\[32\] Z ) + USE SIGNAL ;
- la_data_in_core[33] ( PIN la_data_in_core[33] ) ( la_buf\[33\] Z ) + USE SIGNAL ;
- la_data_in_core[34] ( PIN la_data_in_core[34] ) ( la_buf\[34\] Z ) + USE SIGNAL ;
- la_data_in_core[35] ( PIN la_data_in_core[35] ) ( la_buf\[35\] Z ) + USE SIGNAL ;
- la_data_in_core[36] ( PIN la_data_in_core[36] ) ( la_buf\[36\] Z ) + USE SIGNAL ;
- la_data_in_core[37] ( PIN la_data_in_core[37] ) ( la_buf\[37\] Z ) + USE SIGNAL ;
- la_data_in_core[38] ( PIN la_data_in_core[38] ) ( la_buf\[38\] Z ) + USE SIGNAL ;
- la_data_in_core[39] ( PIN la_data_in_core[39] ) ( la_buf\[39\] Z ) + USE SIGNAL ;
- la_data_in_core[3] ( PIN la_data_in_core[3] ) ( la_buf\[3\] Z ) + USE SIGNAL ;
- la_data_in_core[40] ( PIN la_data_in_core[40] ) ( la_buf\[40\] Z ) + USE SIGNAL ;
- la_data_in_core[41] ( PIN la_data_in_core[41] ) ( la_buf\[41\] Z ) + USE SIGNAL ;
- la_data_in_core[42] ( PIN la_data_in_core[42] ) ( la_buf\[42\] Z ) + USE SIGNAL ;
- la_data_in_core[43] ( PIN la_data_in_core[43] ) ( la_buf\[43\] Z ) + USE SIGNAL ;
- la_data_in_core[44] ( PIN la_data_in_core[44] ) ( la_buf\[44\] Z ) + USE SIGNAL ;
- la_data_in_core[45] ( PIN la_data_in_core[45] ) ( la_buf\[45\] Z ) + USE SIGNAL ;
- la_data_in_core[46] ( PIN la_data_in_core[46] ) ( la_buf\[46\] Z ) + USE SIGNAL ;
- la_data_in_core[47] ( PIN la_data_in_core[47] ) ( la_buf\[47\] Z ) + USE SIGNAL ;
- la_data_in_core[48] ( PIN la_data_in_core[48] ) ( la_buf\[48\] Z ) + USE SIGNAL ;
- la_data_in_core[49] ( PIN la_data_in_core[49] ) ( la_buf\[49\] Z ) + USE SIGNAL ;
- la_data_in_core[4] ( PIN la_data_in_core[4] ) ( la_buf\[4\] Z ) + USE SIGNAL ;
- la_data_in_core[50] ( PIN la_data_in_core[50] ) ( la_buf\[50\] Z ) + USE SIGNAL ;
- la_data_in_core[51] ( PIN la_data_in_core[51] ) ( la_buf\[51\] Z ) + USE SIGNAL ;
- la_data_in_core[52] ( PIN la_data_in_core[52] ) ( la_buf\[52\] Z ) + USE SIGNAL ;
- la_data_in_core[53] ( PIN la_data_in_core[53] ) ( la_buf\[53\] Z ) + USE SIGNAL ;
- la_data_in_core[54] ( PIN la_data_in_core[54] ) ( la_buf\[54\] Z ) + USE SIGNAL ;
- la_data_in_core[55] ( PIN la_data_in_core[55] ) ( la_buf\[55\] Z ) + USE SIGNAL ;
- la_data_in_core[56] ( PIN la_data_in_core[56] ) ( la_buf\[56\] Z ) + USE SIGNAL ;
- la_data_in_core[57] ( PIN la_data_in_core[57] ) ( la_buf\[57\] Z ) + USE SIGNAL ;
- la_data_in_core[58] ( PIN la_data_in_core[58] ) ( la_buf\[58\] Z ) + USE SIGNAL ;
- la_data_in_core[59] ( PIN la_data_in_core[59] ) ( la_buf\[59\] Z ) + USE SIGNAL ;
- la_data_in_core[5] ( PIN la_data_in_core[5] ) ( la_buf\[5\] Z ) + USE SIGNAL ;
- la_data_in_core[60] ( PIN la_data_in_core[60] ) ( la_buf\[60\] Z ) + USE SIGNAL ;
- la_data_in_core[61] ( PIN la_data_in_core[61] ) ( la_buf\[61\] Z ) + USE SIGNAL ;
- la_data_in_core[62] ( PIN la_data_in_core[62] ) ( la_buf\[62\] Z ) + USE SIGNAL ;
- la_data_in_core[63] ( PIN la_data_in_core[63] ) ( la_buf\[63\] Z ) + USE SIGNAL ;
- la_data_in_core[64] ( PIN la_data_in_core[64] ) ( la_buf\[64\] Z ) + USE SIGNAL ;
- la_data_in_core[65] ( PIN la_data_in_core[65] ) ( la_buf\[65\] Z ) + USE SIGNAL ;
- la_data_in_core[66] ( PIN la_data_in_core[66] ) ( la_buf\[66\] Z ) + USE SIGNAL ;
- la_data_in_core[67] ( PIN la_data_in_core[67] ) ( la_buf\[67\] Z ) + USE SIGNAL ;
- la_data_in_core[68] ( PIN la_data_in_core[68] ) ( la_buf\[68\] Z ) + USE SIGNAL ;
- la_data_in_core[69] ( PIN la_data_in_core[69] ) ( la_buf\[69\] Z ) + USE SIGNAL ;
- la_data_in_core[6] ( PIN la_data_in_core[6] ) ( la_buf\[6\] Z ) + USE SIGNAL ;
- la_data_in_core[70] ( PIN la_data_in_core[70] ) ( la_buf\[70\] Z ) + USE SIGNAL ;
- la_data_in_core[71] ( PIN la_data_in_core[71] ) ( la_buf\[71\] Z ) + USE SIGNAL ;
- la_data_in_core[72] ( PIN la_data_in_core[72] ) ( la_buf\[72\] Z ) + USE SIGNAL ;
- la_data_in_core[73] ( PIN la_data_in_core[73] ) ( la_buf\[73\] Z ) + USE SIGNAL ;
- la_data_in_core[74] ( PIN la_data_in_core[74] ) ( la_buf\[74\] Z ) + USE SIGNAL ;
- la_data_in_core[75] ( PIN la_data_in_core[75] ) ( la_buf\[75\] Z ) + USE SIGNAL ;
- la_data_in_core[76] ( PIN la_data_in_core[76] ) ( la_buf\[76\] Z ) + USE SIGNAL ;
- la_data_in_core[77] ( PIN la_data_in_core[77] ) ( la_buf\[77\] Z ) + USE SIGNAL ;
- la_data_in_core[78] ( PIN la_data_in_core[78] ) ( la_buf\[78\] Z ) + USE SIGNAL ;
- la_data_in_core[79] ( PIN la_data_in_core[79] ) ( la_buf\[79\] Z ) + USE SIGNAL ;
- la_data_in_core[7] ( PIN la_data_in_core[7] ) ( la_buf\[7\] Z ) + USE SIGNAL ;
- la_data_in_core[80] ( PIN la_data_in_core[80] ) ( la_buf\[80\] Z ) + USE SIGNAL ;
- la_data_in_core[81] ( PIN la_data_in_core[81] ) ( la_buf\[81\] Z ) + USE SIGNAL ;
- la_data_in_core[82] ( PIN la_data_in_core[82] ) ( la_buf\[82\] Z ) + USE SIGNAL ;
- la_data_in_core[83] ( PIN la_data_in_core[83] ) ( la_buf\[83\] Z ) + USE SIGNAL ;
- la_data_in_core[84] ( PIN la_data_in_core[84] ) ( la_buf\[84\] Z ) + USE SIGNAL ;
- la_data_in_core[85] ( PIN la_data_in_core[85] ) ( la_buf\[85\] Z ) + USE SIGNAL ;
- la_data_in_core[86] ( PIN la_data_in_core[86] ) ( la_buf\[86\] Z ) + USE SIGNAL ;
- la_data_in_core[87] ( PIN la_data_in_core[87] ) ( la_buf\[87\] Z ) + USE SIGNAL ;
- la_data_in_core[88] ( PIN la_data_in_core[88] ) ( la_buf\[88\] Z ) + USE SIGNAL ;
- la_data_in_core[89] ( PIN la_data_in_core[89] ) ( la_buf\[89\] Z ) + USE SIGNAL ;
- la_data_in_core[8] ( PIN la_data_in_core[8] ) ( la_buf\[8\] Z ) + USE SIGNAL ;
- la_data_in_core[90] ( PIN la_data_in_core[90] ) ( la_buf\[90\] Z ) + USE SIGNAL ;
- la_data_in_core[91] ( PIN la_data_in_core[91] ) ( la_buf\[91\] Z ) + USE SIGNAL ;
- la_data_in_core[92] ( PIN la_data_in_core[92] ) ( la_buf\[92\] Z ) + USE SIGNAL ;
- la_data_in_core[93] ( PIN la_data_in_core[93] ) ( la_buf\[93\] Z ) + USE SIGNAL ;
- la_data_in_core[94] ( PIN la_data_in_core[94] ) ( la_buf\[94\] Z ) + USE SIGNAL ;
- la_data_in_core[95] ( PIN la_data_in_core[95] ) ( la_buf\[95\] Z ) + USE SIGNAL ;
- la_data_in_core[96] ( PIN la_data_in_core[96] ) ( la_buf\[96\] Z ) + USE SIGNAL ;
- la_data_in_core[97] ( PIN la_data_in_core[97] ) ( la_buf\[97\] Z ) + USE SIGNAL ;
- la_data_in_core[98] ( PIN la_data_in_core[98] ) ( la_buf\[98\] Z ) + USE SIGNAL ;
- la_data_in_core[99] ( PIN la_data_in_core[99] ) ( la_buf\[99\] Z ) + USE SIGNAL ;
- la_data_in_core[9] ( PIN la_data_in_core[9] ) ( la_buf\[9\] Z ) + USE SIGNAL ;
- la_data_in_mprj[0] ( PIN la_data_in_mprj[0] ) ( user_to_mprj_in_buffers\[0\] Y ) + USE SIGNAL ;
- la_data_in_mprj[100] ( PIN la_data_in_mprj[100] ) ( user_to_mprj_in_buffers\[100\] Y ) + USE SIGNAL ;
- la_data_in_mprj[101] ( PIN la_data_in_mprj[101] ) ( user_to_mprj_in_buffers\[101\] Y ) + USE SIGNAL ;
- la_data_in_mprj[102] ( PIN la_data_in_mprj[102] ) ( user_to_mprj_in_buffers\[102\] Y ) + USE SIGNAL ;
- la_data_in_mprj[103] ( PIN la_data_in_mprj[103] ) ( user_to_mprj_in_buffers\[103\] Y ) + USE SIGNAL ;
- la_data_in_mprj[104] ( PIN la_data_in_mprj[104] ) ( user_to_mprj_in_buffers\[104\] Y ) + USE SIGNAL ;
- la_data_in_mprj[105] ( PIN la_data_in_mprj[105] ) ( user_to_mprj_in_buffers\[105\] Y ) + USE SIGNAL ;
- la_data_in_mprj[106] ( PIN la_data_in_mprj[106] ) ( user_to_mprj_in_buffers\[106\] Y ) + USE SIGNAL ;
- la_data_in_mprj[107] ( PIN la_data_in_mprj[107] ) ( user_to_mprj_in_buffers\[107\] Y ) + USE SIGNAL ;
- la_data_in_mprj[108] ( PIN la_data_in_mprj[108] ) ( user_to_mprj_in_buffers\[108\] Y ) + USE SIGNAL ;
- la_data_in_mprj[109] ( PIN la_data_in_mprj[109] ) ( user_to_mprj_in_buffers\[109\] Y ) + USE SIGNAL ;
- la_data_in_mprj[10] ( PIN la_data_in_mprj[10] ) ( user_to_mprj_in_buffers\[10\] Y ) + USE SIGNAL ;
- la_data_in_mprj[110] ( PIN la_data_in_mprj[110] ) ( user_to_mprj_in_buffers\[110\] Y ) + USE SIGNAL ;
- la_data_in_mprj[111] ( PIN la_data_in_mprj[111] ) ( user_to_mprj_in_buffers\[111\] Y ) + USE SIGNAL ;
- la_data_in_mprj[112] ( PIN la_data_in_mprj[112] ) ( user_to_mprj_in_buffers\[112\] Y ) + USE SIGNAL ;
- la_data_in_mprj[113] ( PIN la_data_in_mprj[113] ) ( user_to_mprj_in_buffers\[113\] Y ) + USE SIGNAL ;
- la_data_in_mprj[114] ( PIN la_data_in_mprj[114] ) ( user_to_mprj_in_buffers\[114\] Y ) + USE SIGNAL ;
- la_data_in_mprj[115] ( PIN la_data_in_mprj[115] ) ( user_to_mprj_in_buffers\[115\] Y ) + USE SIGNAL ;
- la_data_in_mprj[116] ( PIN la_data_in_mprj[116] ) ( user_to_mprj_in_buffers\[116\] Y ) + USE SIGNAL ;
- la_data_in_mprj[117] ( PIN la_data_in_mprj[117] ) ( user_to_mprj_in_buffers\[117\] Y ) + USE SIGNAL ;
- la_data_in_mprj[118] ( PIN la_data_in_mprj[118] ) ( user_to_mprj_in_buffers\[118\] Y ) + USE SIGNAL ;
- la_data_in_mprj[119] ( PIN la_data_in_mprj[119] ) ( user_to_mprj_in_buffers\[119\] Y ) + USE SIGNAL ;
- la_data_in_mprj[11] ( PIN la_data_in_mprj[11] ) ( user_to_mprj_in_buffers\[11\] Y ) + USE SIGNAL ;
- la_data_in_mprj[120] ( PIN la_data_in_mprj[120] ) ( user_to_mprj_in_buffers\[120\] Y ) + USE SIGNAL ;
- la_data_in_mprj[121] ( PIN la_data_in_mprj[121] ) ( user_to_mprj_in_buffers\[121\] Y ) + USE SIGNAL ;
- la_data_in_mprj[122] ( PIN la_data_in_mprj[122] ) ( user_to_mprj_in_buffers\[122\] Y ) + USE SIGNAL ;
- la_data_in_mprj[123] ( PIN la_data_in_mprj[123] ) ( user_to_mprj_in_buffers\[123\] Y ) + USE SIGNAL ;
- la_data_in_mprj[124] ( PIN la_data_in_mprj[124] ) ( user_to_mprj_in_buffers\[124\] Y ) + USE SIGNAL ;
- la_data_in_mprj[125] ( PIN la_data_in_mprj[125] ) ( user_to_mprj_in_buffers\[125\] Y ) + USE SIGNAL ;
- la_data_in_mprj[126] ( PIN la_data_in_mprj[126] ) ( user_to_mprj_in_buffers\[126\] Y ) + USE SIGNAL ;
- la_data_in_mprj[127] ( PIN la_data_in_mprj[127] ) ( user_to_mprj_in_buffers\[127\] Y ) + USE SIGNAL ;
- la_data_in_mprj[12] ( PIN la_data_in_mprj[12] ) ( user_to_mprj_in_buffers\[12\] Y ) + USE SIGNAL ;
- la_data_in_mprj[13] ( PIN la_data_in_mprj[13] ) ( user_to_mprj_in_buffers\[13\] Y ) + USE SIGNAL ;
- la_data_in_mprj[14] ( PIN la_data_in_mprj[14] ) ( user_to_mprj_in_buffers\[14\] Y ) + USE SIGNAL ;
- la_data_in_mprj[15] ( PIN la_data_in_mprj[15] ) ( user_to_mprj_in_buffers\[15\] Y ) + USE SIGNAL ;
- la_data_in_mprj[16] ( PIN la_data_in_mprj[16] ) ( user_to_mprj_in_buffers\[16\] Y ) + USE SIGNAL ;
- la_data_in_mprj[17] ( PIN la_data_in_mprj[17] ) ( user_to_mprj_in_buffers\[17\] Y ) + USE SIGNAL ;
- la_data_in_mprj[18] ( PIN la_data_in_mprj[18] ) ( user_to_mprj_in_buffers\[18\] Y ) + USE SIGNAL ;
- la_data_in_mprj[19] ( PIN la_data_in_mprj[19] ) ( user_to_mprj_in_buffers\[19\] Y ) + USE SIGNAL ;
- la_data_in_mprj[1] ( PIN la_data_in_mprj[1] ) ( user_to_mprj_in_buffers\[1\] Y ) + USE SIGNAL ;
- la_data_in_mprj[20] ( PIN la_data_in_mprj[20] ) ( user_to_mprj_in_buffers\[20\] Y ) + USE SIGNAL ;
- la_data_in_mprj[21] ( PIN la_data_in_mprj[21] ) ( user_to_mprj_in_buffers\[21\] Y ) + USE SIGNAL ;
- la_data_in_mprj[22] ( PIN la_data_in_mprj[22] ) ( user_to_mprj_in_buffers\[22\] Y ) + USE SIGNAL ;
- la_data_in_mprj[23] ( PIN la_data_in_mprj[23] ) ( user_to_mprj_in_buffers\[23\] Y ) + USE SIGNAL ;
- la_data_in_mprj[24] ( PIN la_data_in_mprj[24] ) ( user_to_mprj_in_buffers\[24\] Y ) + USE SIGNAL ;
- la_data_in_mprj[25] ( PIN la_data_in_mprj[25] ) ( user_to_mprj_in_buffers\[25\] Y ) + USE SIGNAL ;
- la_data_in_mprj[26] ( PIN la_data_in_mprj[26] ) ( user_to_mprj_in_buffers\[26\] Y ) + USE SIGNAL ;
- la_data_in_mprj[27] ( PIN la_data_in_mprj[27] ) ( user_to_mprj_in_buffers\[27\] Y ) + USE SIGNAL ;
- la_data_in_mprj[28] ( PIN la_data_in_mprj[28] ) ( user_to_mprj_in_buffers\[28\] Y ) + USE SIGNAL ;
- la_data_in_mprj[29] ( PIN la_data_in_mprj[29] ) ( user_to_mprj_in_buffers\[29\] Y ) + USE SIGNAL ;
- la_data_in_mprj[2] ( PIN la_data_in_mprj[2] ) ( user_to_mprj_in_buffers\[2\] Y ) + USE SIGNAL ;
- la_data_in_mprj[30] ( PIN la_data_in_mprj[30] ) ( user_to_mprj_in_buffers\[30\] Y ) + USE SIGNAL ;
- la_data_in_mprj[31] ( PIN la_data_in_mprj[31] ) ( user_to_mprj_in_buffers\[31\] Y ) + USE SIGNAL ;
- la_data_in_mprj[32] ( PIN la_data_in_mprj[32] ) ( user_to_mprj_in_buffers\[32\] Y ) + USE SIGNAL ;
- la_data_in_mprj[33] ( PIN la_data_in_mprj[33] ) ( user_to_mprj_in_buffers\[33\] Y ) + USE SIGNAL ;
- la_data_in_mprj[34] ( PIN la_data_in_mprj[34] ) ( user_to_mprj_in_buffers\[34\] Y ) + USE SIGNAL ;
- la_data_in_mprj[35] ( PIN la_data_in_mprj[35] ) ( user_to_mprj_in_buffers\[35\] Y ) + USE SIGNAL ;
- la_data_in_mprj[36] ( PIN la_data_in_mprj[36] ) ( user_to_mprj_in_buffers\[36\] Y ) + USE SIGNAL ;
- la_data_in_mprj[37] ( PIN la_data_in_mprj[37] ) ( user_to_mprj_in_buffers\[37\] Y ) + USE SIGNAL ;
- la_data_in_mprj[38] ( PIN la_data_in_mprj[38] ) ( user_to_mprj_in_buffers\[38\] Y ) + USE SIGNAL ;
- la_data_in_mprj[39] ( PIN la_data_in_mprj[39] ) ( user_to_mprj_in_buffers\[39\] Y ) + USE SIGNAL ;
- la_data_in_mprj[3] ( PIN la_data_in_mprj[3] ) ( user_to_mprj_in_buffers\[3\] Y ) + USE SIGNAL ;
- la_data_in_mprj[40] ( PIN la_data_in_mprj[40] ) ( user_to_mprj_in_buffers\[40\] Y ) + USE SIGNAL ;
- la_data_in_mprj[41] ( PIN la_data_in_mprj[41] ) ( user_to_mprj_in_buffers\[41\] Y ) + USE SIGNAL ;
- la_data_in_mprj[42] ( PIN la_data_in_mprj[42] ) ( user_to_mprj_in_buffers\[42\] Y ) + USE SIGNAL ;
- la_data_in_mprj[43] ( PIN la_data_in_mprj[43] ) ( user_to_mprj_in_buffers\[43\] Y ) + USE SIGNAL ;
- la_data_in_mprj[44] ( PIN la_data_in_mprj[44] ) ( user_to_mprj_in_buffers\[44\] Y ) + USE SIGNAL ;
- la_data_in_mprj[45] ( PIN la_data_in_mprj[45] ) ( user_to_mprj_in_buffers\[45\] Y ) + USE SIGNAL ;
- la_data_in_mprj[46] ( PIN la_data_in_mprj[46] ) ( user_to_mprj_in_buffers\[46\] Y ) + USE SIGNAL ;
- la_data_in_mprj[47] ( PIN la_data_in_mprj[47] ) ( user_to_mprj_in_buffers\[47\] Y ) + USE SIGNAL ;
- la_data_in_mprj[48] ( PIN la_data_in_mprj[48] ) ( user_to_mprj_in_buffers\[48\] Y ) + USE SIGNAL ;
- la_data_in_mprj[49] ( PIN la_data_in_mprj[49] ) ( user_to_mprj_in_buffers\[49\] Y ) + USE SIGNAL ;
- la_data_in_mprj[4] ( PIN la_data_in_mprj[4] ) ( user_to_mprj_in_buffers\[4\] Y ) + USE SIGNAL ;
- la_data_in_mprj[50] ( PIN la_data_in_mprj[50] ) ( user_to_mprj_in_buffers\[50\] Y ) + USE SIGNAL ;
- la_data_in_mprj[51] ( PIN la_data_in_mprj[51] ) ( user_to_mprj_in_buffers\[51\] Y ) + USE SIGNAL ;
- la_data_in_mprj[52] ( PIN la_data_in_mprj[52] ) ( user_to_mprj_in_buffers\[52\] Y ) + USE SIGNAL ;
- la_data_in_mprj[53] ( PIN la_data_in_mprj[53] ) ( user_to_mprj_in_buffers\[53\] Y ) + USE SIGNAL ;
- la_data_in_mprj[54] ( PIN la_data_in_mprj[54] ) ( user_to_mprj_in_buffers\[54\] Y ) + USE SIGNAL ;
- la_data_in_mprj[55] ( PIN la_data_in_mprj[55] ) ( user_to_mprj_in_buffers\[55\] Y ) + USE SIGNAL ;
- la_data_in_mprj[56] ( PIN la_data_in_mprj[56] ) ( user_to_mprj_in_buffers\[56\] Y ) + USE SIGNAL ;
- la_data_in_mprj[57] ( PIN la_data_in_mprj[57] ) ( user_to_mprj_in_buffers\[57\] Y ) + USE SIGNAL ;
- la_data_in_mprj[58] ( PIN la_data_in_mprj[58] ) ( user_to_mprj_in_buffers\[58\] Y ) + USE SIGNAL ;
- la_data_in_mprj[59] ( PIN la_data_in_mprj[59] ) ( user_to_mprj_in_buffers\[59\] Y ) + USE SIGNAL ;
- la_data_in_mprj[5] ( PIN la_data_in_mprj[5] ) ( user_to_mprj_in_buffers\[5\] Y ) + USE SIGNAL ;
- la_data_in_mprj[60] ( PIN la_data_in_mprj[60] ) ( user_to_mprj_in_buffers\[60\] Y ) + USE SIGNAL ;
- la_data_in_mprj[61] ( PIN la_data_in_mprj[61] ) ( user_to_mprj_in_buffers\[61\] Y ) + USE SIGNAL ;
- la_data_in_mprj[62] ( PIN la_data_in_mprj[62] ) ( user_to_mprj_in_buffers\[62\] Y ) + USE SIGNAL ;
- la_data_in_mprj[63] ( PIN la_data_in_mprj[63] ) ( user_to_mprj_in_buffers\[63\] Y ) + USE SIGNAL ;
- la_data_in_mprj[64] ( PIN la_data_in_mprj[64] ) ( user_to_mprj_in_buffers\[64\] Y ) + USE SIGNAL ;
- la_data_in_mprj[65] ( PIN la_data_in_mprj[65] ) ( user_to_mprj_in_buffers\[65\] Y ) + USE SIGNAL ;
- la_data_in_mprj[66] ( PIN la_data_in_mprj[66] ) ( user_to_mprj_in_buffers\[66\] Y ) + USE SIGNAL ;
- la_data_in_mprj[67] ( PIN la_data_in_mprj[67] ) ( user_to_mprj_in_buffers\[67\] Y ) + USE SIGNAL ;
- la_data_in_mprj[68] ( PIN la_data_in_mprj[68] ) ( user_to_mprj_in_buffers\[68\] Y ) + USE SIGNAL ;
- la_data_in_mprj[69] ( PIN la_data_in_mprj[69] ) ( user_to_mprj_in_buffers\[69\] Y ) + USE SIGNAL ;
- la_data_in_mprj[6] ( PIN la_data_in_mprj[6] ) ( user_to_mprj_in_buffers\[6\] Y ) + USE SIGNAL ;
- la_data_in_mprj[70] ( PIN la_data_in_mprj[70] ) ( user_to_mprj_in_buffers\[70\] Y ) + USE SIGNAL ;
- la_data_in_mprj[71] ( PIN la_data_in_mprj[71] ) ( user_to_mprj_in_buffers\[71\] Y ) + USE SIGNAL ;
- la_data_in_mprj[72] ( PIN la_data_in_mprj[72] ) ( user_to_mprj_in_buffers\[72\] Y ) + USE SIGNAL ;
- la_data_in_mprj[73] ( PIN la_data_in_mprj[73] ) ( user_to_mprj_in_buffers\[73\] Y ) + USE SIGNAL ;
- la_data_in_mprj[74] ( PIN la_data_in_mprj[74] ) ( user_to_mprj_in_buffers\[74\] Y ) + USE SIGNAL ;
- la_data_in_mprj[75] ( PIN la_data_in_mprj[75] ) ( user_to_mprj_in_buffers\[75\] Y ) + USE SIGNAL ;
- la_data_in_mprj[76] ( PIN la_data_in_mprj[76] ) ( user_to_mprj_in_buffers\[76\] Y ) + USE SIGNAL ;
- la_data_in_mprj[77] ( PIN la_data_in_mprj[77] ) ( user_to_mprj_in_buffers\[77\] Y ) + USE SIGNAL ;
- la_data_in_mprj[78] ( PIN la_data_in_mprj[78] ) ( user_to_mprj_in_buffers\[78\] Y ) + USE SIGNAL ;
- la_data_in_mprj[79] ( PIN la_data_in_mprj[79] ) ( user_to_mprj_in_buffers\[79\] Y ) + USE SIGNAL ;
- la_data_in_mprj[7] ( PIN la_data_in_mprj[7] ) ( user_to_mprj_in_buffers\[7\] Y ) + USE SIGNAL ;
- la_data_in_mprj[80] ( PIN la_data_in_mprj[80] ) ( user_to_mprj_in_buffers\[80\] Y ) + USE SIGNAL ;
- la_data_in_mprj[81] ( PIN la_data_in_mprj[81] ) ( user_to_mprj_in_buffers\[81\] Y ) + USE SIGNAL ;
- la_data_in_mprj[82] ( PIN la_data_in_mprj[82] ) ( user_to_mprj_in_buffers\[82\] Y ) + USE SIGNAL ;
- la_data_in_mprj[83] ( PIN la_data_in_mprj[83] ) ( user_to_mprj_in_buffers\[83\] Y ) + USE SIGNAL ;
- la_data_in_mprj[84] ( PIN la_data_in_mprj[84] ) ( user_to_mprj_in_buffers\[84\] Y ) + USE SIGNAL ;
- la_data_in_mprj[85] ( PIN la_data_in_mprj[85] ) ( user_to_mprj_in_buffers\[85\] Y ) + USE SIGNAL ;
- la_data_in_mprj[86] ( PIN la_data_in_mprj[86] ) ( user_to_mprj_in_buffers\[86\] Y ) + USE SIGNAL ;
- la_data_in_mprj[87] ( PIN la_data_in_mprj[87] ) ( user_to_mprj_in_buffers\[87\] Y ) + USE SIGNAL ;
- la_data_in_mprj[88] ( PIN la_data_in_mprj[88] ) ( user_to_mprj_in_buffers\[88\] Y ) + USE SIGNAL ;
- la_data_in_mprj[89] ( PIN la_data_in_mprj[89] ) ( user_to_mprj_in_buffers\[89\] Y ) + USE SIGNAL ;
- la_data_in_mprj[8] ( PIN la_data_in_mprj[8] ) ( user_to_mprj_in_buffers\[8\] Y ) + USE SIGNAL ;
- la_data_in_mprj[90] ( PIN la_data_in_mprj[90] ) ( user_to_mprj_in_buffers\[90\] Y ) + USE SIGNAL ;
- la_data_in_mprj[91] ( PIN la_data_in_mprj[91] ) ( user_to_mprj_in_buffers\[91\] Y ) + USE SIGNAL ;
- la_data_in_mprj[92] ( PIN la_data_in_mprj[92] ) ( user_to_mprj_in_buffers\[92\] Y ) + USE SIGNAL ;
- la_data_in_mprj[93] ( PIN la_data_in_mprj[93] ) ( user_to_mprj_in_buffers\[93\] Y ) + USE SIGNAL ;
- la_data_in_mprj[94] ( PIN la_data_in_mprj[94] ) ( user_to_mprj_in_buffers\[94\] Y ) + USE SIGNAL ;
- la_data_in_mprj[95] ( PIN la_data_in_mprj[95] ) ( user_to_mprj_in_buffers\[95\] Y ) + USE SIGNAL ;
- la_data_in_mprj[96] ( PIN la_data_in_mprj[96] ) ( user_to_mprj_in_buffers\[96\] Y ) + USE SIGNAL ;
- la_data_in_mprj[97] ( PIN la_data_in_mprj[97] ) ( user_to_mprj_in_buffers\[97\] Y ) + USE SIGNAL ;
- la_data_in_mprj[98] ( PIN la_data_in_mprj[98] ) ( user_to_mprj_in_buffers\[98\] Y ) + USE SIGNAL ;
- la_data_in_mprj[99] ( PIN la_data_in_mprj[99] ) ( user_to_mprj_in_buffers\[99\] Y ) + USE SIGNAL ;
- la_data_in_mprj[9] ( PIN la_data_in_mprj[9] ) ( user_to_mprj_in_buffers\[9\] Y ) + USE SIGNAL ;
- la_data_out_core[0] ( PIN la_data_out_core[0] ) ( ANTENNA_user_to_mprj_in_gates\[0\]_A DIODE ) ( user_to_mprj_in_gates\[0\] A ) + USE SIGNAL ;
- la_data_out_core[100] ( PIN la_data_out_core[100] ) ( ANTENNA_user_to_mprj_in_gates\[100\]_A DIODE ) ( user_to_mprj_in_gates\[100\] A ) + USE SIGNAL ;
- la_data_out_core[101] ( PIN la_data_out_core[101] ) ( ANTENNA_user_to_mprj_in_gates\[101\]_A DIODE ) ( user_to_mprj_in_gates\[101\] A ) + USE SIGNAL ;
- la_data_out_core[102] ( PIN la_data_out_core[102] ) ( ANTENNA_user_to_mprj_in_gates\[102\]_A DIODE ) ( user_to_mprj_in_gates\[102\] A ) + USE SIGNAL ;
- la_data_out_core[103] ( PIN la_data_out_core[103] ) ( ANTENNA_user_to_mprj_in_gates\[103\]_A DIODE ) ( user_to_mprj_in_gates\[103\] A ) + USE SIGNAL ;
- la_data_out_core[104] ( PIN la_data_out_core[104] ) ( ANTENNA_user_to_mprj_in_gates\[104\]_A DIODE ) ( user_to_mprj_in_gates\[104\] A ) + USE SIGNAL ;
- la_data_out_core[105] ( PIN la_data_out_core[105] ) ( ANTENNA_user_to_mprj_in_gates\[105\]_A DIODE ) ( user_to_mprj_in_gates\[105\] A ) + USE SIGNAL ;
- la_data_out_core[106] ( PIN la_data_out_core[106] ) ( ANTENNA_user_to_mprj_in_gates\[106\]_A DIODE ) ( user_to_mprj_in_gates\[106\] A ) + USE SIGNAL ;
- la_data_out_core[107] ( PIN la_data_out_core[107] ) ( ANTENNA_user_to_mprj_in_gates\[107\]_A DIODE ) ( user_to_mprj_in_gates\[107\] A ) + USE SIGNAL ;
- la_data_out_core[108] ( PIN la_data_out_core[108] ) ( ANTENNA_user_to_mprj_in_gates\[108\]_A DIODE ) ( user_to_mprj_in_gates\[108\] A ) + USE SIGNAL ;
- la_data_out_core[109] ( PIN la_data_out_core[109] ) ( ANTENNA_user_to_mprj_in_gates\[109\]_A DIODE ) ( user_to_mprj_in_gates\[109\] A ) + USE SIGNAL ;
- la_data_out_core[10] ( PIN la_data_out_core[10] ) ( ANTENNA_user_to_mprj_in_gates\[10\]_A DIODE ) ( user_to_mprj_in_gates\[10\] A ) + USE SIGNAL ;
- la_data_out_core[110] ( PIN la_data_out_core[110] ) ( ANTENNA_user_to_mprj_in_gates\[110\]_A DIODE ) ( user_to_mprj_in_gates\[110\] A ) + USE SIGNAL ;
- la_data_out_core[111] ( PIN la_data_out_core[111] ) ( ANTENNA_user_to_mprj_in_gates\[111\]_A DIODE ) ( user_to_mprj_in_gates\[111\] A ) + USE SIGNAL ;
- la_data_out_core[112] ( PIN la_data_out_core[112] ) ( ANTENNA_user_to_mprj_in_gates\[112\]_A DIODE ) ( user_to_mprj_in_gates\[112\] A ) + USE SIGNAL ;
- la_data_out_core[113] ( PIN la_data_out_core[113] ) ( ANTENNA_user_to_mprj_in_gates\[113\]_A DIODE ) ( user_to_mprj_in_gates\[113\] A ) + USE SIGNAL ;
- la_data_out_core[114] ( PIN la_data_out_core[114] ) ( ANTENNA_user_to_mprj_in_gates\[114\]_A DIODE ) ( user_to_mprj_in_gates\[114\] A ) + USE SIGNAL ;
- la_data_out_core[115] ( PIN la_data_out_core[115] ) ( ANTENNA_user_to_mprj_in_gates\[115\]_A DIODE ) ( user_to_mprj_in_gates\[115\] A ) + USE SIGNAL ;
- la_data_out_core[116] ( PIN la_data_out_core[116] ) ( ANTENNA_user_to_mprj_in_gates\[116\]_A DIODE ) ( user_to_mprj_in_gates\[116\] A ) + USE SIGNAL ;
- la_data_out_core[117] ( PIN la_data_out_core[117] ) ( ANTENNA_user_to_mprj_in_gates\[117\]_A DIODE ) ( user_to_mprj_in_gates\[117\] A ) + USE SIGNAL ;
- la_data_out_core[118] ( PIN la_data_out_core[118] ) ( ANTENNA_user_to_mprj_in_gates\[118\]_A DIODE ) ( user_to_mprj_in_gates\[118\] A ) + USE SIGNAL ;
- la_data_out_core[119] ( PIN la_data_out_core[119] ) ( ANTENNA_user_to_mprj_in_gates\[119\]_A DIODE ) ( user_to_mprj_in_gates\[119\] A ) + USE SIGNAL ;
- la_data_out_core[11] ( PIN la_data_out_core[11] ) ( ANTENNA_user_to_mprj_in_gates\[11\]_A DIODE ) ( user_to_mprj_in_gates\[11\] A ) + USE SIGNAL ;
- la_data_out_core[120] ( PIN la_data_out_core[120] ) ( ANTENNA_user_to_mprj_in_gates\[120\]_A DIODE ) ( user_to_mprj_in_gates\[120\] A ) + USE SIGNAL ;
- la_data_out_core[121] ( PIN la_data_out_core[121] ) ( ANTENNA_user_to_mprj_in_gates\[121\]_A DIODE ) ( user_to_mprj_in_gates\[121\] A ) + USE SIGNAL ;
- la_data_out_core[122] ( PIN la_data_out_core[122] ) ( ANTENNA_user_to_mprj_in_gates\[122\]_A DIODE ) ( user_to_mprj_in_gates\[122\] A ) + USE SIGNAL ;
- la_data_out_core[123] ( PIN la_data_out_core[123] ) ( ANTENNA_user_to_mprj_in_gates\[123\]_A DIODE ) ( user_to_mprj_in_gates\[123\] A ) + USE SIGNAL ;
- la_data_out_core[124] ( PIN la_data_out_core[124] ) ( ANTENNA_user_to_mprj_in_gates\[124\]_A DIODE ) ( user_to_mprj_in_gates\[124\] A ) + USE SIGNAL ;
- la_data_out_core[125] ( PIN la_data_out_core[125] ) ( ANTENNA_user_to_mprj_in_gates\[125\]_A DIODE ) ( user_to_mprj_in_gates\[125\] A ) + USE SIGNAL ;
- la_data_out_core[126] ( PIN la_data_out_core[126] ) ( ANTENNA_user_to_mprj_in_gates\[126\]_A DIODE ) ( user_to_mprj_in_gates\[126\] A ) + USE SIGNAL ;
- la_data_out_core[127] ( PIN la_data_out_core[127] ) ( ANTENNA_user_to_mprj_in_gates\[127\]_A DIODE ) ( user_to_mprj_in_gates\[127\] A ) + USE SIGNAL ;
- la_data_out_core[12] ( PIN la_data_out_core[12] ) ( ANTENNA_user_to_mprj_in_gates\[12\]_A DIODE ) ( user_to_mprj_in_gates\[12\] A ) + USE SIGNAL ;
- la_data_out_core[13] ( PIN la_data_out_core[13] ) ( ANTENNA_user_to_mprj_in_gates\[13\]_A DIODE ) ( user_to_mprj_in_gates\[13\] A ) + USE SIGNAL ;
- la_data_out_core[14] ( PIN la_data_out_core[14] ) ( ANTENNA_user_to_mprj_in_gates\[14\]_A DIODE ) ( user_to_mprj_in_gates\[14\] A ) + USE SIGNAL ;
- la_data_out_core[15] ( PIN la_data_out_core[15] ) ( ANTENNA_user_to_mprj_in_gates\[15\]_A DIODE ) ( user_to_mprj_in_gates\[15\] A ) + USE SIGNAL ;
- la_data_out_core[16] ( PIN la_data_out_core[16] ) ( ANTENNA_user_to_mprj_in_gates\[16\]_A DIODE ) ( user_to_mprj_in_gates\[16\] A ) + USE SIGNAL ;
- la_data_out_core[17] ( PIN la_data_out_core[17] ) ( ANTENNA_user_to_mprj_in_gates\[17\]_A DIODE ) ( user_to_mprj_in_gates\[17\] A ) + USE SIGNAL ;
- la_data_out_core[18] ( PIN la_data_out_core[18] ) ( ANTENNA_user_to_mprj_in_gates\[18\]_A DIODE ) ( user_to_mprj_in_gates\[18\] A ) + USE SIGNAL ;
- la_data_out_core[19] ( PIN la_data_out_core[19] ) ( ANTENNA_user_to_mprj_in_gates\[19\]_A DIODE ) ( user_to_mprj_in_gates\[19\] A ) + USE SIGNAL ;
- la_data_out_core[1] ( PIN la_data_out_core[1] ) ( ANTENNA_user_to_mprj_in_gates\[1\]_A DIODE ) ( user_to_mprj_in_gates\[1\] A ) + USE SIGNAL ;
- la_data_out_core[20] ( PIN la_data_out_core[20] ) ( ANTENNA_user_to_mprj_in_gates\[20\]_A DIODE ) ( user_to_mprj_in_gates\[20\] A ) + USE SIGNAL ;
- la_data_out_core[21] ( PIN la_data_out_core[21] ) ( ANTENNA_user_to_mprj_in_gates\[21\]_A DIODE ) ( user_to_mprj_in_gates\[21\] A ) + USE SIGNAL ;
- la_data_out_core[22] ( PIN la_data_out_core[22] ) ( ANTENNA_user_to_mprj_in_gates\[22\]_A DIODE ) ( user_to_mprj_in_gates\[22\] A ) + USE SIGNAL ;
- la_data_out_core[23] ( PIN la_data_out_core[23] ) ( ANTENNA_user_to_mprj_in_gates\[23\]_A DIODE ) ( user_to_mprj_in_gates\[23\] A ) + USE SIGNAL ;
- la_data_out_core[24] ( PIN la_data_out_core[24] ) ( ANTENNA_user_to_mprj_in_gates\[24\]_A DIODE ) ( user_to_mprj_in_gates\[24\] A ) + USE SIGNAL ;
- la_data_out_core[25] ( PIN la_data_out_core[25] ) ( ANTENNA_user_to_mprj_in_gates\[25\]_A DIODE ) ( user_to_mprj_in_gates\[25\] A ) + USE SIGNAL ;
- la_data_out_core[26] ( PIN la_data_out_core[26] ) ( ANTENNA_user_to_mprj_in_gates\[26\]_A DIODE ) ( user_to_mprj_in_gates\[26\] A ) + USE SIGNAL ;
- la_data_out_core[27] ( PIN la_data_out_core[27] ) ( ANTENNA_user_to_mprj_in_gates\[27\]_A DIODE ) ( user_to_mprj_in_gates\[27\] A ) + USE SIGNAL ;
- la_data_out_core[28] ( PIN la_data_out_core[28] ) ( ANTENNA_user_to_mprj_in_gates\[28\]_A DIODE ) ( user_to_mprj_in_gates\[28\] A ) + USE SIGNAL ;
- la_data_out_core[29] ( PIN la_data_out_core[29] ) ( ANTENNA_user_to_mprj_in_gates\[29\]_A DIODE ) ( user_to_mprj_in_gates\[29\] A ) + USE SIGNAL ;
- la_data_out_core[2] ( PIN la_data_out_core[2] ) ( ANTENNA_user_to_mprj_in_gates\[2\]_A DIODE ) ( user_to_mprj_in_gates\[2\] A ) + USE SIGNAL ;
- la_data_out_core[30] ( PIN la_data_out_core[30] ) ( ANTENNA_user_to_mprj_in_gates\[30\]_A DIODE ) ( user_to_mprj_in_gates\[30\] A ) + USE SIGNAL ;
- la_data_out_core[31] ( PIN la_data_out_core[31] ) ( ANTENNA_user_to_mprj_in_gates\[31\]_A DIODE ) ( user_to_mprj_in_gates\[31\] A ) + USE SIGNAL ;
- la_data_out_core[32] ( PIN la_data_out_core[32] ) ( ANTENNA_user_to_mprj_in_gates\[32\]_A DIODE ) ( user_to_mprj_in_gates\[32\] A ) + USE SIGNAL ;
- la_data_out_core[33] ( PIN la_data_out_core[33] ) ( ANTENNA_user_to_mprj_in_gates\[33\]_A DIODE ) ( user_to_mprj_in_gates\[33\] A ) + USE SIGNAL ;
- la_data_out_core[34] ( PIN la_data_out_core[34] ) ( ANTENNA_user_to_mprj_in_gates\[34\]_A DIODE ) ( user_to_mprj_in_gates\[34\] A ) + USE SIGNAL ;
- la_data_out_core[35] ( PIN la_data_out_core[35] ) ( ANTENNA_user_to_mprj_in_gates\[35\]_A DIODE ) ( user_to_mprj_in_gates\[35\] A ) + USE SIGNAL ;
- la_data_out_core[36] ( PIN la_data_out_core[36] ) ( ANTENNA_user_to_mprj_in_gates\[36\]_A DIODE ) ( user_to_mprj_in_gates\[36\] A ) + USE SIGNAL ;
- la_data_out_core[37] ( PIN la_data_out_core[37] ) ( ANTENNA_user_to_mprj_in_gates\[37\]_A DIODE ) ( user_to_mprj_in_gates\[37\] A ) + USE SIGNAL ;
- la_data_out_core[38] ( PIN la_data_out_core[38] ) ( ANTENNA_user_to_mprj_in_gates\[38\]_A DIODE ) ( user_to_mprj_in_gates\[38\] A ) + USE SIGNAL ;
- la_data_out_core[39] ( PIN la_data_out_core[39] ) ( ANTENNA_user_to_mprj_in_gates\[39\]_A DIODE ) ( user_to_mprj_in_gates\[39\] A ) + USE SIGNAL ;
- la_data_out_core[3] ( PIN la_data_out_core[3] ) ( ANTENNA_user_to_mprj_in_gates\[3\]_A DIODE ) ( user_to_mprj_in_gates\[3\] A ) + USE SIGNAL ;
- la_data_out_core[40] ( PIN la_data_out_core[40] ) ( ANTENNA_user_to_mprj_in_gates\[40\]_A DIODE ) ( user_to_mprj_in_gates\[40\] A ) + USE SIGNAL ;
- la_data_out_core[41] ( PIN la_data_out_core[41] ) ( ANTENNA_user_to_mprj_in_gates\[41\]_A DIODE ) ( user_to_mprj_in_gates\[41\] A ) + USE SIGNAL ;
- la_data_out_core[42] ( PIN la_data_out_core[42] ) ( ANTENNA_user_to_mprj_in_gates\[42\]_A DIODE ) ( user_to_mprj_in_gates\[42\] A ) + USE SIGNAL ;
- la_data_out_core[43] ( PIN la_data_out_core[43] ) ( ANTENNA_user_to_mprj_in_gates\[43\]_A DIODE ) ( user_to_mprj_in_gates\[43\] A ) + USE SIGNAL ;
- la_data_out_core[44] ( PIN la_data_out_core[44] ) ( ANTENNA_user_to_mprj_in_gates\[44\]_A DIODE ) ( user_to_mprj_in_gates\[44\] A ) + USE SIGNAL ;
- la_data_out_core[45] ( PIN la_data_out_core[45] ) ( ANTENNA_user_to_mprj_in_gates\[45\]_A DIODE ) ( user_to_mprj_in_gates\[45\] A ) + USE SIGNAL ;
- la_data_out_core[46] ( PIN la_data_out_core[46] ) ( ANTENNA_user_to_mprj_in_gates\[46\]_A DIODE ) ( user_to_mprj_in_gates\[46\] A ) + USE SIGNAL ;
- la_data_out_core[47] ( PIN la_data_out_core[47] ) ( ANTENNA_user_to_mprj_in_gates\[47\]_A DIODE ) ( user_to_mprj_in_gates\[47\] A ) + USE SIGNAL ;
- la_data_out_core[48] ( PIN la_data_out_core[48] ) ( ANTENNA_user_to_mprj_in_gates\[48\]_A DIODE ) ( user_to_mprj_in_gates\[48\] A ) + USE SIGNAL ;
- la_data_out_core[49] ( PIN la_data_out_core[49] ) ( ANTENNA_user_to_mprj_in_gates\[49\]_A DIODE ) ( user_to_mprj_in_gates\[49\] A ) + USE SIGNAL ;
- la_data_out_core[4] ( PIN la_data_out_core[4] ) ( ANTENNA_user_to_mprj_in_gates\[4\]_A DIODE ) ( user_to_mprj_in_gates\[4\] A ) + USE SIGNAL ;
- la_data_out_core[50] ( PIN la_data_out_core[50] ) ( ANTENNA_user_to_mprj_in_gates\[50\]_A DIODE ) ( user_to_mprj_in_gates\[50\] A ) + USE SIGNAL ;
- la_data_out_core[51] ( PIN la_data_out_core[51] ) ( ANTENNA_user_to_mprj_in_gates\[51\]_A DIODE ) ( user_to_mprj_in_gates\[51\] A ) + USE SIGNAL ;
- la_data_out_core[52] ( PIN la_data_out_core[52] ) ( ANTENNA_user_to_mprj_in_gates\[52\]_A DIODE ) ( user_to_mprj_in_gates\[52\] A ) + USE SIGNAL ;
- la_data_out_core[53] ( PIN la_data_out_core[53] ) ( ANTENNA_user_to_mprj_in_gates\[53\]_A DIODE ) ( user_to_mprj_in_gates\[53\] A ) + USE SIGNAL ;
- la_data_out_core[54] ( PIN la_data_out_core[54] ) ( ANTENNA_user_to_mprj_in_gates\[54\]_A DIODE ) ( user_to_mprj_in_gates\[54\] A ) + USE SIGNAL ;
- la_data_out_core[55] ( PIN la_data_out_core[55] ) ( ANTENNA_user_to_mprj_in_gates\[55\]_A DIODE ) ( user_to_mprj_in_gates\[55\] A ) + USE SIGNAL ;
- la_data_out_core[56] ( PIN la_data_out_core[56] ) ( ANTENNA_user_to_mprj_in_gates\[56\]_A DIODE ) ( user_to_mprj_in_gates\[56\] A ) + USE SIGNAL ;
- la_data_out_core[57] ( PIN la_data_out_core[57] ) ( ANTENNA_user_to_mprj_in_gates\[57\]_A DIODE ) ( user_to_mprj_in_gates\[57\] A ) + USE SIGNAL ;
- la_data_out_core[58] ( PIN la_data_out_core[58] ) ( ANTENNA_user_to_mprj_in_gates\[58\]_A DIODE ) ( user_to_mprj_in_gates\[58\] A ) + USE SIGNAL ;
- la_data_out_core[59] ( PIN la_data_out_core[59] ) ( ANTENNA_user_to_mprj_in_gates\[59\]_A DIODE ) ( user_to_mprj_in_gates\[59\] A ) + USE SIGNAL ;
- la_data_out_core[5] ( PIN la_data_out_core[5] ) ( ANTENNA_user_to_mprj_in_gates\[5\]_A DIODE ) ( user_to_mprj_in_gates\[5\] A ) + USE SIGNAL ;
- la_data_out_core[60] ( PIN la_data_out_core[60] ) ( ANTENNA_user_to_mprj_in_gates\[60\]_A DIODE ) ( user_to_mprj_in_gates\[60\] A ) + USE SIGNAL ;
- la_data_out_core[61] ( PIN la_data_out_core[61] ) ( ANTENNA_user_to_mprj_in_gates\[61\]_A DIODE ) ( user_to_mprj_in_gates\[61\] A ) + USE SIGNAL ;
- la_data_out_core[62] ( PIN la_data_out_core[62] ) ( ANTENNA_user_to_mprj_in_gates\[62\]_A DIODE ) ( user_to_mprj_in_gates\[62\] A ) + USE SIGNAL ;
- la_data_out_core[63] ( PIN la_data_out_core[63] ) ( ANTENNA_user_to_mprj_in_gates\[63\]_A DIODE ) ( user_to_mprj_in_gates\[63\] A ) + USE SIGNAL ;
- la_data_out_core[64] ( PIN la_data_out_core[64] ) ( ANTENNA_user_to_mprj_in_gates\[64\]_A DIODE ) ( user_to_mprj_in_gates\[64\] A ) + USE SIGNAL ;
- la_data_out_core[65] ( PIN la_data_out_core[65] ) ( ANTENNA_user_to_mprj_in_gates\[65\]_A DIODE ) ( user_to_mprj_in_gates\[65\] A ) + USE SIGNAL ;
- la_data_out_core[66] ( PIN la_data_out_core[66] ) ( ANTENNA_user_to_mprj_in_gates\[66\]_A DIODE ) ( user_to_mprj_in_gates\[66\] A ) + USE SIGNAL ;
- la_data_out_core[67] ( PIN la_data_out_core[67] ) ( ANTENNA_user_to_mprj_in_gates\[67\]_A DIODE ) ( user_to_mprj_in_gates\[67\] A ) + USE SIGNAL ;
- la_data_out_core[68] ( PIN la_data_out_core[68] ) ( ANTENNA_user_to_mprj_in_gates\[68\]_A DIODE ) ( user_to_mprj_in_gates\[68\] A ) + USE SIGNAL ;
- la_data_out_core[69] ( PIN la_data_out_core[69] ) ( ANTENNA_user_to_mprj_in_gates\[69\]_A DIODE ) ( user_to_mprj_in_gates\[69\] A ) + USE SIGNAL ;
- la_data_out_core[6] ( PIN la_data_out_core[6] ) ( ANTENNA_user_to_mprj_in_gates\[6\]_A DIODE ) ( user_to_mprj_in_gates\[6\] A ) + USE SIGNAL ;
- la_data_out_core[70] ( PIN la_data_out_core[70] ) ( ANTENNA_user_to_mprj_in_gates\[70\]_A DIODE ) ( user_to_mprj_in_gates\[70\] A ) + USE SIGNAL ;
- la_data_out_core[71] ( PIN la_data_out_core[71] ) ( ANTENNA_user_to_mprj_in_gates\[71\]_A DIODE ) ( user_to_mprj_in_gates\[71\] A ) + USE SIGNAL ;
- la_data_out_core[72] ( PIN la_data_out_core[72] ) ( ANTENNA_user_to_mprj_in_gates\[72\]_A DIODE ) ( user_to_mprj_in_gates\[72\] A ) + USE SIGNAL ;
- la_data_out_core[73] ( PIN la_data_out_core[73] ) ( ANTENNA_user_to_mprj_in_gates\[73\]_A DIODE ) ( user_to_mprj_in_gates\[73\] A ) + USE SIGNAL ;
- la_data_out_core[74] ( PIN la_data_out_core[74] ) ( ANTENNA_user_to_mprj_in_gates\[74\]_A DIODE ) ( user_to_mprj_in_gates\[74\] A ) + USE SIGNAL ;
- la_data_out_core[75] ( PIN la_data_out_core[75] ) ( ANTENNA_user_to_mprj_in_gates\[75\]_A DIODE ) ( user_to_mprj_in_gates\[75\] A ) + USE SIGNAL ;
- la_data_out_core[76] ( PIN la_data_out_core[76] ) ( ANTENNA_user_to_mprj_in_gates\[76\]_A DIODE ) ( user_to_mprj_in_gates\[76\] A ) + USE SIGNAL ;
- la_data_out_core[77] ( PIN la_data_out_core[77] ) ( ANTENNA_user_to_mprj_in_gates\[77\]_A DIODE ) ( user_to_mprj_in_gates\[77\] A ) + USE SIGNAL ;
- la_data_out_core[78] ( PIN la_data_out_core[78] ) ( ANTENNA_user_to_mprj_in_gates\[78\]_A DIODE ) ( user_to_mprj_in_gates\[78\] A ) + USE SIGNAL ;
- la_data_out_core[79] ( PIN la_data_out_core[79] ) ( ANTENNA_user_to_mprj_in_gates\[79\]_A DIODE ) ( user_to_mprj_in_gates\[79\] A ) + USE SIGNAL ;
- la_data_out_core[7] ( PIN la_data_out_core[7] ) ( ANTENNA_user_to_mprj_in_gates\[7\]_A DIODE ) ( user_to_mprj_in_gates\[7\] A ) + USE SIGNAL ;
- la_data_out_core[80] ( PIN la_data_out_core[80] ) ( ANTENNA_user_to_mprj_in_gates\[80\]_A DIODE ) ( user_to_mprj_in_gates\[80\] A ) + USE SIGNAL ;
- la_data_out_core[81] ( PIN la_data_out_core[81] ) ( ANTENNA_user_to_mprj_in_gates\[81\]_A DIODE ) ( user_to_mprj_in_gates\[81\] A ) + USE SIGNAL ;
- la_data_out_core[82] ( PIN la_data_out_core[82] ) ( ANTENNA_user_to_mprj_in_gates\[82\]_A DIODE ) ( user_to_mprj_in_gates\[82\] A ) + USE SIGNAL ;
- la_data_out_core[83] ( PIN la_data_out_core[83] ) ( ANTENNA_user_to_mprj_in_gates\[83\]_A DIODE ) ( user_to_mprj_in_gates\[83\] A ) + USE SIGNAL ;
- la_data_out_core[84] ( PIN la_data_out_core[84] ) ( ANTENNA_user_to_mprj_in_gates\[84\]_A DIODE ) ( user_to_mprj_in_gates\[84\] A ) + USE SIGNAL ;
- la_data_out_core[85] ( PIN la_data_out_core[85] ) ( ANTENNA_user_to_mprj_in_gates\[85\]_A DIODE ) ( user_to_mprj_in_gates\[85\] A ) + USE SIGNAL ;
- la_data_out_core[86] ( PIN la_data_out_core[86] ) ( ANTENNA_user_to_mprj_in_gates\[86\]_A DIODE ) ( user_to_mprj_in_gates\[86\] A ) + USE SIGNAL ;
- la_data_out_core[87] ( PIN la_data_out_core[87] ) ( ANTENNA_user_to_mprj_in_gates\[87\]_A DIODE ) ( user_to_mprj_in_gates\[87\] A ) + USE SIGNAL ;
- la_data_out_core[88] ( PIN la_data_out_core[88] ) ( ANTENNA_user_to_mprj_in_gates\[88\]_A DIODE ) ( user_to_mprj_in_gates\[88\] A ) + USE SIGNAL ;
- la_data_out_core[89] ( PIN la_data_out_core[89] ) ( ANTENNA_user_to_mprj_in_gates\[89\]_A DIODE ) ( user_to_mprj_in_gates\[89\] A ) + USE SIGNAL ;
- la_data_out_core[8] ( PIN la_data_out_core[8] ) ( ANTENNA_user_to_mprj_in_gates\[8\]_A DIODE ) ( user_to_mprj_in_gates\[8\] A ) + USE SIGNAL ;
- la_data_out_core[90] ( PIN la_data_out_core[90] ) ( ANTENNA_user_to_mprj_in_gates\[90\]_A DIODE ) ( user_to_mprj_in_gates\[90\] A ) + USE SIGNAL ;
- la_data_out_core[91] ( PIN la_data_out_core[91] ) ( ANTENNA_user_to_mprj_in_gates\[91\]_A DIODE ) ( user_to_mprj_in_gates\[91\] A ) + USE SIGNAL ;
- la_data_out_core[92] ( PIN la_data_out_core[92] ) ( ANTENNA_user_to_mprj_in_gates\[92\]_A DIODE ) ( user_to_mprj_in_gates\[92\] A ) + USE SIGNAL ;
- la_data_out_core[93] ( PIN la_data_out_core[93] ) ( ANTENNA_user_to_mprj_in_gates\[93\]_A DIODE ) ( user_to_mprj_in_gates\[93\] A ) + USE SIGNAL ;
- la_data_out_core[94] ( PIN la_data_out_core[94] ) ( ANTENNA_user_to_mprj_in_gates\[94\]_A DIODE ) ( user_to_mprj_in_gates\[94\] A ) + USE SIGNAL ;
- la_data_out_core[95] ( PIN la_data_out_core[95] ) ( ANTENNA_user_to_mprj_in_gates\[95\]_A DIODE ) ( user_to_mprj_in_gates\[95\] A ) + USE SIGNAL ;
- la_data_out_core[96] ( PIN la_data_out_core[96] ) ( ANTENNA_user_to_mprj_in_gates\[96\]_A DIODE ) ( user_to_mprj_in_gates\[96\] A ) + USE SIGNAL ;
- la_data_out_core[97] ( PIN la_data_out_core[97] ) ( ANTENNA_user_to_mprj_in_gates\[97\]_A DIODE ) ( user_to_mprj_in_gates\[97\] A ) + USE SIGNAL ;
- la_data_out_core[98] ( PIN la_data_out_core[98] ) ( ANTENNA_user_to_mprj_in_gates\[98\]_A DIODE ) ( user_to_mprj_in_gates\[98\] A ) + USE SIGNAL ;
- la_data_out_core[99] ( PIN la_data_out_core[99] ) ( ANTENNA_user_to_mprj_in_gates\[99\]_A DIODE ) ( user_to_mprj_in_gates\[99\] A ) + USE SIGNAL ;
- la_data_out_core[9] ( PIN la_data_out_core[9] ) ( ANTENNA_user_to_mprj_in_gates\[9\]_A DIODE ) ( user_to_mprj_in_gates\[9\] A ) + USE SIGNAL ;
- la_data_out_mprj[0] ( PIN la_data_out_mprj[0] ) ( ANTENNA__471__A DIODE ) ( _471_ A ) + USE SIGNAL ;
- la_data_out_mprj[100] ( PIN la_data_out_mprj[100] ) ( ANTENNA__571__A DIODE ) ( _571_ A ) + USE SIGNAL ;
- la_data_out_mprj[101] ( PIN la_data_out_mprj[101] ) ( ANTENNA__572__A DIODE ) ( _572_ A ) + USE SIGNAL ;
- la_data_out_mprj[102] ( PIN la_data_out_mprj[102] ) ( ANTENNA__573__A DIODE ) ( _573_ A ) + USE SIGNAL ;
- la_data_out_mprj[103] ( PIN la_data_out_mprj[103] ) ( ANTENNA__574__A DIODE ) ( _574_ A ) + USE SIGNAL ;
- la_data_out_mprj[104] ( PIN la_data_out_mprj[104] ) ( ANTENNA__575__A DIODE ) ( _575_ A ) + USE SIGNAL ;
- la_data_out_mprj[105] ( PIN la_data_out_mprj[105] ) ( ANTENNA__576__A DIODE ) ( _576_ A ) + USE SIGNAL ;
- la_data_out_mprj[106] ( PIN la_data_out_mprj[106] ) ( ANTENNA__577__A DIODE ) ( _577_ A ) + USE SIGNAL ;
- la_data_out_mprj[107] ( PIN la_data_out_mprj[107] ) ( ANTENNA__578__A DIODE ) ( _578_ A ) + USE SIGNAL ;
- la_data_out_mprj[108] ( PIN la_data_out_mprj[108] ) ( ANTENNA__579__A DIODE ) ( _579_ A ) + USE SIGNAL ;
- la_data_out_mprj[109] ( PIN la_data_out_mprj[109] ) ( ANTENNA__580__A DIODE ) ( _580_ A ) + USE SIGNAL ;
- la_data_out_mprj[10] ( PIN la_data_out_mprj[10] ) ( ANTENNA__481__A DIODE ) ( _481_ A ) + USE SIGNAL ;
- la_data_out_mprj[110] ( PIN la_data_out_mprj[110] ) ( ANTENNA__581__A DIODE ) ( _581_ A ) + USE SIGNAL ;
- la_data_out_mprj[111] ( PIN la_data_out_mprj[111] ) ( ANTENNA__582__A DIODE ) ( _582_ A ) + USE SIGNAL ;
- la_data_out_mprj[112] ( PIN la_data_out_mprj[112] ) ( ANTENNA__583__A DIODE ) ( _583_ A ) + USE SIGNAL ;
- la_data_out_mprj[113] ( PIN la_data_out_mprj[113] ) ( ANTENNA__584__A DIODE ) ( _584_ A ) + USE SIGNAL ;
- la_data_out_mprj[114] ( PIN la_data_out_mprj[114] ) ( ANTENNA__585__A DIODE ) ( _585_ A ) + USE SIGNAL ;
- la_data_out_mprj[115] ( PIN la_data_out_mprj[115] ) ( ANTENNA__586__A DIODE ) ( _586_ A ) + USE SIGNAL ;
- la_data_out_mprj[116] ( PIN la_data_out_mprj[116] ) ( ANTENNA__587__A DIODE ) ( _587_ A ) + USE SIGNAL ;
- la_data_out_mprj[117] ( PIN la_data_out_mprj[117] ) ( ANTENNA__588__A DIODE ) ( _588_ A ) + USE SIGNAL ;
- la_data_out_mprj[118] ( PIN la_data_out_mprj[118] ) ( ANTENNA__589__A DIODE ) ( _589_ A ) + USE SIGNAL ;
- la_data_out_mprj[119] ( PIN la_data_out_mprj[119] ) ( ANTENNA__590__A DIODE ) ( _590_ A ) + USE SIGNAL ;
- la_data_out_mprj[11] ( PIN la_data_out_mprj[11] ) ( ANTENNA__482__A DIODE ) ( _482_ A ) + USE SIGNAL ;
- la_data_out_mprj[120] ( PIN la_data_out_mprj[120] ) ( ANTENNA__591__A DIODE ) ( _591_ A ) + USE SIGNAL ;
- la_data_out_mprj[121] ( PIN la_data_out_mprj[121] ) ( ANTENNA__592__A DIODE ) ( _592_ A ) + USE SIGNAL ;
- la_data_out_mprj[122] ( PIN la_data_out_mprj[122] ) ( ANTENNA__593__A DIODE ) ( _593_ A ) + USE SIGNAL ;
- la_data_out_mprj[123] ( PIN la_data_out_mprj[123] ) ( ANTENNA__594__A DIODE ) ( _594_ A ) + USE SIGNAL ;
- la_data_out_mprj[124] ( PIN la_data_out_mprj[124] ) ( ANTENNA__595__A DIODE ) ( _595_ A ) + USE SIGNAL ;
- la_data_out_mprj[125] ( PIN la_data_out_mprj[125] ) ( ANTENNA__596__A DIODE ) ( _596_ A ) + USE SIGNAL ;
- la_data_out_mprj[126] ( PIN la_data_out_mprj[126] ) ( ANTENNA__597__A DIODE ) ( _597_ A ) + USE SIGNAL ;
- la_data_out_mprj[127] ( PIN la_data_out_mprj[127] ) ( ANTENNA__598__A DIODE ) ( _598_ A ) + USE SIGNAL ;
- la_data_out_mprj[12] ( PIN la_data_out_mprj[12] ) ( ANTENNA__483__A DIODE ) ( _483_ A ) + USE SIGNAL ;
- la_data_out_mprj[13] ( PIN la_data_out_mprj[13] ) ( ANTENNA__484__A DIODE ) ( _484_ A ) + USE SIGNAL ;
- la_data_out_mprj[14] ( PIN la_data_out_mprj[14] ) ( ANTENNA__485__A DIODE ) ( _485_ A ) + USE SIGNAL ;
- la_data_out_mprj[15] ( PIN la_data_out_mprj[15] ) ( ANTENNA__486__A DIODE ) ( _486_ A ) + USE SIGNAL ;
- la_data_out_mprj[16] ( PIN la_data_out_mprj[16] ) ( ANTENNA__487__A DIODE ) ( _487_ A ) + USE SIGNAL ;
- la_data_out_mprj[17] ( PIN la_data_out_mprj[17] ) ( ANTENNA__488__A DIODE ) ( _488_ A ) + USE SIGNAL ;
- la_data_out_mprj[18] ( PIN la_data_out_mprj[18] ) ( ANTENNA__489__A DIODE ) ( _489_ A ) + USE SIGNAL ;
- la_data_out_mprj[19] ( PIN la_data_out_mprj[19] ) ( ANTENNA__490__A DIODE ) ( _490_ A ) + USE SIGNAL ;
- la_data_out_mprj[1] ( PIN la_data_out_mprj[1] ) ( ANTENNA__472__A DIODE ) ( _472_ A ) + USE SIGNAL ;
- la_data_out_mprj[20] ( PIN la_data_out_mprj[20] ) ( ANTENNA__491__A DIODE ) ( _491_ A ) + USE SIGNAL ;
- la_data_out_mprj[21] ( PIN la_data_out_mprj[21] ) ( ANTENNA__492__A DIODE ) ( _492_ A ) + USE SIGNAL ;
- la_data_out_mprj[22] ( PIN la_data_out_mprj[22] ) ( ANTENNA__493__A DIODE ) ( _493_ A ) + USE SIGNAL ;
- la_data_out_mprj[23] ( PIN la_data_out_mprj[23] ) ( ANTENNA__494__A DIODE ) ( _494_ A ) + USE SIGNAL ;
- la_data_out_mprj[24] ( PIN la_data_out_mprj[24] ) ( ANTENNA__495__A DIODE ) ( _495_ A ) + USE SIGNAL ;
- la_data_out_mprj[25] ( PIN la_data_out_mprj[25] ) ( ANTENNA__496__A DIODE ) ( _496_ A ) + USE SIGNAL ;
- la_data_out_mprj[26] ( PIN la_data_out_mprj[26] ) ( ANTENNA__497__A DIODE ) ( _497_ A ) + USE SIGNAL ;
- la_data_out_mprj[27] ( PIN la_data_out_mprj[27] ) ( ANTENNA__498__A DIODE ) ( _498_ A ) + USE SIGNAL ;
- la_data_out_mprj[28] ( PIN la_data_out_mprj[28] ) ( ANTENNA__499__A DIODE ) ( _499_ A ) + USE SIGNAL ;
- la_data_out_mprj[29] ( PIN la_data_out_mprj[29] ) ( ANTENNA__500__A DIODE ) ( _500_ A ) + USE SIGNAL ;
- la_data_out_mprj[2] ( PIN la_data_out_mprj[2] ) ( ANTENNA__473__A DIODE ) ( _473_ A ) + USE SIGNAL ;
- la_data_out_mprj[30] ( PIN la_data_out_mprj[30] ) ( ANTENNA__501__A DIODE ) ( _501_ A ) + USE SIGNAL ;
- la_data_out_mprj[31] ( PIN la_data_out_mprj[31] ) ( ANTENNA__502__A DIODE ) ( _502_ A ) + USE SIGNAL ;
- la_data_out_mprj[32] ( PIN la_data_out_mprj[32] ) ( ANTENNA__503__A DIODE ) ( _503_ A ) + USE SIGNAL ;
- la_data_out_mprj[33] ( PIN la_data_out_mprj[33] ) ( ANTENNA__504__A DIODE ) ( _504_ A ) + USE SIGNAL ;
- la_data_out_mprj[34] ( PIN la_data_out_mprj[34] ) ( ANTENNA__505__A DIODE ) ( _505_ A ) + USE SIGNAL ;
- la_data_out_mprj[35] ( PIN la_data_out_mprj[35] ) ( ANTENNA__506__A DIODE ) ( _506_ A ) + USE SIGNAL ;
- la_data_out_mprj[36] ( PIN la_data_out_mprj[36] ) ( ANTENNA__507__A DIODE ) ( _507_ A ) + USE SIGNAL ;
- la_data_out_mprj[37] ( PIN la_data_out_mprj[37] ) ( ANTENNA__508__A DIODE ) ( _508_ A ) + USE SIGNAL ;
- la_data_out_mprj[38] ( PIN la_data_out_mprj[38] ) ( ANTENNA__509__A DIODE ) ( _509_ A ) + USE SIGNAL ;
- la_data_out_mprj[39] ( PIN la_data_out_mprj[39] ) ( ANTENNA__510__A DIODE ) ( _510_ A ) + USE SIGNAL ;
- la_data_out_mprj[3] ( PIN la_data_out_mprj[3] ) ( ANTENNA__474__A DIODE ) ( _474_ A ) + USE SIGNAL ;
- la_data_out_mprj[40] ( PIN la_data_out_mprj[40] ) ( ANTENNA__511__A DIODE ) ( _511_ A ) + USE SIGNAL ;
- la_data_out_mprj[41] ( PIN la_data_out_mprj[41] ) ( ANTENNA__512__A DIODE ) ( _512_ A ) + USE SIGNAL ;
- la_data_out_mprj[42] ( PIN la_data_out_mprj[42] ) ( ANTENNA__513__A DIODE ) ( _513_ A ) + USE SIGNAL ;
- la_data_out_mprj[43] ( PIN la_data_out_mprj[43] ) ( ANTENNA__514__A DIODE ) ( _514_ A ) + USE SIGNAL ;
- la_data_out_mprj[44] ( PIN la_data_out_mprj[44] ) ( ANTENNA__515__A DIODE ) ( _515_ A ) + USE SIGNAL ;
- la_data_out_mprj[45] ( PIN la_data_out_mprj[45] ) ( ANTENNA__516__A DIODE ) ( _516_ A ) + USE SIGNAL ;
- la_data_out_mprj[46] ( PIN la_data_out_mprj[46] ) ( ANTENNA__517__A DIODE ) ( _517_ A ) + USE SIGNAL ;
- la_data_out_mprj[47] ( PIN la_data_out_mprj[47] ) ( ANTENNA__518__A DIODE ) ( _518_ A ) + USE SIGNAL ;
- la_data_out_mprj[48] ( PIN la_data_out_mprj[48] ) ( ANTENNA__519__A DIODE ) ( _519_ A ) + USE SIGNAL ;
- la_data_out_mprj[49] ( PIN la_data_out_mprj[49] ) ( ANTENNA__520__A DIODE ) ( _520_ A ) + USE SIGNAL ;
- la_data_out_mprj[4] ( PIN la_data_out_mprj[4] ) ( ANTENNA__475__A DIODE ) ( _475_ A ) + USE SIGNAL ;
- la_data_out_mprj[50] ( PIN la_data_out_mprj[50] ) ( ANTENNA__521__A DIODE ) ( _521_ A ) + USE SIGNAL ;
- la_data_out_mprj[51] ( PIN la_data_out_mprj[51] ) ( ANTENNA__522__A DIODE ) ( _522_ A ) + USE SIGNAL ;
- la_data_out_mprj[52] ( PIN la_data_out_mprj[52] ) ( ANTENNA__523__A DIODE ) ( _523_ A ) + USE SIGNAL ;
- la_data_out_mprj[53] ( PIN la_data_out_mprj[53] ) ( ANTENNA__524__A DIODE ) ( _524_ A ) + USE SIGNAL ;
- la_data_out_mprj[54] ( PIN la_data_out_mprj[54] ) ( ANTENNA__525__A DIODE ) ( _525_ A ) + USE SIGNAL ;
- la_data_out_mprj[55] ( PIN la_data_out_mprj[55] ) ( ANTENNA__526__A DIODE ) ( _526_ A ) + USE SIGNAL ;
- la_data_out_mprj[56] ( PIN la_data_out_mprj[56] ) ( ANTENNA__527__A DIODE ) ( _527_ A ) + USE SIGNAL ;
- la_data_out_mprj[57] ( PIN la_data_out_mprj[57] ) ( ANTENNA__528__A DIODE ) ( _528_ A ) + USE SIGNAL ;
- la_data_out_mprj[58] ( PIN la_data_out_mprj[58] ) ( ANTENNA__529__A DIODE ) ( _529_ A ) + USE SIGNAL ;
- la_data_out_mprj[59] ( PIN la_data_out_mprj[59] ) ( ANTENNA__530__A DIODE ) ( _530_ A ) + USE SIGNAL ;
- la_data_out_mprj[5] ( PIN la_data_out_mprj[5] ) ( ANTENNA__476__A DIODE ) ( _476_ A ) + USE SIGNAL ;
- la_data_out_mprj[60] ( PIN la_data_out_mprj[60] ) ( ANTENNA__531__A DIODE ) ( _531_ A ) + USE SIGNAL ;
- la_data_out_mprj[61] ( PIN la_data_out_mprj[61] ) ( ANTENNA__532__A DIODE ) ( _532_ A ) + USE SIGNAL ;
- la_data_out_mprj[62] ( PIN la_data_out_mprj[62] ) ( ANTENNA__533__A DIODE ) ( _533_ A ) + USE SIGNAL ;
- la_data_out_mprj[63] ( PIN la_data_out_mprj[63] ) ( ANTENNA__534__A DIODE ) ( _534_ A ) + USE SIGNAL ;
- la_data_out_mprj[64] ( PIN la_data_out_mprj[64] ) ( ANTENNA__535__A DIODE ) ( _535_ A ) + USE SIGNAL ;
- la_data_out_mprj[65] ( PIN la_data_out_mprj[65] ) ( ANTENNA__536__A DIODE ) ( _536_ A ) + USE SIGNAL ;
- la_data_out_mprj[66] ( PIN la_data_out_mprj[66] ) ( ANTENNA__537__A DIODE ) ( _537_ A ) + USE SIGNAL ;
- la_data_out_mprj[67] ( PIN la_data_out_mprj[67] ) ( ANTENNA__538__A DIODE ) ( _538_ A ) + USE SIGNAL ;
- la_data_out_mprj[68] ( PIN la_data_out_mprj[68] ) ( ANTENNA__539__A DIODE ) ( _539_ A ) + USE SIGNAL ;
- la_data_out_mprj[69] ( PIN la_data_out_mprj[69] ) ( ANTENNA__540__A DIODE ) ( _540_ A ) + USE SIGNAL ;
- la_data_out_mprj[6] ( PIN la_data_out_mprj[6] ) ( ANTENNA__477__A DIODE ) ( _477_ A ) + USE SIGNAL ;
- la_data_out_mprj[70] ( PIN la_data_out_mprj[70] ) ( ANTENNA__541__A DIODE ) ( _541_ A ) + USE SIGNAL ;
- la_data_out_mprj[71] ( PIN la_data_out_mprj[71] ) ( ANTENNA__542__A DIODE ) ( _542_ A ) + USE SIGNAL ;
- la_data_out_mprj[72] ( PIN la_data_out_mprj[72] ) ( ANTENNA__543__A DIODE ) ( _543_ A ) + USE SIGNAL ;
- la_data_out_mprj[73] ( PIN la_data_out_mprj[73] ) ( ANTENNA__544__A DIODE ) ( _544_ A ) + USE SIGNAL ;
- la_data_out_mprj[74] ( PIN la_data_out_mprj[74] ) ( ANTENNA__545__A DIODE ) ( _545_ A ) + USE SIGNAL ;
- la_data_out_mprj[75] ( PIN la_data_out_mprj[75] ) ( ANTENNA__546__A DIODE ) ( _546_ A ) + USE SIGNAL ;
- la_data_out_mprj[76] ( PIN la_data_out_mprj[76] ) ( ANTENNA__547__A DIODE ) ( _547_ A ) + USE SIGNAL ;
- la_data_out_mprj[77] ( PIN la_data_out_mprj[77] ) ( ANTENNA__548__A DIODE ) ( _548_ A ) + USE SIGNAL ;
- la_data_out_mprj[78] ( PIN la_data_out_mprj[78] ) ( ANTENNA__549__A DIODE ) ( _549_ A ) + USE SIGNAL ;
- la_data_out_mprj[79] ( PIN la_data_out_mprj[79] ) ( ANTENNA__550__A DIODE ) ( _550_ A ) + USE SIGNAL ;
- la_data_out_mprj[7] ( PIN la_data_out_mprj[7] ) ( ANTENNA__478__A DIODE ) ( _478_ A ) + USE SIGNAL ;
- la_data_out_mprj[80] ( PIN la_data_out_mprj[80] ) ( ANTENNA__551__A DIODE ) ( _551_ A ) + USE SIGNAL ;
- la_data_out_mprj[81] ( PIN la_data_out_mprj[81] ) ( ANTENNA__552__A DIODE ) ( _552_ A ) + USE SIGNAL ;
- la_data_out_mprj[82] ( PIN la_data_out_mprj[82] ) ( ANTENNA__553__A DIODE ) ( _553_ A ) + USE SIGNAL ;
- la_data_out_mprj[83] ( PIN la_data_out_mprj[83] ) ( ANTENNA__554__A DIODE ) ( _554_ A ) + USE SIGNAL ;
- la_data_out_mprj[84] ( PIN la_data_out_mprj[84] ) ( ANTENNA__555__A DIODE ) ( _555_ A ) + USE SIGNAL ;
- la_data_out_mprj[85] ( PIN la_data_out_mprj[85] ) ( ANTENNA__556__A DIODE ) ( _556_ A ) + USE SIGNAL ;
- la_data_out_mprj[86] ( PIN la_data_out_mprj[86] ) ( ANTENNA__557__A DIODE ) ( _557_ A ) + USE SIGNAL ;
- la_data_out_mprj[87] ( PIN la_data_out_mprj[87] ) ( ANTENNA__558__A DIODE ) ( _558_ A ) + USE SIGNAL ;
- la_data_out_mprj[88] ( PIN la_data_out_mprj[88] ) ( ANTENNA__559__A DIODE ) ( _559_ A ) + USE SIGNAL ;
- la_data_out_mprj[89] ( PIN la_data_out_mprj[89] ) ( ANTENNA__560__A DIODE ) ( _560_ A ) + USE SIGNAL ;
- la_data_out_mprj[8] ( PIN la_data_out_mprj[8] ) ( ANTENNA__479__A DIODE ) ( _479_ A ) + USE SIGNAL ;
- la_data_out_mprj[90] ( PIN la_data_out_mprj[90] ) ( ANTENNA__561__A DIODE ) ( _561_ A ) + USE SIGNAL ;
- la_data_out_mprj[91] ( PIN la_data_out_mprj[91] ) ( ANTENNA__562__A DIODE ) ( _562_ A ) + USE SIGNAL ;
- la_data_out_mprj[92] ( PIN la_data_out_mprj[92] ) ( ANTENNA__563__A DIODE ) ( _563_ A ) + USE SIGNAL ;
- la_data_out_mprj[93] ( PIN la_data_out_mprj[93] ) ( ANTENNA__564__A DIODE ) ( _564_ A ) + USE SIGNAL ;
- la_data_out_mprj[94] ( PIN la_data_out_mprj[94] ) ( ANTENNA__565__A DIODE ) ( _565_ A ) + USE SIGNAL ;
- la_data_out_mprj[95] ( PIN la_data_out_mprj[95] ) ( ANTENNA__566__A DIODE ) ( _566_ A ) + USE SIGNAL ;
- la_data_out_mprj[96] ( PIN la_data_out_mprj[96] ) ( ANTENNA__567__A DIODE ) ( _567_ A ) + USE SIGNAL ;
- la_data_out_mprj[97] ( PIN la_data_out_mprj[97] ) ( ANTENNA__568__A DIODE ) ( _568_ A ) + USE SIGNAL ;
- la_data_out_mprj[98] ( PIN la_data_out_mprj[98] ) ( ANTENNA__569__A DIODE ) ( _569_ A ) + USE SIGNAL ;
- la_data_out_mprj[99] ( PIN la_data_out_mprj[99] ) ( ANTENNA__570__A DIODE ) ( _570_ A ) + USE SIGNAL ;
- la_data_out_mprj[9] ( PIN la_data_out_mprj[9] ) ( ANTENNA__480__A DIODE ) ( _480_ A ) + USE SIGNAL ;
- la_oen_core[0] ( PIN la_oen_core[0] ) ( user_to_mprj_oen_buffers\[0\] Z ) + USE SIGNAL ;
- la_oen_core[100] ( PIN la_oen_core[100] ) ( user_to_mprj_oen_buffers\[100\] Z ) + USE SIGNAL ;
- la_oen_core[101] ( PIN la_oen_core[101] ) ( user_to_mprj_oen_buffers\[101\] Z ) + USE SIGNAL ;
- la_oen_core[102] ( PIN la_oen_core[102] ) ( user_to_mprj_oen_buffers\[102\] Z ) + USE SIGNAL ;
- la_oen_core[103] ( PIN la_oen_core[103] ) ( user_to_mprj_oen_buffers\[103\] Z ) + USE SIGNAL ;
- la_oen_core[104] ( PIN la_oen_core[104] ) ( user_to_mprj_oen_buffers\[104\] Z ) + USE SIGNAL ;
- la_oen_core[105] ( PIN la_oen_core[105] ) ( user_to_mprj_oen_buffers\[105\] Z ) + USE SIGNAL ;
- la_oen_core[106] ( PIN la_oen_core[106] ) ( user_to_mprj_oen_buffers\[106\] Z ) + USE SIGNAL ;
- la_oen_core[107] ( PIN la_oen_core[107] ) ( user_to_mprj_oen_buffers\[107\] Z ) + USE SIGNAL ;
- la_oen_core[108] ( PIN la_oen_core[108] ) ( user_to_mprj_oen_buffers\[108\] Z ) + USE SIGNAL ;
- la_oen_core[109] ( PIN la_oen_core[109] ) ( user_to_mprj_oen_buffers\[109\] Z ) + USE SIGNAL ;
- la_oen_core[10] ( PIN la_oen_core[10] ) ( user_to_mprj_oen_buffers\[10\] Z ) + USE SIGNAL ;
- la_oen_core[110] ( PIN la_oen_core[110] ) ( user_to_mprj_oen_buffers\[110\] Z ) + USE SIGNAL ;
- la_oen_core[111] ( PIN la_oen_core[111] ) ( user_to_mprj_oen_buffers\[111\] Z ) + USE SIGNAL ;
- la_oen_core[112] ( PIN la_oen_core[112] ) ( user_to_mprj_oen_buffers\[112\] Z ) + USE SIGNAL ;
- la_oen_core[113] ( PIN la_oen_core[113] ) ( user_to_mprj_oen_buffers\[113\] Z ) + USE SIGNAL ;
- la_oen_core[114] ( PIN la_oen_core[114] ) ( user_to_mprj_oen_buffers\[114\] Z ) + USE SIGNAL ;
- la_oen_core[115] ( PIN la_oen_core[115] ) ( user_to_mprj_oen_buffers\[115\] Z ) + USE SIGNAL ;
- la_oen_core[116] ( PIN la_oen_core[116] ) ( user_to_mprj_oen_buffers\[116\] Z ) + USE SIGNAL ;
- la_oen_core[117] ( PIN la_oen_core[117] ) ( user_to_mprj_oen_buffers\[117\] Z ) + USE SIGNAL ;
- la_oen_core[118] ( PIN la_oen_core[118] ) ( user_to_mprj_oen_buffers\[118\] Z ) + USE SIGNAL ;
- la_oen_core[119] ( PIN la_oen_core[119] ) ( user_to_mprj_oen_buffers\[119\] Z ) + USE SIGNAL ;
- la_oen_core[11] ( PIN la_oen_core[11] ) ( user_to_mprj_oen_buffers\[11\] Z ) + USE SIGNAL ;
- la_oen_core[120] ( PIN la_oen_core[120] ) ( user_to_mprj_oen_buffers\[120\] Z ) + USE SIGNAL ;
- la_oen_core[121] ( PIN la_oen_core[121] ) ( user_to_mprj_oen_buffers\[121\] Z ) + USE SIGNAL ;
- la_oen_core[122] ( PIN la_oen_core[122] ) ( user_to_mprj_oen_buffers\[122\] Z ) + USE SIGNAL ;
- la_oen_core[123] ( PIN la_oen_core[123] ) ( user_to_mprj_oen_buffers\[123\] Z ) + USE SIGNAL ;
- la_oen_core[124] ( PIN la_oen_core[124] ) ( user_to_mprj_oen_buffers\[124\] Z ) + USE SIGNAL ;
- la_oen_core[125] ( PIN la_oen_core[125] ) ( user_to_mprj_oen_buffers\[125\] Z ) + USE SIGNAL ;
- la_oen_core[126] ( PIN la_oen_core[126] ) ( user_to_mprj_oen_buffers\[126\] Z ) + USE SIGNAL ;
- la_oen_core[127] ( PIN la_oen_core[127] ) ( user_to_mprj_oen_buffers\[127\] Z ) + USE SIGNAL ;
- la_oen_core[12] ( PIN la_oen_core[12] ) ( user_to_mprj_oen_buffers\[12\] Z ) + USE SIGNAL ;
- la_oen_core[13] ( PIN la_oen_core[13] ) ( user_to_mprj_oen_buffers\[13\] Z ) + USE SIGNAL ;
- la_oen_core[14] ( PIN la_oen_core[14] ) ( user_to_mprj_oen_buffers\[14\] Z ) + USE SIGNAL ;
- la_oen_core[15] ( PIN la_oen_core[15] ) ( user_to_mprj_oen_buffers\[15\] Z ) + USE SIGNAL ;
- la_oen_core[16] ( PIN la_oen_core[16] ) ( user_to_mprj_oen_buffers\[16\] Z ) + USE SIGNAL ;
- la_oen_core[17] ( PIN la_oen_core[17] ) ( user_to_mprj_oen_buffers\[17\] Z ) + USE SIGNAL ;
- la_oen_core[18] ( PIN la_oen_core[18] ) ( user_to_mprj_oen_buffers\[18\] Z ) + USE SIGNAL ;
- la_oen_core[19] ( PIN la_oen_core[19] ) ( user_to_mprj_oen_buffers\[19\] Z ) + USE SIGNAL ;
- la_oen_core[1] ( PIN la_oen_core[1] ) ( user_to_mprj_oen_buffers\[1\] Z ) + USE SIGNAL ;
- la_oen_core[20] ( PIN la_oen_core[20] ) ( user_to_mprj_oen_buffers\[20\] Z ) + USE SIGNAL ;
- la_oen_core[21] ( PIN la_oen_core[21] ) ( user_to_mprj_oen_buffers\[21\] Z ) + USE SIGNAL ;
- la_oen_core[22] ( PIN la_oen_core[22] ) ( user_to_mprj_oen_buffers\[22\] Z ) + USE SIGNAL ;
- la_oen_core[23] ( PIN la_oen_core[23] ) ( user_to_mprj_oen_buffers\[23\] Z ) + USE SIGNAL ;
- la_oen_core[24] ( PIN la_oen_core[24] ) ( user_to_mprj_oen_buffers\[24\] Z ) + USE SIGNAL ;
- la_oen_core[25] ( PIN la_oen_core[25] ) ( user_to_mprj_oen_buffers\[25\] Z ) + USE SIGNAL ;
- la_oen_core[26] ( PIN la_oen_core[26] ) ( user_to_mprj_oen_buffers\[26\] Z ) + USE SIGNAL ;
- la_oen_core[27] ( PIN la_oen_core[27] ) ( user_to_mprj_oen_buffers\[27\] Z ) + USE SIGNAL ;
- la_oen_core[28] ( PIN la_oen_core[28] ) ( user_to_mprj_oen_buffers\[28\] Z ) + USE SIGNAL ;
- la_oen_core[29] ( PIN la_oen_core[29] ) ( user_to_mprj_oen_buffers\[29\] Z ) + USE SIGNAL ;
- la_oen_core[2] ( PIN la_oen_core[2] ) ( user_to_mprj_oen_buffers\[2\] Z ) + USE SIGNAL ;
- la_oen_core[30] ( PIN la_oen_core[30] ) ( user_to_mprj_oen_buffers\[30\] Z ) + USE SIGNAL ;
- la_oen_core[31] ( PIN la_oen_core[31] ) ( user_to_mprj_oen_buffers\[31\] Z ) + USE SIGNAL ;
- la_oen_core[32] ( PIN la_oen_core[32] ) ( user_to_mprj_oen_buffers\[32\] Z ) + USE SIGNAL ;
- la_oen_core[33] ( PIN la_oen_core[33] ) ( user_to_mprj_oen_buffers\[33\] Z ) + USE SIGNAL ;
- la_oen_core[34] ( PIN la_oen_core[34] ) ( user_to_mprj_oen_buffers\[34\] Z ) + USE SIGNAL ;
- la_oen_core[35] ( PIN la_oen_core[35] ) ( user_to_mprj_oen_buffers\[35\] Z ) + USE SIGNAL ;
- la_oen_core[36] ( PIN la_oen_core[36] ) ( user_to_mprj_oen_buffers\[36\] Z ) + USE SIGNAL ;
- la_oen_core[37] ( PIN la_oen_core[37] ) ( user_to_mprj_oen_buffers\[37\] Z ) + USE SIGNAL ;
- la_oen_core[38] ( PIN la_oen_core[38] ) ( user_to_mprj_oen_buffers\[38\] Z ) + USE SIGNAL ;
- la_oen_core[39] ( PIN la_oen_core[39] ) ( user_to_mprj_oen_buffers\[39\] Z ) + USE SIGNAL ;
- la_oen_core[3] ( PIN la_oen_core[3] ) ( user_to_mprj_oen_buffers\[3\] Z ) + USE SIGNAL ;
- la_oen_core[40] ( PIN la_oen_core[40] ) ( user_to_mprj_oen_buffers\[40\] Z ) + USE SIGNAL ;
- la_oen_core[41] ( PIN la_oen_core[41] ) ( user_to_mprj_oen_buffers\[41\] Z ) + USE SIGNAL ;
- la_oen_core[42] ( PIN la_oen_core[42] ) ( user_to_mprj_oen_buffers\[42\] Z ) + USE SIGNAL ;
- la_oen_core[43] ( PIN la_oen_core[43] ) ( user_to_mprj_oen_buffers\[43\] Z ) + USE SIGNAL ;
- la_oen_core[44] ( PIN la_oen_core[44] ) ( user_to_mprj_oen_buffers\[44\] Z ) + USE SIGNAL ;
- la_oen_core[45] ( PIN la_oen_core[45] ) ( user_to_mprj_oen_buffers\[45\] Z ) + USE SIGNAL ;
- la_oen_core[46] ( PIN la_oen_core[46] ) ( user_to_mprj_oen_buffers\[46\] Z ) + USE SIGNAL ;
- la_oen_core[47] ( PIN la_oen_core[47] ) ( user_to_mprj_oen_buffers\[47\] Z ) + USE SIGNAL ;
- la_oen_core[48] ( PIN la_oen_core[48] ) ( user_to_mprj_oen_buffers\[48\] Z ) + USE SIGNAL ;
- la_oen_core[49] ( PIN la_oen_core[49] ) ( user_to_mprj_oen_buffers\[49\] Z ) + USE SIGNAL ;
- la_oen_core[4] ( PIN la_oen_core[4] ) ( user_to_mprj_oen_buffers\[4\] Z ) + USE SIGNAL ;
- la_oen_core[50] ( PIN la_oen_core[50] ) ( user_to_mprj_oen_buffers\[50\] Z ) + USE SIGNAL ;
- la_oen_core[51] ( PIN la_oen_core[51] ) ( user_to_mprj_oen_buffers\[51\] Z ) + USE SIGNAL ;
- la_oen_core[52] ( PIN la_oen_core[52] ) ( user_to_mprj_oen_buffers\[52\] Z ) + USE SIGNAL ;
- la_oen_core[53] ( PIN la_oen_core[53] ) ( user_to_mprj_oen_buffers\[53\] Z ) + USE SIGNAL ;
- la_oen_core[54] ( PIN la_oen_core[54] ) ( user_to_mprj_oen_buffers\[54\] Z ) + USE SIGNAL ;
- la_oen_core[55] ( PIN la_oen_core[55] ) ( user_to_mprj_oen_buffers\[55\] Z ) + USE SIGNAL ;
- la_oen_core[56] ( PIN la_oen_core[56] ) ( user_to_mprj_oen_buffers\[56\] Z ) + USE SIGNAL ;
- la_oen_core[57] ( PIN la_oen_core[57] ) ( user_to_mprj_oen_buffers\[57\] Z ) + USE SIGNAL ;
- la_oen_core[58] ( PIN la_oen_core[58] ) ( user_to_mprj_oen_buffers\[58\] Z ) + USE SIGNAL ;
- la_oen_core[59] ( PIN la_oen_core[59] ) ( user_to_mprj_oen_buffers\[59\] Z ) + USE SIGNAL ;
- la_oen_core[5] ( PIN la_oen_core[5] ) ( user_to_mprj_oen_buffers\[5\] Z ) + USE SIGNAL ;
- la_oen_core[60] ( PIN la_oen_core[60] ) ( user_to_mprj_oen_buffers\[60\] Z ) + USE SIGNAL ;
- la_oen_core[61] ( PIN la_oen_core[61] ) ( user_to_mprj_oen_buffers\[61\] Z ) + USE SIGNAL ;
- la_oen_core[62] ( PIN la_oen_core[62] ) ( user_to_mprj_oen_buffers\[62\] Z ) + USE SIGNAL ;
- la_oen_core[63] ( PIN la_oen_core[63] ) ( user_to_mprj_oen_buffers\[63\] Z ) + USE SIGNAL ;
- la_oen_core[64] ( PIN la_oen_core[64] ) ( user_to_mprj_oen_buffers\[64\] Z ) + USE SIGNAL ;
- la_oen_core[65] ( PIN la_oen_core[65] ) ( user_to_mprj_oen_buffers\[65\] Z ) + USE SIGNAL ;
- la_oen_core[66] ( PIN la_oen_core[66] ) ( user_to_mprj_oen_buffers\[66\] Z ) + USE SIGNAL ;
- la_oen_core[67] ( PIN la_oen_core[67] ) ( user_to_mprj_oen_buffers\[67\] Z ) + USE SIGNAL ;
- la_oen_core[68] ( PIN la_oen_core[68] ) ( user_to_mprj_oen_buffers\[68\] Z ) + USE SIGNAL ;
- la_oen_core[69] ( PIN la_oen_core[69] ) ( user_to_mprj_oen_buffers\[69\] Z ) + USE SIGNAL ;
- la_oen_core[6] ( PIN la_oen_core[6] ) ( user_to_mprj_oen_buffers\[6\] Z ) + USE SIGNAL ;
- la_oen_core[70] ( PIN la_oen_core[70] ) ( user_to_mprj_oen_buffers\[70\] Z ) + USE SIGNAL ;
- la_oen_core[71] ( PIN la_oen_core[71] ) ( user_to_mprj_oen_buffers\[71\] Z ) + USE SIGNAL ;
- la_oen_core[72] ( PIN la_oen_core[72] ) ( user_to_mprj_oen_buffers\[72\] Z ) + USE SIGNAL ;
- la_oen_core[73] ( PIN la_oen_core[73] ) ( user_to_mprj_oen_buffers\[73\] Z ) + USE SIGNAL ;
- la_oen_core[74] ( PIN la_oen_core[74] ) ( user_to_mprj_oen_buffers\[74\] Z ) + USE SIGNAL ;
- la_oen_core[75] ( PIN la_oen_core[75] ) ( user_to_mprj_oen_buffers\[75\] Z ) + USE SIGNAL ;
- la_oen_core[76] ( PIN la_oen_core[76] ) ( user_to_mprj_oen_buffers\[76\] Z ) + USE SIGNAL ;
- la_oen_core[77] ( PIN la_oen_core[77] ) ( user_to_mprj_oen_buffers\[77\] Z ) + USE SIGNAL ;
- la_oen_core[78] ( PIN la_oen_core[78] ) ( user_to_mprj_oen_buffers\[78\] Z ) + USE SIGNAL ;
- la_oen_core[79] ( PIN la_oen_core[79] ) ( user_to_mprj_oen_buffers\[79\] Z ) + USE SIGNAL ;
- la_oen_core[7] ( PIN la_oen_core[7] ) ( user_to_mprj_oen_buffers\[7\] Z ) + USE SIGNAL ;
- la_oen_core[80] ( PIN la_oen_core[80] ) ( user_to_mprj_oen_buffers\[80\] Z ) + USE SIGNAL ;
- la_oen_core[81] ( PIN la_oen_core[81] ) ( user_to_mprj_oen_buffers\[81\] Z ) + USE SIGNAL ;
- la_oen_core[82] ( PIN la_oen_core[82] ) ( user_to_mprj_oen_buffers\[82\] Z ) + USE SIGNAL ;
- la_oen_core[83] ( PIN la_oen_core[83] ) ( user_to_mprj_oen_buffers\[83\] Z ) + USE SIGNAL ;
- la_oen_core[84] ( PIN la_oen_core[84] ) ( user_to_mprj_oen_buffers\[84\] Z ) + USE SIGNAL ;
- la_oen_core[85] ( PIN la_oen_core[85] ) ( user_to_mprj_oen_buffers\[85\] Z ) + USE SIGNAL ;
- la_oen_core[86] ( PIN la_oen_core[86] ) ( user_to_mprj_oen_buffers\[86\] Z ) + USE SIGNAL ;
- la_oen_core[87] ( PIN la_oen_core[87] ) ( user_to_mprj_oen_buffers\[87\] Z ) + USE SIGNAL ;
- la_oen_core[88] ( PIN la_oen_core[88] ) ( user_to_mprj_oen_buffers\[88\] Z ) + USE SIGNAL ;
- la_oen_core[89] ( PIN la_oen_core[89] ) ( user_to_mprj_oen_buffers\[89\] Z ) + USE SIGNAL ;
- la_oen_core[8] ( PIN la_oen_core[8] ) ( user_to_mprj_oen_buffers\[8\] Z ) + USE SIGNAL ;
- la_oen_core[90] ( PIN la_oen_core[90] ) ( user_to_mprj_oen_buffers\[90\] Z ) + USE SIGNAL ;
- la_oen_core[91] ( PIN la_oen_core[91] ) ( user_to_mprj_oen_buffers\[91\] Z ) + USE SIGNAL ;
- la_oen_core[92] ( PIN la_oen_core[92] ) ( user_to_mprj_oen_buffers\[92\] Z ) + USE SIGNAL ;
- la_oen_core[93] ( PIN la_oen_core[93] ) ( user_to_mprj_oen_buffers\[93\] Z ) + USE SIGNAL ;
- la_oen_core[94] ( PIN la_oen_core[94] ) ( user_to_mprj_oen_buffers\[94\] Z ) + USE SIGNAL ;
- la_oen_core[95] ( PIN la_oen_core[95] ) ( user_to_mprj_oen_buffers\[95\] Z ) + USE SIGNAL ;
- la_oen_core[96] ( PIN la_oen_core[96] ) ( user_to_mprj_oen_buffers\[96\] Z ) + USE SIGNAL ;
- la_oen_core[97] ( PIN la_oen_core[97] ) ( user_to_mprj_oen_buffers\[97\] Z ) + USE SIGNAL ;
- la_oen_core[98] ( PIN la_oen_core[98] ) ( user_to_mprj_oen_buffers\[98\] Z ) + USE SIGNAL ;
- la_oen_core[99] ( PIN la_oen_core[99] ) ( user_to_mprj_oen_buffers\[99\] Z ) + USE SIGNAL ;
- la_oen_core[9] ( PIN la_oen_core[9] ) ( user_to_mprj_oen_buffers\[9\] Z ) + USE SIGNAL ;
- la_oen_mprj[0] ( PIN la_oen_mprj[0] ) ( ANTENNA__599__A DIODE ) ( _599_ A ) + USE SIGNAL ;
- la_oen_mprj[100] ( PIN la_oen_mprj[100] ) ( ANTENNA__368__A DIODE ) ( _368_ A ) + USE SIGNAL ;
- la_oen_mprj[101] ( PIN la_oen_mprj[101] ) ( ANTENNA__369__A DIODE ) ( _369_ A ) + USE SIGNAL ;
- la_oen_mprj[102] ( PIN la_oen_mprj[102] ) ( ANTENNA__370__A DIODE ) ( _370_ A ) + USE SIGNAL ;
- la_oen_mprj[103] ( PIN la_oen_mprj[103] ) ( ANTENNA__371__A DIODE ) ( _371_ A ) + USE SIGNAL ;
- la_oen_mprj[104] ( PIN la_oen_mprj[104] ) ( ANTENNA__372__A DIODE ) ( _372_ A ) + USE SIGNAL ;
- la_oen_mprj[105] ( PIN la_oen_mprj[105] ) ( ANTENNA__373__A DIODE ) ( _373_ A ) + USE SIGNAL ;
- la_oen_mprj[106] ( PIN la_oen_mprj[106] ) ( ANTENNA__374__A DIODE ) ( _374_ A ) + USE SIGNAL ;
- la_oen_mprj[107] ( PIN la_oen_mprj[107] ) ( ANTENNA__375__A DIODE ) ( _375_ A ) + USE SIGNAL ;
- la_oen_mprj[108] ( PIN la_oen_mprj[108] ) ( ANTENNA__376__A DIODE ) ( _376_ A ) + USE SIGNAL ;
- la_oen_mprj[109] ( PIN la_oen_mprj[109] ) ( ANTENNA__377__A DIODE ) ( _377_ A ) + USE SIGNAL ;
- la_oen_mprj[10] ( PIN la_oen_mprj[10] ) ( ANTENNA__609__A DIODE ) ( _609_ A ) + USE SIGNAL ;
- la_oen_mprj[110] ( PIN la_oen_mprj[110] ) ( ANTENNA__378__A DIODE ) ( _378_ A ) + USE SIGNAL ;
- la_oen_mprj[111] ( PIN la_oen_mprj[111] ) ( ANTENNA__379__A DIODE ) ( _379_ A ) + USE SIGNAL ;
- la_oen_mprj[112] ( PIN la_oen_mprj[112] ) ( ANTENNA__380__A DIODE ) ( _380_ A ) + USE SIGNAL ;
- la_oen_mprj[113] ( PIN la_oen_mprj[113] ) ( ANTENNA__381__A DIODE ) ( _381_ A ) + USE SIGNAL ;
- la_oen_mprj[114] ( PIN la_oen_mprj[114] ) ( ANTENNA__382__A DIODE ) ( _382_ A ) + USE SIGNAL ;
- la_oen_mprj[115] ( PIN la_oen_mprj[115] ) ( ANTENNA__383__A DIODE ) ( _383_ A ) + USE SIGNAL ;
- la_oen_mprj[116] ( PIN la_oen_mprj[116] ) ( ANTENNA__384__A DIODE ) ( _384_ A ) + USE SIGNAL ;
- la_oen_mprj[117] ( PIN la_oen_mprj[117] ) ( ANTENNA__385__A DIODE ) ( _385_ A ) + USE SIGNAL ;
- la_oen_mprj[118] ( PIN la_oen_mprj[118] ) ( ANTENNA__386__A DIODE ) ( _386_ A ) + USE SIGNAL ;
- la_oen_mprj[119] ( PIN la_oen_mprj[119] ) ( ANTENNA__387__A DIODE ) ( _387_ A ) + USE SIGNAL ;
- la_oen_mprj[11] ( PIN la_oen_mprj[11] ) ( ANTENNA__610__A DIODE ) ( _610_ A ) + USE SIGNAL ;
- la_oen_mprj[120] ( PIN la_oen_mprj[120] ) ( ANTENNA__388__A DIODE ) ( _388_ A ) + USE SIGNAL ;
- la_oen_mprj[121] ( PIN la_oen_mprj[121] ) ( ANTENNA__389__A DIODE ) ( _389_ A ) + USE SIGNAL ;
- la_oen_mprj[122] ( PIN la_oen_mprj[122] ) ( ANTENNA__390__A DIODE ) ( _390_ A ) + USE SIGNAL ;
- la_oen_mprj[123] ( PIN la_oen_mprj[123] ) ( ANTENNA__391__A DIODE ) ( _391_ A ) + USE SIGNAL ;
- la_oen_mprj[124] ( PIN la_oen_mprj[124] ) ( ANTENNA__392__A DIODE ) ( _392_ A ) + USE SIGNAL ;
- la_oen_mprj[125] ( PIN la_oen_mprj[125] ) ( ANTENNA__393__A DIODE ) ( _393_ A ) + USE SIGNAL ;
- la_oen_mprj[126] ( PIN la_oen_mprj[126] ) ( ANTENNA__394__A DIODE ) ( _394_ A ) + USE SIGNAL ;
- la_oen_mprj[127] ( PIN la_oen_mprj[127] ) ( ANTENNA__395__A DIODE ) ( _395_ A ) + USE SIGNAL ;
- la_oen_mprj[12] ( PIN la_oen_mprj[12] ) ( ANTENNA__611__A DIODE ) ( _611_ A ) + USE SIGNAL ;
- la_oen_mprj[13] ( PIN la_oen_mprj[13] ) ( ANTENNA__612__A DIODE ) ( _612_ A ) + USE SIGNAL ;
- la_oen_mprj[14] ( PIN la_oen_mprj[14] ) ( ANTENNA__613__A DIODE ) ( _613_ A ) + USE SIGNAL ;
- la_oen_mprj[15] ( PIN la_oen_mprj[15] ) ( ANTENNA__614__A DIODE ) ( _614_ A ) + USE SIGNAL ;
- la_oen_mprj[16] ( PIN la_oen_mprj[16] ) ( ANTENNA__615__A DIODE ) ( _615_ A ) + USE SIGNAL ;
- la_oen_mprj[17] ( PIN la_oen_mprj[17] ) ( ANTENNA__616__A DIODE ) ( _616_ A ) + USE SIGNAL ;
- la_oen_mprj[18] ( PIN la_oen_mprj[18] ) ( ANTENNA__617__A DIODE ) ( _617_ A ) + USE SIGNAL ;
- la_oen_mprj[19] ( PIN la_oen_mprj[19] ) ( ANTENNA__618__A DIODE ) ( _618_ A ) + USE SIGNAL ;
- la_oen_mprj[1] ( PIN la_oen_mprj[1] ) ( ANTENNA__600__A DIODE ) ( _600_ A ) + USE SIGNAL ;
- la_oen_mprj[20] ( PIN la_oen_mprj[20] ) ( ANTENNA__619__A DIODE ) ( _619_ A ) + USE SIGNAL ;
- la_oen_mprj[21] ( PIN la_oen_mprj[21] ) ( ANTENNA__620__A DIODE ) ( _620_ A ) + USE SIGNAL ;
- la_oen_mprj[22] ( PIN la_oen_mprj[22] ) ( ANTENNA__621__A DIODE ) ( _621_ A ) + USE SIGNAL ;
- la_oen_mprj[23] ( PIN la_oen_mprj[23] ) ( ANTENNA__622__A DIODE ) ( _622_ A ) + USE SIGNAL ;
- la_oen_mprj[24] ( PIN la_oen_mprj[24] ) ( ANTENNA__623__A DIODE ) ( _623_ A ) + USE SIGNAL ;
- la_oen_mprj[25] ( PIN la_oen_mprj[25] ) ( ANTENNA__624__A DIODE ) ( _624_ A ) + USE SIGNAL ;
- la_oen_mprj[26] ( PIN la_oen_mprj[26] ) ( ANTENNA__625__A DIODE ) ( _625_ A ) + USE SIGNAL ;
- la_oen_mprj[27] ( PIN la_oen_mprj[27] ) ( ANTENNA__626__A DIODE ) ( _626_ A ) + USE SIGNAL ;
- la_oen_mprj[28] ( PIN la_oen_mprj[28] ) ( ANTENNA__627__A DIODE ) ( _627_ A ) + USE SIGNAL ;
- la_oen_mprj[29] ( PIN la_oen_mprj[29] ) ( ANTENNA__628__A DIODE ) ( _628_ A ) + USE SIGNAL ;
- la_oen_mprj[2] ( PIN la_oen_mprj[2] ) ( ANTENNA__601__A DIODE ) ( _601_ A ) + USE SIGNAL ;
- la_oen_mprj[30] ( PIN la_oen_mprj[30] ) ( ANTENNA__629__A DIODE ) ( _629_ A ) + USE SIGNAL ;
- la_oen_mprj[31] ( PIN la_oen_mprj[31] ) ( ANTENNA__630__A DIODE ) ( _630_ A ) + USE SIGNAL ;
- la_oen_mprj[32] ( PIN la_oen_mprj[32] ) ( ANTENNA__631__A DIODE ) ( _631_ A ) + USE SIGNAL ;
- la_oen_mprj[33] ( PIN la_oen_mprj[33] ) ( ANTENNA__632__A DIODE ) ( _632_ A ) + USE SIGNAL ;
- la_oen_mprj[34] ( PIN la_oen_mprj[34] ) ( ANTENNA__633__A DIODE ) ( _633_ A ) + USE SIGNAL ;
- la_oen_mprj[35] ( PIN la_oen_mprj[35] ) ( ANTENNA__634__A DIODE ) ( _634_ A ) + USE SIGNAL ;
- la_oen_mprj[36] ( PIN la_oen_mprj[36] ) ( ANTENNA__635__A DIODE ) ( _635_ A ) + USE SIGNAL ;
- la_oen_mprj[37] ( PIN la_oen_mprj[37] ) ( ANTENNA__636__A DIODE ) ( _636_ A ) + USE SIGNAL ;
- la_oen_mprj[38] ( PIN la_oen_mprj[38] ) ( ANTENNA__637__A DIODE ) ( _637_ A ) + USE SIGNAL ;
- la_oen_mprj[39] ( PIN la_oen_mprj[39] ) ( ANTENNA__638__A DIODE ) ( _638_ A ) + USE SIGNAL ;
- la_oen_mprj[3] ( PIN la_oen_mprj[3] ) ( ANTENNA__602__A DIODE ) ( _602_ A ) + USE SIGNAL ;
- la_oen_mprj[40] ( PIN la_oen_mprj[40] ) ( ANTENNA__639__A DIODE ) ( _639_ A ) + USE SIGNAL ;
- la_oen_mprj[41] ( PIN la_oen_mprj[41] ) ( ANTENNA__640__A DIODE ) ( _640_ A ) + USE SIGNAL ;
- la_oen_mprj[42] ( PIN la_oen_mprj[42] ) ( ANTENNA__641__A DIODE ) ( _641_ A ) + USE SIGNAL ;
- la_oen_mprj[43] ( PIN la_oen_mprj[43] ) ( ANTENNA__642__A DIODE ) ( _642_ A ) + USE SIGNAL ;
- la_oen_mprj[44] ( PIN la_oen_mprj[44] ) ( ANTENNA__643__A DIODE ) ( _643_ A ) + USE SIGNAL ;
- la_oen_mprj[45] ( PIN la_oen_mprj[45] ) ( ANTENNA__644__A DIODE ) ( _644_ A ) + USE SIGNAL ;
- la_oen_mprj[46] ( PIN la_oen_mprj[46] ) ( ANTENNA__645__A DIODE ) ( _645_ A ) + USE SIGNAL ;
- la_oen_mprj[47] ( PIN la_oen_mprj[47] ) ( ANTENNA__646__A DIODE ) ( _646_ A ) + USE SIGNAL ;
- la_oen_mprj[48] ( PIN la_oen_mprj[48] ) ( ANTENNA__647__A DIODE ) ( _647_ A ) + USE SIGNAL ;
- la_oen_mprj[49] ( PIN la_oen_mprj[49] ) ( ANTENNA__648__A DIODE ) ( _648_ A ) + USE SIGNAL ;
- la_oen_mprj[4] ( PIN la_oen_mprj[4] ) ( ANTENNA__603__A DIODE ) ( _603_ A ) + USE SIGNAL ;
- la_oen_mprj[50] ( PIN la_oen_mprj[50] ) ( ANTENNA__649__A DIODE ) ( _649_ A ) + USE SIGNAL ;
- la_oen_mprj[51] ( PIN la_oen_mprj[51] ) ( ANTENNA__650__A DIODE ) ( _650_ A ) + USE SIGNAL ;
- la_oen_mprj[52] ( PIN la_oen_mprj[52] ) ( ANTENNA__651__A DIODE ) ( _651_ A ) + USE SIGNAL ;
- la_oen_mprj[53] ( PIN la_oen_mprj[53] ) ( ANTENNA__652__A DIODE ) ( _652_ A ) + USE SIGNAL ;
- la_oen_mprj[54] ( PIN la_oen_mprj[54] ) ( ANTENNA__653__A DIODE ) ( _653_ A ) + USE SIGNAL ;
- la_oen_mprj[55] ( PIN la_oen_mprj[55] ) ( ANTENNA__654__A DIODE ) ( _654_ A ) + USE SIGNAL ;
- la_oen_mprj[56] ( PIN la_oen_mprj[56] ) ( ANTENNA__655__A DIODE ) ( _655_ A ) + USE SIGNAL ;
- la_oen_mprj[57] ( PIN la_oen_mprj[57] ) ( ANTENNA__656__A DIODE ) ( _656_ A ) + USE SIGNAL ;
- la_oen_mprj[58] ( PIN la_oen_mprj[58] ) ( ANTENNA__657__A DIODE ) ( _657_ A ) + USE SIGNAL ;
- la_oen_mprj[59] ( PIN la_oen_mprj[59] ) ( ANTENNA__658__A DIODE ) ( _658_ A ) + USE SIGNAL ;
- la_oen_mprj[5] ( PIN la_oen_mprj[5] ) ( ANTENNA__604__A DIODE ) ( _604_ A ) + USE SIGNAL ;
- la_oen_mprj[60] ( PIN la_oen_mprj[60] ) ( ANTENNA__659__A DIODE ) ( _659_ A ) + USE SIGNAL ;
- la_oen_mprj[61] ( PIN la_oen_mprj[61] ) ( ANTENNA__660__A DIODE ) ( _660_ A ) + USE SIGNAL ;
- la_oen_mprj[62] ( PIN la_oen_mprj[62] ) ( ANTENNA__330__A DIODE ) ( _330_ A ) + USE SIGNAL ;
- la_oen_mprj[63] ( PIN la_oen_mprj[63] ) ( ANTENNA__331__A DIODE ) ( _331_ A ) + USE SIGNAL ;
- la_oen_mprj[64] ( PIN la_oen_mprj[64] ) ( ANTENNA__332__A DIODE ) ( _332_ A ) + USE SIGNAL ;
- la_oen_mprj[65] ( PIN la_oen_mprj[65] ) ( ANTENNA__333__A DIODE ) ( _333_ A ) + USE SIGNAL ;
- la_oen_mprj[66] ( PIN la_oen_mprj[66] ) ( ANTENNA__334__A DIODE ) ( _334_ A ) + USE SIGNAL ;
- la_oen_mprj[67] ( PIN la_oen_mprj[67] ) ( ANTENNA__335__A DIODE ) ( _335_ A ) + USE SIGNAL ;
- la_oen_mprj[68] ( PIN la_oen_mprj[68] ) ( ANTENNA__336__A DIODE ) ( _336_ A ) + USE SIGNAL ;
- la_oen_mprj[69] ( PIN la_oen_mprj[69] ) ( ANTENNA__337__A DIODE ) ( _337_ A ) + USE SIGNAL ;
- la_oen_mprj[6] ( PIN la_oen_mprj[6] ) ( ANTENNA__605__A DIODE ) ( _605_ A ) + USE SIGNAL ;
- la_oen_mprj[70] ( PIN la_oen_mprj[70] ) ( ANTENNA__338__A DIODE ) ( _338_ A ) + USE SIGNAL ;
- la_oen_mprj[71] ( PIN la_oen_mprj[71] ) ( ANTENNA__339__A DIODE ) ( _339_ A ) + USE SIGNAL ;
- la_oen_mprj[72] ( PIN la_oen_mprj[72] ) ( ANTENNA__340__A DIODE ) ( _340_ A ) + USE SIGNAL ;
- la_oen_mprj[73] ( PIN la_oen_mprj[73] ) ( ANTENNA__341__A DIODE ) ( _341_ A ) + USE SIGNAL ;
- la_oen_mprj[74] ( PIN la_oen_mprj[74] ) ( ANTENNA__342__A DIODE ) ( _342_ A ) + USE SIGNAL ;
- la_oen_mprj[75] ( PIN la_oen_mprj[75] ) ( ANTENNA__343__A DIODE ) ( _343_ A ) + USE SIGNAL ;
- la_oen_mprj[76] ( PIN la_oen_mprj[76] ) ( ANTENNA__344__A DIODE ) ( _344_ A ) + USE SIGNAL ;
- la_oen_mprj[77] ( PIN la_oen_mprj[77] ) ( ANTENNA__345__A DIODE ) ( _345_ A ) + USE SIGNAL ;
- la_oen_mprj[78] ( PIN la_oen_mprj[78] ) ( ANTENNA__346__A DIODE ) ( _346_ A ) + USE SIGNAL ;
- la_oen_mprj[79] ( PIN la_oen_mprj[79] ) ( ANTENNA__347__A DIODE ) ( _347_ A ) + USE SIGNAL ;
- la_oen_mprj[7] ( PIN la_oen_mprj[7] ) ( ANTENNA__606__A DIODE ) ( _606_ A ) + USE SIGNAL ;
- la_oen_mprj[80] ( PIN la_oen_mprj[80] ) ( ANTENNA__348__A DIODE ) ( _348_ A ) + USE SIGNAL ;
- la_oen_mprj[81] ( PIN la_oen_mprj[81] ) ( ANTENNA__349__A DIODE ) ( _349_ A ) + USE SIGNAL ;
- la_oen_mprj[82] ( PIN la_oen_mprj[82] ) ( ANTENNA__350__A DIODE ) ( _350_ A ) + USE SIGNAL ;
- la_oen_mprj[83] ( PIN la_oen_mprj[83] ) ( ANTENNA__351__A DIODE ) ( _351_ A ) + USE SIGNAL ;
- la_oen_mprj[84] ( PIN la_oen_mprj[84] ) ( ANTENNA__352__A DIODE ) ( _352_ A ) + USE SIGNAL ;
- la_oen_mprj[85] ( PIN la_oen_mprj[85] ) ( ANTENNA__353__A DIODE ) ( _353_ A ) + USE SIGNAL ;
- la_oen_mprj[86] ( PIN la_oen_mprj[86] ) ( ANTENNA__354__A DIODE ) ( _354_ A ) + USE SIGNAL ;
- la_oen_mprj[87] ( PIN la_oen_mprj[87] ) ( ANTENNA__355__A DIODE ) ( _355_ A ) + USE SIGNAL ;
- la_oen_mprj[88] ( PIN la_oen_mprj[88] ) ( ANTENNA__356__A DIODE ) ( _356_ A ) + USE SIGNAL ;
- la_oen_mprj[89] ( PIN la_oen_mprj[89] ) ( ANTENNA__357__A DIODE ) ( _357_ A ) + USE SIGNAL ;
- la_oen_mprj[8] ( PIN la_oen_mprj[8] ) ( ANTENNA__607__A DIODE ) ( _607_ A ) + USE SIGNAL ;
- la_oen_mprj[90] ( PIN la_oen_mprj[90] ) ( ANTENNA__358__A DIODE ) ( _358_ A ) + USE SIGNAL ;
- la_oen_mprj[91] ( PIN la_oen_mprj[91] ) ( ANTENNA__359__A DIODE ) ( _359_ A ) + USE SIGNAL ;
- la_oen_mprj[92] ( PIN la_oen_mprj[92] ) ( ANTENNA__360__A DIODE ) ( _360_ A ) + USE SIGNAL ;
- la_oen_mprj[93] ( PIN la_oen_mprj[93] ) ( ANTENNA__361__A DIODE ) ( _361_ A ) + USE SIGNAL ;
- la_oen_mprj[94] ( PIN la_oen_mprj[94] ) ( ANTENNA__362__A DIODE ) ( _362_ A ) + USE SIGNAL ;
- la_oen_mprj[95] ( PIN la_oen_mprj[95] ) ( ANTENNA__363__A DIODE ) ( _363_ A ) + USE SIGNAL ;
- la_oen_mprj[96] ( PIN la_oen_mprj[96] ) ( ANTENNA__364__A DIODE ) ( _364_ A ) + USE SIGNAL ;
- la_oen_mprj[97] ( PIN la_oen_mprj[97] ) ( ANTENNA__365__A DIODE ) ( _365_ A ) + USE SIGNAL ;
- la_oen_mprj[98] ( PIN la_oen_mprj[98] ) ( ANTENNA__366__A DIODE ) ( _366_ A ) + USE SIGNAL ;
- la_oen_mprj[99] ( PIN la_oen_mprj[99] ) ( ANTENNA__367__A DIODE ) ( _367_ A ) + USE SIGNAL ;
- la_oen_mprj[9] ( PIN la_oen_mprj[9] ) ( ANTENNA__608__A DIODE ) ( _608_ A ) + USE SIGNAL ;
- mprj_adr_o_core[0] ( PIN mprj_adr_o_core[0] ) ( ANTENNA__407__A DIODE ) ( _407_ A ) + USE SIGNAL ;
- mprj_adr_o_core[10] ( PIN mprj_adr_o_core[10] ) ( ANTENNA__417__A DIODE ) ( _417_ A ) + USE SIGNAL ;
- mprj_adr_o_core[11] ( PIN mprj_adr_o_core[11] ) ( ANTENNA__418__A DIODE ) ( _418_ A ) + USE SIGNAL ;
- mprj_adr_o_core[12] ( PIN mprj_adr_o_core[12] ) ( ANTENNA__419__A DIODE ) ( _419_ A ) + USE SIGNAL ;
- mprj_adr_o_core[13] ( PIN mprj_adr_o_core[13] ) ( ANTENNA__420__A DIODE ) ( _420_ A ) + USE SIGNAL ;
- mprj_adr_o_core[14] ( PIN mprj_adr_o_core[14] ) ( ANTENNA__421__A DIODE ) ( _421_ A ) + USE SIGNAL ;
- mprj_adr_o_core[15] ( PIN mprj_adr_o_core[15] ) ( ANTENNA__422__A DIODE ) ( _422_ A ) + USE SIGNAL ;
- mprj_adr_o_core[16] ( PIN mprj_adr_o_core[16] ) ( ANTENNA__423__A DIODE ) ( _423_ A ) + USE SIGNAL ;
- mprj_adr_o_core[17] ( PIN mprj_adr_o_core[17] ) ( ANTENNA__424__A DIODE ) ( _424_ A ) + USE SIGNAL ;
- mprj_adr_o_core[18] ( PIN mprj_adr_o_core[18] ) ( ANTENNA__425__A DIODE ) ( _425_ A ) + USE SIGNAL ;
- mprj_adr_o_core[19] ( PIN mprj_adr_o_core[19] ) ( ANTENNA__426__A DIODE ) ( _426_ A ) + USE SIGNAL ;
- mprj_adr_o_core[1] ( PIN mprj_adr_o_core[1] ) ( ANTENNA__408__A DIODE ) ( _408_ A ) + USE SIGNAL ;
- mprj_adr_o_core[20] ( PIN mprj_adr_o_core[20] ) ( ANTENNA__427__A DIODE ) ( _427_ A ) + USE SIGNAL ;
- mprj_adr_o_core[21] ( PIN mprj_adr_o_core[21] ) ( ANTENNA__428__A DIODE ) ( _428_ A ) + USE SIGNAL ;
- mprj_adr_o_core[22] ( PIN mprj_adr_o_core[22] ) ( ANTENNA__429__A DIODE ) ( _429_ A ) + USE SIGNAL ;
- mprj_adr_o_core[23] ( PIN mprj_adr_o_core[23] ) ( ANTENNA__430__A DIODE ) ( _430_ A ) + USE SIGNAL ;
- mprj_adr_o_core[24] ( PIN mprj_adr_o_core[24] ) ( ANTENNA__431__A DIODE ) ( _431_ A ) + USE SIGNAL ;
- mprj_adr_o_core[25] ( PIN mprj_adr_o_core[25] ) ( ANTENNA__432__A DIODE ) ( _432_ A ) + USE SIGNAL ;
- mprj_adr_o_core[26] ( PIN mprj_adr_o_core[26] ) ( ANTENNA__433__A DIODE ) ( _433_ A ) + USE SIGNAL ;
- mprj_adr_o_core[27] ( PIN mprj_adr_o_core[27] ) ( ANTENNA__434__A DIODE ) ( _434_ A ) + USE SIGNAL ;
- mprj_adr_o_core[28] ( PIN mprj_adr_o_core[28] ) ( ANTENNA__435__A DIODE ) ( _435_ A ) + USE SIGNAL ;
- mprj_adr_o_core[29] ( PIN mprj_adr_o_core[29] ) ( ANTENNA__436__A DIODE ) ( _436_ A ) + USE SIGNAL ;
- mprj_adr_o_core[2] ( PIN mprj_adr_o_core[2] ) ( ANTENNA__409__A DIODE ) ( _409_ A ) + USE SIGNAL ;
- mprj_adr_o_core[30] ( PIN mprj_adr_o_core[30] ) ( ANTENNA__437__A DIODE ) ( _437_ A ) + USE SIGNAL ;
- mprj_adr_o_core[31] ( PIN mprj_adr_o_core[31] ) ( ANTENNA__438__A DIODE ) ( _438_ A ) + USE SIGNAL ;
- mprj_adr_o_core[3] ( PIN mprj_adr_o_core[3] ) ( ANTENNA__410__A DIODE ) ( _410_ A ) + USE SIGNAL ;
- mprj_adr_o_core[4] ( PIN mprj_adr_o_core[4] ) ( ANTENNA__411__A DIODE ) ( _411_ A ) + USE SIGNAL ;
- mprj_adr_o_core[5] ( PIN mprj_adr_o_core[5] ) ( ANTENNA__412__A DIODE ) ( _412_ A ) + USE SIGNAL ;
- mprj_adr_o_core[6] ( PIN mprj_adr_o_core[6] ) ( ANTENNA__413__A DIODE ) ( _413_ A ) + USE SIGNAL ;
- mprj_adr_o_core[7] ( PIN mprj_adr_o_core[7] ) ( ANTENNA__414__A DIODE ) ( _414_ A ) + USE SIGNAL ;
- mprj_adr_o_core[8] ( PIN mprj_adr_o_core[8] ) ( ANTENNA__415__A DIODE ) ( _415_ A ) + USE SIGNAL ;
- mprj_adr_o_core[9] ( PIN mprj_adr_o_core[9] ) ( ANTENNA__416__A DIODE ) ( _416_ A ) + USE SIGNAL ;
- mprj_adr_o_user[0] ( PIN mprj_adr_o_user[0] ) ( mprj_adr_buf\[0\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[10] ( PIN mprj_adr_o_user[10] ) ( mprj_adr_buf\[10\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[11] ( PIN mprj_adr_o_user[11] ) ( mprj_adr_buf\[11\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[12] ( PIN mprj_adr_o_user[12] ) ( mprj_adr_buf\[12\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[13] ( PIN mprj_adr_o_user[13] ) ( mprj_adr_buf\[13\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[14] ( PIN mprj_adr_o_user[14] ) ( mprj_adr_buf\[14\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[15] ( PIN mprj_adr_o_user[15] ) ( mprj_adr_buf\[15\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[16] ( PIN mprj_adr_o_user[16] ) ( mprj_adr_buf\[16\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[17] ( PIN mprj_adr_o_user[17] ) ( mprj_adr_buf\[17\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[18] ( PIN mprj_adr_o_user[18] ) ( mprj_adr_buf\[18\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[19] ( PIN mprj_adr_o_user[19] ) ( mprj_adr_buf\[19\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[1] ( PIN mprj_adr_o_user[1] ) ( mprj_adr_buf\[1\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[20] ( PIN mprj_adr_o_user[20] ) ( mprj_adr_buf\[20\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[21] ( PIN mprj_adr_o_user[21] ) ( mprj_adr_buf\[21\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[22] ( PIN mprj_adr_o_user[22] ) ( mprj_adr_buf\[22\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[23] ( PIN mprj_adr_o_user[23] ) ( mprj_adr_buf\[23\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[24] ( PIN mprj_adr_o_user[24] ) ( mprj_adr_buf\[24\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[25] ( PIN mprj_adr_o_user[25] ) ( mprj_adr_buf\[25\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[26] ( PIN mprj_adr_o_user[26] ) ( mprj_adr_buf\[26\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[27] ( PIN mprj_adr_o_user[27] ) ( mprj_adr_buf\[27\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[28] ( PIN mprj_adr_o_user[28] ) ( mprj_adr_buf\[28\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[29] ( PIN mprj_adr_o_user[29] ) ( mprj_adr_buf\[29\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[2] ( PIN mprj_adr_o_user[2] ) ( mprj_adr_buf\[2\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[30] ( PIN mprj_adr_o_user[30] ) ( mprj_adr_buf\[30\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[31] ( PIN mprj_adr_o_user[31] ) ( mprj_adr_buf\[31\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[3] ( PIN mprj_adr_o_user[3] ) ( mprj_adr_buf\[3\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[4] ( PIN mprj_adr_o_user[4] ) ( mprj_adr_buf\[4\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[5] ( PIN mprj_adr_o_user[5] ) ( mprj_adr_buf\[5\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[6] ( PIN mprj_adr_o_user[6] ) ( mprj_adr_buf\[6\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[7] ( PIN mprj_adr_o_user[7] ) ( mprj_adr_buf\[7\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[8] ( PIN mprj_adr_o_user[8] ) ( mprj_adr_buf\[8\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[9] ( PIN mprj_adr_o_user[9] ) ( mprj_adr_buf\[9\] Z ) + USE SIGNAL ;
- mprj_cyc_o_core ( PIN mprj_cyc_o_core ) ( ANTENNA__400__A DIODE ) ( _400_ A ) + USE SIGNAL ;
- mprj_cyc_o_user ( PIN mprj_cyc_o_user ) ( mprj_cyc_buf Z ) + USE SIGNAL ;
- mprj_dat_o_core[0] ( PIN mprj_dat_o_core[0] ) ( ANTENNA__439__A DIODE ) ( _439_ A ) + USE SIGNAL ;
- mprj_dat_o_core[10] ( PIN mprj_dat_o_core[10] ) ( ANTENNA__449__A DIODE ) ( _449_ A ) + USE SIGNAL ;
- mprj_dat_o_core[11] ( PIN mprj_dat_o_core[11] ) ( ANTENNA__450__A DIODE ) ( _450_ A ) + USE SIGNAL ;
- mprj_dat_o_core[12] ( PIN mprj_dat_o_core[12] ) ( ANTENNA__451__A DIODE ) ( _451_ A ) + USE SIGNAL ;
- mprj_dat_o_core[13] ( PIN mprj_dat_o_core[13] ) ( ANTENNA__452__A DIODE ) ( _452_ A ) + USE SIGNAL ;
- mprj_dat_o_core[14] ( PIN mprj_dat_o_core[14] ) ( ANTENNA__453__A DIODE ) ( _453_ A ) + USE SIGNAL ;
- mprj_dat_o_core[15] ( PIN mprj_dat_o_core[15] ) ( ANTENNA__454__A DIODE ) ( _454_ A ) + USE SIGNAL ;
- mprj_dat_o_core[16] ( PIN mprj_dat_o_core[16] ) ( ANTENNA__455__A DIODE ) ( _455_ A ) + USE SIGNAL ;
- mprj_dat_o_core[17] ( PIN mprj_dat_o_core[17] ) ( ANTENNA__456__A DIODE ) ( _456_ A ) + USE SIGNAL ;
- mprj_dat_o_core[18] ( PIN mprj_dat_o_core[18] ) ( ANTENNA__457__A DIODE ) ( _457_ A ) + USE SIGNAL ;
- mprj_dat_o_core[19] ( PIN mprj_dat_o_core[19] ) ( ANTENNA__458__A DIODE ) ( _458_ A ) + USE SIGNAL ;
- mprj_dat_o_core[1] ( PIN mprj_dat_o_core[1] ) ( ANTENNA__440__A DIODE ) ( _440_ A ) + USE SIGNAL ;
- mprj_dat_o_core[20] ( PIN mprj_dat_o_core[20] ) ( ANTENNA__459__A DIODE ) ( _459_ A ) + USE SIGNAL ;
- mprj_dat_o_core[21] ( PIN mprj_dat_o_core[21] ) ( ANTENNA__460__A DIODE ) ( _460_ A ) + USE SIGNAL ;
- mprj_dat_o_core[22] ( PIN mprj_dat_o_core[22] ) ( ANTENNA__461__A DIODE ) ( _461_ A ) + USE SIGNAL ;
- mprj_dat_o_core[23] ( PIN mprj_dat_o_core[23] ) ( ANTENNA__462__A DIODE ) ( _462_ A ) + USE SIGNAL ;
- mprj_dat_o_core[24] ( PIN mprj_dat_o_core[24] ) ( ANTENNA__463__A DIODE ) ( _463_ A ) + USE SIGNAL ;
- mprj_dat_o_core[25] ( PIN mprj_dat_o_core[25] ) ( ANTENNA__464__A DIODE ) ( _464_ A ) + USE SIGNAL ;
- mprj_dat_o_core[26] ( PIN mprj_dat_o_core[26] ) ( ANTENNA__465__A DIODE ) ( _465_ A ) + USE SIGNAL ;
- mprj_dat_o_core[27] ( PIN mprj_dat_o_core[27] ) ( ANTENNA__466__A DIODE ) ( _466_ A ) + USE SIGNAL ;
- mprj_dat_o_core[28] ( PIN mprj_dat_o_core[28] ) ( ANTENNA__467__A DIODE ) ( _467_ A ) + USE SIGNAL ;
- mprj_dat_o_core[29] ( PIN mprj_dat_o_core[29] ) ( ANTENNA__468__A DIODE ) ( _468_ A ) + USE SIGNAL ;
- mprj_dat_o_core[2] ( PIN mprj_dat_o_core[2] ) ( ANTENNA__441__A DIODE ) ( _441_ A ) + USE SIGNAL ;
- mprj_dat_o_core[30] ( PIN mprj_dat_o_core[30] ) ( ANTENNA__469__A DIODE ) ( _469_ A ) + USE SIGNAL ;
- mprj_dat_o_core[31] ( PIN mprj_dat_o_core[31] ) ( ANTENNA__470__A DIODE ) ( _470_ A ) + USE SIGNAL ;
- mprj_dat_o_core[3] ( PIN mprj_dat_o_core[3] ) ( ANTENNA__442__A DIODE ) ( _442_ A ) + USE SIGNAL ;
- mprj_dat_o_core[4] ( PIN mprj_dat_o_core[4] ) ( ANTENNA__443__A DIODE ) ( _443_ A ) + USE SIGNAL ;
- mprj_dat_o_core[5] ( PIN mprj_dat_o_core[5] ) ( ANTENNA__444__A DIODE ) ( _444_ A ) + USE SIGNAL ;
- mprj_dat_o_core[6] ( PIN mprj_dat_o_core[6] ) ( ANTENNA__445__A DIODE ) ( _445_ A ) + USE SIGNAL ;
- mprj_dat_o_core[7] ( PIN mprj_dat_o_core[7] ) ( ANTENNA__446__A DIODE ) ( _446_ A ) + USE SIGNAL ;
- mprj_dat_o_core[8] ( PIN mprj_dat_o_core[8] ) ( ANTENNA__447__A DIODE ) ( _447_ A ) + USE SIGNAL ;
- mprj_dat_o_core[9] ( PIN mprj_dat_o_core[9] ) ( ANTENNA__448__A DIODE ) ( _448_ A ) + USE SIGNAL ;
- mprj_dat_o_user[0] ( PIN mprj_dat_o_user[0] ) ( mprj_dat_buf\[0\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[10] ( PIN mprj_dat_o_user[10] ) ( mprj_dat_buf\[10\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[11] ( PIN mprj_dat_o_user[11] ) ( mprj_dat_buf\[11\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[12] ( PIN mprj_dat_o_user[12] ) ( mprj_dat_buf\[12\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[13] ( PIN mprj_dat_o_user[13] ) ( mprj_dat_buf\[13\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[14] ( PIN mprj_dat_o_user[14] ) ( mprj_dat_buf\[14\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[15] ( PIN mprj_dat_o_user[15] ) ( mprj_dat_buf\[15\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[16] ( PIN mprj_dat_o_user[16] ) ( mprj_dat_buf\[16\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[17] ( PIN mprj_dat_o_user[17] ) ( mprj_dat_buf\[17\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[18] ( PIN mprj_dat_o_user[18] ) ( mprj_dat_buf\[18\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[19] ( PIN mprj_dat_o_user[19] ) ( mprj_dat_buf\[19\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[1] ( PIN mprj_dat_o_user[1] ) ( mprj_dat_buf\[1\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[20] ( PIN mprj_dat_o_user[20] ) ( mprj_dat_buf\[20\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[21] ( PIN mprj_dat_o_user[21] ) ( mprj_dat_buf\[21\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[22] ( PIN mprj_dat_o_user[22] ) ( mprj_dat_buf\[22\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[23] ( PIN mprj_dat_o_user[23] ) ( mprj_dat_buf\[23\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[24] ( PIN mprj_dat_o_user[24] ) ( mprj_dat_buf\[24\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[25] ( PIN mprj_dat_o_user[25] ) ( mprj_dat_buf\[25\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[26] ( PIN mprj_dat_o_user[26] ) ( mprj_dat_buf\[26\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[27] ( PIN mprj_dat_o_user[27] ) ( mprj_dat_buf\[27\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[28] ( PIN mprj_dat_o_user[28] ) ( mprj_dat_buf\[28\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[29] ( PIN mprj_dat_o_user[29] ) ( mprj_dat_buf\[29\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[2] ( PIN mprj_dat_o_user[2] ) ( mprj_dat_buf\[2\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[30] ( PIN mprj_dat_o_user[30] ) ( mprj_dat_buf\[30\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[31] ( PIN mprj_dat_o_user[31] ) ( mprj_dat_buf\[31\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[3] ( PIN mprj_dat_o_user[3] ) ( mprj_dat_buf\[3\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[4] ( PIN mprj_dat_o_user[4] ) ( mprj_dat_buf\[4\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[5] ( PIN mprj_dat_o_user[5] ) ( mprj_dat_buf\[5\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[6] ( PIN mprj_dat_o_user[6] ) ( mprj_dat_buf\[6\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[7] ( PIN mprj_dat_o_user[7] ) ( mprj_dat_buf\[7\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[8] ( PIN mprj_dat_o_user[8] ) ( mprj_dat_buf\[8\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[9] ( PIN mprj_dat_o_user[9] ) ( mprj_dat_buf\[9\] Z ) + USE SIGNAL ;
- mprj_sel_o_core[0] ( PIN mprj_sel_o_core[0] ) ( ANTENNA__403__A DIODE ) ( _403_ A ) + USE SIGNAL ;
- mprj_sel_o_core[1] ( PIN mprj_sel_o_core[1] ) ( ANTENNA__404__A DIODE ) ( _404_ A ) + USE SIGNAL ;
- mprj_sel_o_core[2] ( PIN mprj_sel_o_core[2] ) ( ANTENNA__405__A DIODE ) ( _405_ A ) + USE SIGNAL ;
- mprj_sel_o_core[3] ( PIN mprj_sel_o_core[3] ) ( ANTENNA__406__A DIODE ) ( _406_ A ) + USE SIGNAL ;
- mprj_sel_o_user[0] ( PIN mprj_sel_o_user[0] ) ( mprj_sel_buf\[0\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[1] ( PIN mprj_sel_o_user[1] ) ( mprj_sel_buf\[1\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[2] ( PIN mprj_sel_o_user[2] ) ( mprj_sel_buf\[2\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[3] ( PIN mprj_sel_o_user[3] ) ( mprj_sel_buf\[3\] Z ) + USE SIGNAL ;
- mprj_stb_o_core ( PIN mprj_stb_o_core ) ( ANTENNA__401__A DIODE ) ( _401_ A ) + USE SIGNAL ;
- mprj_stb_o_user ( PIN mprj_stb_o_user ) ( mprj_stb_buf Z ) + USE SIGNAL ;
- mprj_we_o_core ( PIN mprj_we_o_core ) ( ANTENNA__402__A DIODE ) ( _402_ A ) + USE SIGNAL ;
- mprj_we_o_user ( PIN mprj_we_o_user ) ( mprj_we_buf Z ) + USE SIGNAL ;
- user1_vcc_powergood ( PIN user1_vcc_powergood ) ( mprj_pwrgood X ) + USE SIGNAL ;
- user1_vdd_powergood ( PIN user1_vdd_powergood ) ( mprj_vdd_pwrgood X ) + USE SIGNAL ;
- user2_vcc_powergood ( PIN user2_vcc_powergood ) ( mprj2_pwrgood X ) + USE SIGNAL ;
- user2_vdd_powergood ( PIN user2_vdd_powergood ) ( mprj2_vdd_pwrgood X ) + USE SIGNAL ;
- user_clock ( PIN user_clock ) ( mprj_clk_buf Z ) + USE SIGNAL ;
- user_clock2 ( PIN user_clock2 ) ( mprj_clk2_buf Z ) + USE SIGNAL ;
- user_reset ( PIN user_reset ) ( _397_ Y ) + USE SIGNAL ;
- user_resetn ( PIN user_resetn ) ( ANTENNA__397__A DIODE ) ( mprj_rstn_buf Z ) ( _397_ A ) + USE SIGNAL ;
- _000_ ( ANTENNA_mprj_rstn_buf_A DIODE ) ( mprj_rstn_buf A ) ( _396_ Y ) + USE SIGNAL ;
- _001_ ( ANTENNA_mprj_clk_buf_A DIODE ) ( mprj_clk_buf A ) ( _398_ Y ) + USE SIGNAL ;
- _002_ ( ANTENNA_mprj_clk2_buf_A DIODE ) ( mprj_clk2_buf A ) ( _399_ Y ) + USE SIGNAL ;
- _003_ ( ANTENNA_mprj_cyc_buf_A DIODE ) ( mprj_cyc_buf A ) ( _400_ Y ) + USE SIGNAL ;
- _004_ ( ANTENNA_mprj_stb_buf_A DIODE ) ( mprj_stb_buf A ) ( _401_ Y ) + USE SIGNAL ;
- _005_ ( ANTENNA_mprj_we_buf_A DIODE ) ( mprj_we_buf A ) ( _402_ Y ) + USE SIGNAL ;
- _006_ ( ANTENNA_mprj_sel_buf\[0\]_A DIODE ) ( mprj_sel_buf\[0\] A ) ( _403_ Y ) + USE SIGNAL ;
- _007_ ( ANTENNA_mprj_sel_buf\[1\]_A DIODE ) ( mprj_sel_buf\[1\] A ) ( _404_ Y ) + USE SIGNAL ;
- _008_ ( ANTENNA_mprj_sel_buf\[2\]_A DIODE ) ( mprj_sel_buf\[2\] A ) ( _405_ Y ) + USE SIGNAL ;
- _009_ ( ANTENNA_mprj_sel_buf\[3\]_A DIODE ) ( mprj_sel_buf\[3\] A ) ( _406_ Y ) + USE SIGNAL ;
- _010_ ( ANTENNA_mprj_adr_buf\[0\]_A DIODE ) ( mprj_adr_buf\[0\] A ) ( _407_ Y ) + USE SIGNAL ;
- _011_ ( ANTENNA_mprj_adr_buf\[10\]_A DIODE ) ( mprj_adr_buf\[10\] A ) ( _417_ Y ) + USE SIGNAL ;
- _012_ ( ANTENNA_mprj_adr_buf\[11\]_A DIODE ) ( mprj_adr_buf\[11\] A ) ( _418_ Y ) + USE SIGNAL ;
- _013_ ( ANTENNA_mprj_adr_buf\[12\]_A DIODE ) ( mprj_adr_buf\[12\] A ) ( _419_ Y ) + USE SIGNAL ;
- _014_ ( ANTENNA_mprj_adr_buf\[13\]_A DIODE ) ( mprj_adr_buf\[13\] A ) ( _420_ Y ) + USE SIGNAL ;
- _015_ ( ANTENNA_mprj_adr_buf\[14\]_A DIODE ) ( mprj_adr_buf\[14\] A ) ( _421_ Y ) + USE SIGNAL ;
- _016_ ( ANTENNA_mprj_adr_buf\[15\]_A DIODE ) ( mprj_adr_buf\[15\] A ) ( _422_ Y ) + USE SIGNAL ;
- _017_ ( ANTENNA_mprj_adr_buf\[16\]_A DIODE ) ( mprj_adr_buf\[16\] A ) ( _423_ Y ) + USE SIGNAL ;
- _018_ ( ANTENNA_mprj_adr_buf\[17\]_A DIODE ) ( mprj_adr_buf\[17\] A ) ( _424_ Y ) + USE SIGNAL ;
- _019_ ( ANTENNA_mprj_adr_buf\[18\]_A DIODE ) ( mprj_adr_buf\[18\] A ) ( _425_ Y ) + USE SIGNAL ;
- _020_ ( ANTENNA_mprj_adr_buf\[19\]_A DIODE ) ( mprj_adr_buf\[19\] A ) ( _426_ Y ) + USE SIGNAL ;
- _021_ ( ANTENNA_mprj_adr_buf\[1\]_A DIODE ) ( mprj_adr_buf\[1\] A ) ( _408_ Y ) + USE SIGNAL ;
- _022_ ( ANTENNA_mprj_adr_buf\[20\]_A DIODE ) ( mprj_adr_buf\[20\] A ) ( _427_ Y ) + USE SIGNAL ;
- _023_ ( ANTENNA_mprj_adr_buf\[21\]_A DIODE ) ( mprj_adr_buf\[21\] A ) ( _428_ Y ) + USE SIGNAL ;
- _024_ ( ANTENNA_mprj_adr_buf\[22\]_A DIODE ) ( mprj_adr_buf\[22\] A ) ( _429_ Y ) + USE SIGNAL ;
- _025_ ( ANTENNA_mprj_adr_buf\[23\]_A DIODE ) ( mprj_adr_buf\[23\] A ) ( _430_ Y ) + USE SIGNAL ;
- _026_ ( ANTENNA_mprj_adr_buf\[24\]_A DIODE ) ( mprj_adr_buf\[24\] A ) ( _431_ Y ) + USE SIGNAL ;
- _027_ ( ANTENNA_mprj_adr_buf\[25\]_A DIODE ) ( mprj_adr_buf\[25\] A ) ( _432_ Y ) + USE SIGNAL ;
- _028_ ( ANTENNA_mprj_adr_buf\[26\]_A DIODE ) ( mprj_adr_buf\[26\] A ) ( _433_ Y ) + USE SIGNAL ;
- _029_ ( ANTENNA_mprj_adr_buf\[27\]_A DIODE ) ( mprj_adr_buf\[27\] A ) ( _434_ Y ) + USE SIGNAL ;
- _030_ ( ANTENNA_mprj_adr_buf\[28\]_A DIODE ) ( mprj_adr_buf\[28\] A ) ( _435_ Y ) + USE SIGNAL ;
- _031_ ( ANTENNA_mprj_adr_buf\[29\]_A DIODE ) ( mprj_adr_buf\[29\] A ) ( _436_ Y ) + USE SIGNAL ;
- _032_ ( ANTENNA_mprj_adr_buf\[2\]_A DIODE ) ( mprj_adr_buf\[2\] A ) ( _409_ Y ) + USE SIGNAL ;
- _033_ ( ANTENNA_mprj_adr_buf\[30\]_A DIODE ) ( mprj_adr_buf\[30\] A ) ( _437_ Y ) + USE SIGNAL ;
- _034_ ( ANTENNA_mprj_adr_buf\[31\]_A DIODE ) ( mprj_adr_buf\[31\] A ) ( _438_ Y ) + USE SIGNAL ;
- _035_ ( ANTENNA_mprj_adr_buf\[3\]_A DIODE ) ( mprj_adr_buf\[3\] A ) ( _410_ Y ) + USE SIGNAL ;
- _036_ ( ANTENNA_mprj_adr_buf\[4\]_A DIODE ) ( mprj_adr_buf\[4\] A ) ( _411_ Y ) + USE SIGNAL ;
- _037_ ( ANTENNA_mprj_adr_buf\[5\]_A DIODE ) ( mprj_adr_buf\[5\] A ) ( _412_ Y ) + USE SIGNAL ;
- _038_ ( ANTENNA_mprj_adr_buf\[6\]_A DIODE ) ( mprj_adr_buf\[6\] A ) ( _413_ Y ) + USE SIGNAL ;
- _039_ ( ANTENNA_mprj_adr_buf\[7\]_A DIODE ) ( mprj_adr_buf\[7\] A ) ( _414_ Y ) + USE SIGNAL ;
- _040_ ( ANTENNA_mprj_adr_buf\[8\]_A DIODE ) ( mprj_adr_buf\[8\] A ) ( _415_ Y ) + USE SIGNAL ;
- _041_ ( ANTENNA_mprj_adr_buf\[9\]_A DIODE ) ( mprj_adr_buf\[9\] A ) ( _416_ Y ) + USE SIGNAL ;
- _042_ ( ANTENNA_mprj_dat_buf\[0\]_A DIODE ) ( mprj_dat_buf\[0\] A ) ( _439_ Y ) + USE SIGNAL ;
- _043_ ( ANTENNA_mprj_dat_buf\[10\]_A DIODE ) ( mprj_dat_buf\[10\] A ) ( _449_ Y ) + USE SIGNAL ;
- _044_ ( ANTENNA_mprj_dat_buf\[11\]_A DIODE ) ( mprj_dat_buf\[11\] A ) ( _450_ Y ) + USE SIGNAL ;
- _045_ ( ANTENNA_mprj_dat_buf\[12\]_A DIODE ) ( mprj_dat_buf\[12\] A ) ( _451_ Y ) + USE SIGNAL ;
- _046_ ( ANTENNA_mprj_dat_buf\[13\]_A DIODE ) ( mprj_dat_buf\[13\] A ) ( _452_ Y ) + USE SIGNAL ;
- _047_ ( ANTENNA_mprj_dat_buf\[14\]_A DIODE ) ( mprj_dat_buf\[14\] A ) ( _453_ Y ) + USE SIGNAL ;
- _048_ ( ANTENNA_mprj_dat_buf\[15\]_A DIODE ) ( mprj_dat_buf\[15\] A ) ( _454_ Y ) + USE SIGNAL ;
- _049_ ( ANTENNA_mprj_dat_buf\[16\]_A DIODE ) ( mprj_dat_buf\[16\] A ) ( _455_ Y ) + USE SIGNAL ;
- _050_ ( ANTENNA_mprj_dat_buf\[17\]_A DIODE ) ( mprj_dat_buf\[17\] A ) ( _456_ Y ) + USE SIGNAL ;
- _051_ ( ANTENNA_mprj_dat_buf\[18\]_A DIODE ) ( mprj_dat_buf\[18\] A ) ( _457_ Y ) + USE SIGNAL ;
- _052_ ( ANTENNA_mprj_dat_buf\[19\]_A DIODE ) ( mprj_dat_buf\[19\] A ) ( _458_ Y ) + USE SIGNAL ;
- _053_ ( ANTENNA_mprj_dat_buf\[1\]_A DIODE ) ( mprj_dat_buf\[1\] A ) ( _440_ Y ) + USE SIGNAL ;
- _054_ ( ANTENNA_mprj_dat_buf\[20\]_A DIODE ) ( mprj_dat_buf\[20\] A ) ( _459_ Y ) + USE SIGNAL ;
- _055_ ( ANTENNA_mprj_dat_buf\[21\]_A DIODE ) ( mprj_dat_buf\[21\] A ) ( _460_ Y ) + USE SIGNAL ;
- _056_ ( ANTENNA_mprj_dat_buf\[22\]_A DIODE ) ( mprj_dat_buf\[22\] A ) ( _461_ Y ) + USE SIGNAL ;
- _057_ ( ANTENNA_mprj_dat_buf\[23\]_A DIODE ) ( mprj_dat_buf\[23\] A ) ( _462_ Y ) + USE SIGNAL ;
- _058_ ( ANTENNA_mprj_dat_buf\[24\]_A DIODE ) ( mprj_dat_buf\[24\] A ) ( _463_ Y ) + USE SIGNAL ;
- _059_ ( ANTENNA_mprj_dat_buf\[25\]_A DIODE ) ( mprj_dat_buf\[25\] A ) ( _464_ Y ) + USE SIGNAL ;
- _060_ ( ANTENNA_mprj_dat_buf\[26\]_A DIODE ) ( mprj_dat_buf\[26\] A ) ( _465_ Y ) + USE SIGNAL ;
- _061_ ( ANTENNA_mprj_dat_buf\[27\]_A DIODE ) ( mprj_dat_buf\[27\] A ) ( _466_ Y ) + USE SIGNAL ;
- _062_ ( ANTENNA_mprj_dat_buf\[28\]_A DIODE ) ( mprj_dat_buf\[28\] A ) ( _467_ Y ) + USE SIGNAL ;
- _063_ ( ANTENNA_mprj_dat_buf\[29\]_A DIODE ) ( mprj_dat_buf\[29\] A ) ( _468_ Y ) + USE SIGNAL ;
- _064_ ( ANTENNA_mprj_dat_buf\[2\]_A DIODE ) ( mprj_dat_buf\[2\] A ) ( _441_ Y ) + USE SIGNAL ;
- _065_ ( ANTENNA_mprj_dat_buf\[30\]_A DIODE ) ( mprj_dat_buf\[30\] A ) ( _469_ Y ) + USE SIGNAL ;
- _066_ ( ANTENNA_mprj_dat_buf\[31\]_A DIODE ) ( mprj_dat_buf\[31\] A ) ( _470_ Y ) + USE SIGNAL ;
- _067_ ( ANTENNA_mprj_dat_buf\[3\]_A DIODE ) ( mprj_dat_buf\[3\] A ) ( _442_ Y ) + USE SIGNAL ;
- _068_ ( ANTENNA_mprj_dat_buf\[4\]_A DIODE ) ( mprj_dat_buf\[4\] A ) ( _443_ Y ) + USE SIGNAL ;
- _069_ ( ANTENNA_mprj_dat_buf\[5\]_A DIODE ) ( mprj_dat_buf\[5\] A ) ( _444_ Y ) + USE SIGNAL ;
- _070_ ( ANTENNA_mprj_dat_buf\[6\]_A DIODE ) ( mprj_dat_buf\[6\] A ) ( _445_ Y ) + USE SIGNAL ;
- _071_ ( ANTENNA_mprj_dat_buf\[7\]_A DIODE ) ( mprj_dat_buf\[7\] A ) ( _446_ Y ) + USE SIGNAL ;
- _072_ ( ANTENNA_mprj_dat_buf\[8\]_A DIODE ) ( mprj_dat_buf\[8\] A ) ( _447_ Y ) + USE SIGNAL ;
- _073_ ( ANTENNA_mprj_dat_buf\[9\]_A DIODE ) ( mprj_dat_buf\[9\] A ) ( _448_ Y ) + USE SIGNAL ;
- _074_ ( ANTENNA_la_buf\[0\]_A DIODE ) ( la_buf\[0\] A ) ( _471_ Y ) + USE SIGNAL ;
- _075_ ( ANTENNA_la_buf\[100\]_A DIODE ) ( la_buf\[100\] A ) ( _571_ Y ) + USE SIGNAL ;
- _076_ ( ANTENNA_la_buf\[101\]_A DIODE ) ( la_buf\[101\] A ) ( _572_ Y ) + USE SIGNAL ;
- _077_ ( ANTENNA_la_buf\[102\]_A DIODE ) ( la_buf\[102\] A ) ( _573_ Y ) + USE SIGNAL ;
- _078_ ( ANTENNA_la_buf\[103\]_A DIODE ) ( la_buf\[103\] A ) ( _574_ Y ) + USE SIGNAL ;
- _079_ ( ANTENNA_la_buf\[104\]_A DIODE ) ( la_buf\[104\] A ) ( _575_ Y ) + USE SIGNAL ;
- _080_ ( ANTENNA_la_buf\[105\]_A DIODE ) ( la_buf\[105\] A ) ( _576_ Y ) + USE SIGNAL ;
- _081_ ( ANTENNA_la_buf\[106\]_A DIODE ) ( la_buf\[106\] A ) ( _577_ Y ) + USE SIGNAL ;
- _082_ ( ANTENNA_la_buf\[107\]_A DIODE ) ( la_buf\[107\] A ) ( _578_ Y ) + USE SIGNAL ;
- _083_ ( ANTENNA_la_buf\[108\]_A DIODE ) ( la_buf\[108\] A ) ( _579_ Y ) + USE SIGNAL ;
- _084_ ( ANTENNA_la_buf\[109\]_A DIODE ) ( la_buf\[109\] A ) ( _580_ Y ) + USE SIGNAL ;
- _085_ ( ANTENNA_la_buf\[10\]_A DIODE ) ( la_buf\[10\] A ) ( _481_ Y ) + USE SIGNAL ;
- _086_ ( ANTENNA_la_buf\[110\]_A DIODE ) ( la_buf\[110\] A ) ( _581_ Y ) + USE SIGNAL ;
- _087_ ( ANTENNA_la_buf\[111\]_A DIODE ) ( la_buf\[111\] A ) ( _582_ Y ) + USE SIGNAL ;
- _088_ ( ANTENNA_la_buf\[112\]_A DIODE ) ( la_buf\[112\] A ) ( _583_ Y ) + USE SIGNAL ;
- _089_ ( ANTENNA_la_buf\[113\]_A DIODE ) ( la_buf\[113\] A ) ( _584_ Y ) + USE SIGNAL ;
- _090_ ( ANTENNA_la_buf\[114\]_A DIODE ) ( la_buf\[114\] A ) ( _585_ Y ) + USE SIGNAL ;
- _091_ ( ANTENNA_la_buf\[115\]_A DIODE ) ( la_buf\[115\] A ) ( _586_ Y ) + USE SIGNAL ;
- _092_ ( ANTENNA_la_buf\[116\]_A DIODE ) ( la_buf\[116\] A ) ( _587_ Y ) + USE SIGNAL ;
- _093_ ( ANTENNA_la_buf\[117\]_A DIODE ) ( la_buf\[117\] A ) ( _588_ Y ) + USE SIGNAL ;
- _094_ ( ANTENNA_la_buf\[118\]_A DIODE ) ( la_buf\[118\] A ) ( _589_ Y ) + USE SIGNAL ;
- _095_ ( ANTENNA_la_buf\[119\]_A DIODE ) ( la_buf\[119\] A ) ( _590_ Y ) + USE SIGNAL ;
- _096_ ( ANTENNA_la_buf\[11\]_A DIODE ) ( la_buf\[11\] A ) ( _482_ Y ) + USE SIGNAL ;
- _097_ ( ANTENNA_la_buf\[120\]_A DIODE ) ( la_buf\[120\] A ) ( _591_ Y ) + USE SIGNAL ;
- _098_ ( ANTENNA_la_buf\[121\]_A DIODE ) ( la_buf\[121\] A ) ( _592_ Y ) + USE SIGNAL ;
- _099_ ( ANTENNA_la_buf\[122\]_A DIODE ) ( la_buf\[122\] A ) ( _593_ Y ) + USE SIGNAL ;
- _100_ ( ANTENNA_la_buf\[123\]_A DIODE ) ( la_buf\[123\] A ) ( _594_ Y ) + USE SIGNAL ;
- _101_ ( ANTENNA_la_buf\[124\]_A DIODE ) ( la_buf\[124\] A ) ( _595_ Y ) + USE SIGNAL ;
- _102_ ( ANTENNA_la_buf\[125\]_A DIODE ) ( la_buf\[125\] A ) ( _596_ Y ) + USE SIGNAL ;
- _103_ ( ANTENNA_la_buf\[126\]_A DIODE ) ( la_buf\[126\] A ) ( _597_ Y ) + USE SIGNAL ;
- _104_ ( ANTENNA_la_buf\[127\]_A DIODE ) ( la_buf\[127\] A ) ( _598_ Y ) + USE SIGNAL ;
- _105_ ( ANTENNA_la_buf\[12\]_A DIODE ) ( la_buf\[12\] A ) ( _483_ Y ) + USE SIGNAL ;
- _106_ ( ANTENNA_la_buf\[13\]_A DIODE ) ( la_buf\[13\] A ) ( _484_ Y ) + USE SIGNAL ;
- _107_ ( ANTENNA_la_buf\[14\]_A DIODE ) ( la_buf\[14\] A ) ( _485_ Y ) + USE SIGNAL ;
- _108_ ( ANTENNA_la_buf\[15\]_A DIODE ) ( la_buf\[15\] A ) ( _486_ Y ) + USE SIGNAL ;
- _109_ ( ANTENNA_la_buf\[16\]_A DIODE ) ( la_buf\[16\] A ) ( _487_ Y ) + USE SIGNAL ;
- _110_ ( ANTENNA_la_buf\[17\]_A DIODE ) ( la_buf\[17\] A ) ( _488_ Y ) + USE SIGNAL ;
- _111_ ( ANTENNA_la_buf\[18\]_A DIODE ) ( la_buf\[18\] A ) ( _489_ Y ) + USE SIGNAL ;
- _112_ ( ANTENNA_la_buf\[19\]_A DIODE ) ( la_buf\[19\] A ) ( _490_ Y ) + USE SIGNAL ;
- _113_ ( ANTENNA_la_buf\[1\]_A DIODE ) ( la_buf\[1\] A ) ( _472_ Y ) + USE SIGNAL ;
- _114_ ( ANTENNA_la_buf\[20\]_A DIODE ) ( la_buf\[20\] A ) ( _491_ Y ) + USE SIGNAL ;
- _115_ ( ANTENNA_la_buf\[21\]_A DIODE ) ( la_buf\[21\] A ) ( _492_ Y ) + USE SIGNAL ;
- _116_ ( ANTENNA_la_buf\[22\]_A DIODE ) ( la_buf\[22\] A ) ( _493_ Y ) + USE SIGNAL ;
- _117_ ( ANTENNA_la_buf\[23\]_A DIODE ) ( la_buf\[23\] A ) ( _494_ Y ) + USE SIGNAL ;
- _118_ ( ANTENNA_la_buf\[24\]_A DIODE ) ( la_buf\[24\] A ) ( _495_ Y ) + USE SIGNAL ;
- _119_ ( ANTENNA_la_buf\[25\]_A DIODE ) ( la_buf\[25\] A ) ( _496_ Y ) + USE SIGNAL ;
- _120_ ( ANTENNA_la_buf\[26\]_A DIODE ) ( la_buf\[26\] A ) ( _497_ Y ) + USE SIGNAL ;
- _121_ ( ANTENNA_la_buf\[27\]_A DIODE ) ( la_buf\[27\] A ) ( _498_ Y ) + USE SIGNAL ;
- _122_ ( ANTENNA_la_buf\[28\]_A DIODE ) ( la_buf\[28\] A ) ( _499_ Y ) + USE SIGNAL ;
- _123_ ( ANTENNA_la_buf\[29\]_A DIODE ) ( la_buf\[29\] A ) ( _500_ Y ) + USE SIGNAL ;
- _124_ ( ANTENNA_la_buf\[2\]_A DIODE ) ( la_buf\[2\] A ) ( _473_ Y ) + USE SIGNAL ;
- _125_ ( ANTENNA_la_buf\[30\]_A DIODE ) ( la_buf\[30\] A ) ( _501_ Y ) + USE SIGNAL ;
- _126_ ( ANTENNA_la_buf\[31\]_A DIODE ) ( la_buf\[31\] A ) ( _502_ Y ) + USE SIGNAL ;
- _127_ ( ANTENNA_la_buf\[32\]_A DIODE ) ( la_buf\[32\] A ) ( _503_ Y ) + USE SIGNAL ;
- _128_ ( ANTENNA_la_buf\[33\]_A DIODE ) ( la_buf\[33\] A ) ( _504_ Y ) + USE SIGNAL ;
- _129_ ( ANTENNA_la_buf\[34\]_A DIODE ) ( la_buf\[34\] A ) ( _505_ Y ) + USE SIGNAL ;
- _130_ ( ANTENNA_la_buf\[35\]_A DIODE ) ( la_buf\[35\] A ) ( _506_ Y ) + USE SIGNAL ;
- _131_ ( ANTENNA_la_buf\[36\]_A DIODE ) ( la_buf\[36\] A ) ( _507_ Y ) + USE SIGNAL ;
- _132_ ( ANTENNA_la_buf\[37\]_A DIODE ) ( la_buf\[37\] A ) ( _508_ Y ) + USE SIGNAL ;
- _133_ ( ANTENNA_la_buf\[38\]_A DIODE ) ( la_buf\[38\] A ) ( _509_ Y ) + USE SIGNAL ;
- _134_ ( ANTENNA_la_buf\[39\]_A DIODE ) ( la_buf\[39\] A ) ( _510_ Y ) + USE SIGNAL ;
- _135_ ( ANTENNA_la_buf\[3\]_A DIODE ) ( la_buf\[3\] A ) ( _474_ Y ) + USE SIGNAL ;
- _136_ ( ANTENNA_la_buf\[40\]_A DIODE ) ( la_buf\[40\] A ) ( _511_ Y ) + USE SIGNAL ;
- _137_ ( ANTENNA_la_buf\[41\]_A DIODE ) ( la_buf\[41\] A ) ( _512_ Y ) + USE SIGNAL ;
- _138_ ( ANTENNA_la_buf\[42\]_A DIODE ) ( la_buf\[42\] A ) ( _513_ Y ) + USE SIGNAL ;
- _139_ ( ANTENNA_la_buf\[43\]_A DIODE ) ( la_buf\[43\] A ) ( _514_ Y ) + USE SIGNAL ;
- _140_ ( ANTENNA_la_buf\[44\]_A DIODE ) ( la_buf\[44\] A ) ( _515_ Y ) + USE SIGNAL ;
- _141_ ( ANTENNA_la_buf\[45\]_A DIODE ) ( la_buf\[45\] A ) ( _516_ Y ) + USE SIGNAL ;
- _142_ ( ANTENNA_la_buf\[46\]_A DIODE ) ( la_buf\[46\] A ) ( _517_ Y ) + USE SIGNAL ;
- _143_ ( ANTENNA_la_buf\[47\]_A DIODE ) ( la_buf\[47\] A ) ( _518_ Y ) + USE SIGNAL ;
- _144_ ( ANTENNA_la_buf\[48\]_A DIODE ) ( la_buf\[48\] A ) ( _519_ Y ) + USE SIGNAL ;
- _145_ ( ANTENNA_la_buf\[49\]_A DIODE ) ( la_buf\[49\] A ) ( _520_ Y ) + USE SIGNAL ;
- _146_ ( ANTENNA_la_buf\[4\]_A DIODE ) ( la_buf\[4\] A ) ( _475_ Y ) + USE SIGNAL ;
- _147_ ( ANTENNA_la_buf\[50\]_A DIODE ) ( la_buf\[50\] A ) ( _521_ Y ) + USE SIGNAL ;
- _148_ ( ANTENNA_la_buf\[51\]_A DIODE ) ( la_buf\[51\] A ) ( _522_ Y ) + USE SIGNAL ;
- _149_ ( ANTENNA_la_buf\[52\]_A DIODE ) ( la_buf\[52\] A ) ( _523_ Y ) + USE SIGNAL ;
- _150_ ( ANTENNA_la_buf\[53\]_A DIODE ) ( la_buf\[53\] A ) ( _524_ Y ) + USE SIGNAL ;
- _151_ ( ANTENNA_la_buf\[54\]_A DIODE ) ( la_buf\[54\] A ) ( _525_ Y ) + USE SIGNAL ;
- _152_ ( ANTENNA_la_buf\[55\]_A DIODE ) ( la_buf\[55\] A ) ( _526_ Y ) + USE SIGNAL ;
- _153_ ( ANTENNA_la_buf\[56\]_A DIODE ) ( la_buf\[56\] A ) ( _527_ Y ) + USE SIGNAL ;
- _154_ ( ANTENNA_la_buf\[57\]_A DIODE ) ( la_buf\[57\] A ) ( _528_ Y ) + USE SIGNAL ;
- _155_ ( ANTENNA_la_buf\[58\]_A DIODE ) ( la_buf\[58\] A ) ( _529_ Y ) + USE SIGNAL ;
- _156_ ( ANTENNA_la_buf\[59\]_A DIODE ) ( la_buf\[59\] A ) ( _530_ Y ) + USE SIGNAL ;
- _157_ ( ANTENNA_la_buf\[5\]_A DIODE ) ( la_buf\[5\] A ) ( _476_ Y ) + USE SIGNAL ;
- _158_ ( ANTENNA_la_buf\[60\]_A DIODE ) ( la_buf\[60\] A ) ( _531_ Y ) + USE SIGNAL ;
- _159_ ( ANTENNA_la_buf\[61\]_A DIODE ) ( la_buf\[61\] A ) ( _532_ Y ) + USE SIGNAL ;
- _160_ ( ANTENNA_la_buf\[62\]_A DIODE ) ( la_buf\[62\] A ) ( _533_ Y ) + USE SIGNAL ;
- _161_ ( ANTENNA_la_buf\[63\]_A DIODE ) ( la_buf\[63\] A ) ( _534_ Y ) + USE SIGNAL ;
- _162_ ( ANTENNA_la_buf\[64\]_A DIODE ) ( la_buf\[64\] A ) ( _535_ Y ) + USE SIGNAL ;
- _163_ ( ANTENNA_la_buf\[65\]_A DIODE ) ( la_buf\[65\] A ) ( _536_ Y ) + USE SIGNAL ;
- _164_ ( ANTENNA_la_buf\[66\]_A DIODE ) ( la_buf\[66\] A ) ( _537_ Y ) + USE SIGNAL ;
- _165_ ( ANTENNA_la_buf\[67\]_A DIODE ) ( la_buf\[67\] A ) ( _538_ Y ) + USE SIGNAL ;
- _166_ ( ANTENNA_la_buf\[68\]_A DIODE ) ( la_buf\[68\] A ) ( _539_ Y ) + USE SIGNAL ;
- _167_ ( ANTENNA_la_buf\[69\]_A DIODE ) ( la_buf\[69\] A ) ( _540_ Y ) + USE SIGNAL ;
- _168_ ( ANTENNA_la_buf\[6\]_A DIODE ) ( la_buf\[6\] A ) ( _477_ Y ) + USE SIGNAL ;
- _169_ ( ANTENNA_la_buf\[70\]_A DIODE ) ( la_buf\[70\] A ) ( _541_ Y ) + USE SIGNAL ;
- _170_ ( ANTENNA_la_buf\[71\]_A DIODE ) ( la_buf\[71\] A ) ( _542_ Y ) + USE SIGNAL ;
- _171_ ( ANTENNA_la_buf\[72\]_A DIODE ) ( la_buf\[72\] A ) ( _543_ Y ) + USE SIGNAL ;
- _172_ ( ANTENNA_la_buf\[73\]_A DIODE ) ( la_buf\[73\] A ) ( _544_ Y ) + USE SIGNAL ;
- _173_ ( ANTENNA_la_buf\[74\]_A DIODE ) ( la_buf\[74\] A ) ( _545_ Y ) + USE SIGNAL ;
- _174_ ( ANTENNA_la_buf\[75\]_A DIODE ) ( la_buf\[75\] A ) ( _546_ Y ) + USE SIGNAL ;
- _175_ ( ANTENNA_la_buf\[76\]_A DIODE ) ( la_buf\[76\] A ) ( _547_ Y ) + USE SIGNAL ;
- _176_ ( ANTENNA_la_buf\[77\]_A DIODE ) ( la_buf\[77\] A ) ( _548_ Y ) + USE SIGNAL ;
- _177_ ( ANTENNA_la_buf\[78\]_A DIODE ) ( la_buf\[78\] A ) ( _549_ Y ) + USE SIGNAL ;
- _178_ ( ANTENNA_la_buf\[79\]_A DIODE ) ( la_buf\[79\] A ) ( _550_ Y ) + USE SIGNAL ;
- _179_ ( ANTENNA_la_buf\[7\]_A DIODE ) ( la_buf\[7\] A ) ( _478_ Y ) + USE SIGNAL ;
- _180_ ( ANTENNA_la_buf\[80\]_A DIODE ) ( la_buf\[80\] A ) ( _551_ Y ) + USE SIGNAL ;
- _181_ ( ANTENNA_la_buf\[81\]_A DIODE ) ( la_buf\[81\] A ) ( _552_ Y ) + USE SIGNAL ;
- _182_ ( ANTENNA_la_buf\[82\]_A DIODE ) ( la_buf\[82\] A ) ( _553_ Y ) + USE SIGNAL ;
- _183_ ( ANTENNA_la_buf\[83\]_A DIODE ) ( la_buf\[83\] A ) ( _554_ Y ) + USE SIGNAL ;
- _184_ ( ANTENNA_la_buf\[84\]_A DIODE ) ( la_buf\[84\] A ) ( _555_ Y ) + USE SIGNAL ;
- _185_ ( ANTENNA_la_buf\[85\]_A DIODE ) ( la_buf\[85\] A ) ( _556_ Y ) + USE SIGNAL ;
- _186_ ( ANTENNA_la_buf\[86\]_A DIODE ) ( la_buf\[86\] A ) ( _557_ Y ) + USE SIGNAL ;
- _187_ ( ANTENNA_la_buf\[87\]_A DIODE ) ( la_buf\[87\] A ) ( _558_ Y ) + USE SIGNAL ;
- _188_ ( ANTENNA_la_buf\[88\]_A DIODE ) ( la_buf\[88\] A ) ( _559_ Y ) + USE SIGNAL ;
- _189_ ( ANTENNA_la_buf\[89\]_A DIODE ) ( la_buf\[89\] A ) ( _560_ Y ) + USE SIGNAL ;
- _190_ ( ANTENNA_la_buf\[8\]_A DIODE ) ( la_buf\[8\] A ) ( _479_ Y ) + USE SIGNAL ;
- _191_ ( ANTENNA_la_buf\[90\]_A DIODE ) ( la_buf\[90\] A ) ( _561_ Y ) + USE SIGNAL ;
- _192_ ( ANTENNA_la_buf\[91\]_A DIODE ) ( la_buf\[91\] A ) ( _562_ Y ) + USE SIGNAL ;
- _193_ ( ANTENNA_la_buf\[92\]_A DIODE ) ( la_buf\[92\] A ) ( _563_ Y ) + USE SIGNAL ;
- _194_ ( ANTENNA_la_buf\[93\]_A DIODE ) ( la_buf\[93\] A ) ( _564_ Y ) + USE SIGNAL ;
- _195_ ( ANTENNA_la_buf\[94\]_A DIODE ) ( la_buf\[94\] A ) ( _565_ Y ) + USE SIGNAL ;
- _196_ ( ANTENNA_la_buf\[95\]_A DIODE ) ( la_buf\[95\] A ) ( _566_ Y ) + USE SIGNAL ;
- _197_ ( ANTENNA_la_buf\[96\]_A DIODE ) ( la_buf\[96\] A ) ( _567_ Y ) + USE SIGNAL ;
- _198_ ( ANTENNA_la_buf\[97\]_A DIODE ) ( la_buf\[97\] A ) ( _568_ Y ) + USE SIGNAL ;
- _199_ ( ANTENNA_la_buf\[98\]_A DIODE ) ( la_buf\[98\] A ) ( _569_ Y ) + USE SIGNAL ;
- _200_ ( ANTENNA_la_buf\[99\]_A DIODE ) ( la_buf\[99\] A ) ( _570_ Y ) + USE SIGNAL ;
- _201_ ( ANTENNA_la_buf\[9\]_A DIODE ) ( la_buf\[9\] A ) ( _480_ Y ) + USE SIGNAL ;
- _202_ ( ANTENNA_user_to_mprj_oen_buffers\[0\]_A DIODE ) ( user_to_mprj_oen_buffers\[0\] A ) ( _599_ Y ) + USE SIGNAL ;
- _203_ ( ANTENNA_user_to_mprj_oen_buffers\[100\]_A DIODE ) ( user_to_mprj_oen_buffers\[100\] A ) ( _368_ Y ) + USE SIGNAL ;
- _204_ ( ANTENNA_user_to_mprj_oen_buffers\[101\]_A DIODE ) ( user_to_mprj_oen_buffers\[101\] A ) ( _369_ Y ) + USE SIGNAL ;
- _205_ ( ANTENNA_user_to_mprj_oen_buffers\[102\]_A DIODE ) ( user_to_mprj_oen_buffers\[102\] A ) ( _370_ Y ) + USE SIGNAL ;
- _206_ ( ANTENNA_user_to_mprj_oen_buffers\[103\]_A DIODE ) ( user_to_mprj_oen_buffers\[103\] A ) ( _371_ Y ) + USE SIGNAL ;
- _207_ ( ANTENNA_user_to_mprj_oen_buffers\[104\]_A DIODE ) ( user_to_mprj_oen_buffers\[104\] A ) ( _372_ Y ) + USE SIGNAL ;
- _208_ ( ANTENNA_user_to_mprj_oen_buffers\[105\]_A DIODE ) ( user_to_mprj_oen_buffers\[105\] A ) ( _373_ Y ) + USE SIGNAL ;
- _209_ ( ANTENNA_user_to_mprj_oen_buffers\[106\]_A DIODE ) ( user_to_mprj_oen_buffers\[106\] A ) ( _374_ Y ) + USE SIGNAL ;
- _210_ ( ANTENNA_user_to_mprj_oen_buffers\[107\]_A DIODE ) ( user_to_mprj_oen_buffers\[107\] A ) ( _375_ Y ) + USE SIGNAL ;
- _211_ ( ANTENNA_user_to_mprj_oen_buffers\[108\]_A DIODE ) ( user_to_mprj_oen_buffers\[108\] A ) ( _376_ Y ) + USE SIGNAL ;
- _212_ ( ANTENNA_user_to_mprj_oen_buffers\[109\]_A DIODE ) ( user_to_mprj_oen_buffers\[109\] A ) ( _377_ Y ) + USE SIGNAL ;
- _213_ ( ANTENNA_user_to_mprj_oen_buffers\[10\]_A DIODE ) ( user_to_mprj_oen_buffers\[10\] A ) ( _609_ Y ) + USE SIGNAL ;
- _214_ ( ANTENNA_user_to_mprj_oen_buffers\[110\]_A DIODE ) ( user_to_mprj_oen_buffers\[110\] A ) ( _378_ Y ) + USE SIGNAL ;
- _215_ ( ANTENNA_user_to_mprj_oen_buffers\[111\]_A DIODE ) ( user_to_mprj_oen_buffers\[111\] A ) ( _379_ Y ) + USE SIGNAL ;
- _216_ ( ANTENNA_user_to_mprj_oen_buffers\[112\]_A DIODE ) ( user_to_mprj_oen_buffers\[112\] A ) ( _380_ Y ) + USE SIGNAL ;
- _217_ ( ANTENNA_user_to_mprj_oen_buffers\[113\]_A DIODE ) ( user_to_mprj_oen_buffers\[113\] A ) ( _381_ Y ) + USE SIGNAL ;
- _218_ ( ANTENNA_user_to_mprj_oen_buffers\[114\]_A DIODE ) ( user_to_mprj_oen_buffers\[114\] A ) ( _382_ Y ) + USE SIGNAL ;
- _219_ ( ANTENNA_user_to_mprj_oen_buffers\[115\]_A DIODE ) ( user_to_mprj_oen_buffers\[115\] A ) ( _383_ Y ) + USE SIGNAL ;
- _220_ ( ANTENNA_user_to_mprj_oen_buffers\[116\]_A DIODE ) ( user_to_mprj_oen_buffers\[116\] A ) ( _384_ Y ) + USE SIGNAL ;
- _221_ ( ANTENNA_user_to_mprj_oen_buffers\[117\]_A DIODE ) ( user_to_mprj_oen_buffers\[117\] A ) ( _385_ Y ) + USE SIGNAL ;
- _222_ ( ANTENNA_user_to_mprj_oen_buffers\[118\]_A DIODE ) ( user_to_mprj_oen_buffers\[118\] A ) ( _386_ Y ) + USE SIGNAL ;
- _223_ ( ANTENNA_user_to_mprj_oen_buffers\[119\]_A DIODE ) ( user_to_mprj_oen_buffers\[119\] A ) ( _387_ Y ) + USE SIGNAL ;
- _224_ ( ANTENNA_user_to_mprj_oen_buffers\[11\]_A DIODE ) ( user_to_mprj_oen_buffers\[11\] A ) ( _610_ Y ) + USE SIGNAL ;
- _225_ ( ANTENNA_user_to_mprj_oen_buffers\[120\]_A DIODE ) ( user_to_mprj_oen_buffers\[120\] A ) ( _388_ Y ) + USE SIGNAL ;
- _226_ ( ANTENNA_user_to_mprj_oen_buffers\[121\]_A DIODE ) ( user_to_mprj_oen_buffers\[121\] A ) ( _389_ Y ) + USE SIGNAL ;
- _227_ ( ANTENNA_user_to_mprj_oen_buffers\[122\]_A DIODE ) ( user_to_mprj_oen_buffers\[122\] A ) ( _390_ Y ) + USE SIGNAL ;
- _228_ ( ANTENNA_user_to_mprj_oen_buffers\[123\]_A DIODE ) ( user_to_mprj_oen_buffers\[123\] A ) ( _391_ Y ) + USE SIGNAL ;
- _229_ ( ANTENNA_user_to_mprj_oen_buffers\[124\]_A DIODE ) ( user_to_mprj_oen_buffers\[124\] A ) ( _392_ Y ) + USE SIGNAL ;
- _230_ ( ANTENNA_user_to_mprj_oen_buffers\[125\]_A DIODE ) ( user_to_mprj_oen_buffers\[125\] A ) ( _393_ Y ) + USE SIGNAL ;
- _231_ ( ANTENNA_user_to_mprj_oen_buffers\[126\]_A DIODE ) ( user_to_mprj_oen_buffers\[126\] A ) ( _394_ Y ) + USE SIGNAL ;
- _232_ ( ANTENNA_user_to_mprj_oen_buffers\[127\]_A DIODE ) ( user_to_mprj_oen_buffers\[127\] A ) ( _395_ Y ) + USE SIGNAL ;
- _233_ ( ANTENNA_user_to_mprj_oen_buffers\[12\]_A DIODE ) ( user_to_mprj_oen_buffers\[12\] A ) ( _611_ Y ) + USE SIGNAL ;
- _234_ ( ANTENNA_user_to_mprj_oen_buffers\[13\]_A DIODE ) ( user_to_mprj_oen_buffers\[13\] A ) ( _612_ Y ) + USE SIGNAL ;
- _235_ ( ANTENNA_user_to_mprj_oen_buffers\[14\]_A DIODE ) ( user_to_mprj_oen_buffers\[14\] A ) ( _613_ Y ) + USE SIGNAL ;
- _236_ ( ANTENNA_user_to_mprj_oen_buffers\[15\]_A DIODE ) ( user_to_mprj_oen_buffers\[15\] A ) ( _614_ Y ) + USE SIGNAL ;
- _237_ ( ANTENNA_user_to_mprj_oen_buffers\[16\]_A DIODE ) ( user_to_mprj_oen_buffers\[16\] A ) ( _615_ Y ) + USE SIGNAL ;
- _238_ ( ANTENNA_user_to_mprj_oen_buffers\[17\]_A DIODE ) ( user_to_mprj_oen_buffers\[17\] A ) ( _616_ Y ) + USE SIGNAL ;
- _239_ ( ANTENNA_user_to_mprj_oen_buffers\[18\]_A DIODE ) ( user_to_mprj_oen_buffers\[18\] A ) ( _617_ Y ) + USE SIGNAL ;
- _240_ ( ANTENNA_user_to_mprj_oen_buffers\[19\]_A DIODE ) ( user_to_mprj_oen_buffers\[19\] A ) ( _618_ Y ) + USE SIGNAL ;
- _241_ ( ANTENNA_user_to_mprj_oen_buffers\[1\]_A DIODE ) ( user_to_mprj_oen_buffers\[1\] A ) ( _600_ Y ) + USE SIGNAL ;
- _242_ ( ANTENNA_user_to_mprj_oen_buffers\[20\]_A DIODE ) ( user_to_mprj_oen_buffers\[20\] A ) ( _619_ Y ) + USE SIGNAL ;
- _243_ ( ANTENNA_user_to_mprj_oen_buffers\[21\]_A DIODE ) ( user_to_mprj_oen_buffers\[21\] A ) ( _620_ Y ) + USE SIGNAL ;
- _244_ ( ANTENNA_user_to_mprj_oen_buffers\[22\]_A DIODE ) ( user_to_mprj_oen_buffers\[22\] A ) ( _621_ Y ) + USE SIGNAL ;
- _245_ ( ANTENNA_user_to_mprj_oen_buffers\[23\]_A DIODE ) ( user_to_mprj_oen_buffers\[23\] A ) ( _622_ Y ) + USE SIGNAL ;
- _246_ ( ANTENNA_user_to_mprj_oen_buffers\[24\]_A DIODE ) ( user_to_mprj_oen_buffers\[24\] A ) ( _623_ Y ) + USE SIGNAL ;
- _247_ ( ANTENNA_user_to_mprj_oen_buffers\[25\]_A DIODE ) ( user_to_mprj_oen_buffers\[25\] A ) ( _624_ Y ) + USE SIGNAL ;
- _248_ ( ANTENNA_user_to_mprj_oen_buffers\[26\]_A DIODE ) ( user_to_mprj_oen_buffers\[26\] A ) ( _625_ Y ) + USE SIGNAL ;
- _249_ ( ANTENNA_user_to_mprj_oen_buffers\[27\]_A DIODE ) ( user_to_mprj_oen_buffers\[27\] A ) ( _626_ Y ) + USE SIGNAL ;
- _250_ ( ANTENNA_user_to_mprj_oen_buffers\[28\]_A DIODE ) ( user_to_mprj_oen_buffers\[28\] A ) ( _627_ Y ) + USE SIGNAL ;
- _251_ ( ANTENNA_user_to_mprj_oen_buffers\[29\]_A DIODE ) ( user_to_mprj_oen_buffers\[29\] A ) ( _628_ Y ) + USE SIGNAL ;
- _252_ ( ANTENNA_user_to_mprj_oen_buffers\[2\]_A DIODE ) ( user_to_mprj_oen_buffers\[2\] A ) ( _601_ Y ) + USE SIGNAL ;
- _253_ ( ANTENNA_user_to_mprj_oen_buffers\[30\]_A DIODE ) ( user_to_mprj_oen_buffers\[30\] A ) ( _629_ Y ) + USE SIGNAL ;
- _254_ ( ANTENNA_user_to_mprj_oen_buffers\[31\]_A DIODE ) ( user_to_mprj_oen_buffers\[31\] A ) ( _630_ Y ) + USE SIGNAL ;
- _255_ ( ANTENNA_user_to_mprj_oen_buffers\[32\]_A DIODE ) ( user_to_mprj_oen_buffers\[32\] A ) ( _631_ Y ) + USE SIGNAL ;
- _256_ ( ANTENNA_user_to_mprj_oen_buffers\[33\]_A DIODE ) ( user_to_mprj_oen_buffers\[33\] A ) ( _632_ Y ) + USE SIGNAL ;
- _257_ ( ANTENNA_user_to_mprj_oen_buffers\[34\]_A DIODE ) ( user_to_mprj_oen_buffers\[34\] A ) ( _633_ Y ) + USE SIGNAL ;
- _258_ ( ANTENNA_user_to_mprj_oen_buffers\[35\]_A DIODE ) ( user_to_mprj_oen_buffers\[35\] A ) ( _634_ Y ) + USE SIGNAL ;
- _259_ ( ANTENNA_user_to_mprj_oen_buffers\[36\]_A DIODE ) ( user_to_mprj_oen_buffers\[36\] A ) ( _635_ Y ) + USE SIGNAL ;
- _260_ ( ANTENNA_user_to_mprj_oen_buffers\[37\]_A DIODE ) ( user_to_mprj_oen_buffers\[37\] A ) ( _636_ Y ) + USE SIGNAL ;
- _261_ ( ANTENNA_user_to_mprj_oen_buffers\[38\]_A DIODE ) ( user_to_mprj_oen_buffers\[38\] A ) ( _637_ Y ) + USE SIGNAL ;
- _262_ ( ANTENNA_user_to_mprj_oen_buffers\[39\]_A DIODE ) ( user_to_mprj_oen_buffers\[39\] A ) ( _638_ Y ) + USE SIGNAL ;
- _263_ ( ANTENNA_user_to_mprj_oen_buffers\[3\]_A DIODE ) ( user_to_mprj_oen_buffers\[3\] A ) ( _602_ Y ) + USE SIGNAL ;
- _264_ ( ANTENNA_user_to_mprj_oen_buffers\[40\]_A DIODE ) ( user_to_mprj_oen_buffers\[40\] A ) ( _639_ Y ) + USE SIGNAL ;
- _265_ ( ANTENNA_user_to_mprj_oen_buffers\[41\]_A DIODE ) ( user_to_mprj_oen_buffers\[41\] A ) ( _640_ Y ) + USE SIGNAL ;
- _266_ ( ANTENNA_user_to_mprj_oen_buffers\[42\]_A DIODE ) ( user_to_mprj_oen_buffers\[42\] A ) ( _641_ Y ) + USE SIGNAL ;
- _267_ ( ANTENNA_user_to_mprj_oen_buffers\[43\]_A DIODE ) ( user_to_mprj_oen_buffers\[43\] A ) ( _642_ Y ) + USE SIGNAL ;
- _268_ ( ANTENNA_user_to_mprj_oen_buffers\[44\]_A DIODE ) ( user_to_mprj_oen_buffers\[44\] A ) ( _643_ Y ) + USE SIGNAL ;
- _269_ ( ANTENNA_user_to_mprj_oen_buffers\[45\]_A DIODE ) ( user_to_mprj_oen_buffers\[45\] A ) ( _644_ Y ) + USE SIGNAL ;
- _270_ ( ANTENNA_user_to_mprj_oen_buffers\[46\]_A DIODE ) ( user_to_mprj_oen_buffers\[46\] A ) ( _645_ Y ) + USE SIGNAL ;
- _271_ ( ANTENNA_user_to_mprj_oen_buffers\[47\]_A DIODE ) ( user_to_mprj_oen_buffers\[47\] A ) ( _646_ Y ) + USE SIGNAL ;
- _272_ ( ANTENNA_user_to_mprj_oen_buffers\[48\]_A DIODE ) ( user_to_mprj_oen_buffers\[48\] A ) ( _647_ Y ) + USE SIGNAL ;
- _273_ ( ANTENNA_user_to_mprj_oen_buffers\[49\]_A DIODE ) ( user_to_mprj_oen_buffers\[49\] A ) ( _648_ Y ) + USE SIGNAL ;
- _274_ ( ANTENNA_user_to_mprj_oen_buffers\[4\]_A DIODE ) ( user_to_mprj_oen_buffers\[4\] A ) ( _603_ Y ) + USE SIGNAL ;
- _275_ ( ANTENNA_user_to_mprj_oen_buffers\[50\]_A DIODE ) ( user_to_mprj_oen_buffers\[50\] A ) ( _649_ Y ) + USE SIGNAL ;
- _276_ ( ANTENNA_user_to_mprj_oen_buffers\[51\]_A DIODE ) ( user_to_mprj_oen_buffers\[51\] A ) ( _650_ Y ) + USE SIGNAL ;
- _277_ ( ANTENNA_user_to_mprj_oen_buffers\[52\]_A DIODE ) ( user_to_mprj_oen_buffers\[52\] A ) ( _651_ Y ) + USE SIGNAL ;
- _278_ ( ANTENNA_user_to_mprj_oen_buffers\[53\]_A DIODE ) ( user_to_mprj_oen_buffers\[53\] A ) ( _652_ Y ) + USE SIGNAL ;
- _279_ ( ANTENNA_user_to_mprj_oen_buffers\[54\]_A DIODE ) ( user_to_mprj_oen_buffers\[54\] A ) ( _653_ Y ) + USE SIGNAL ;
- _280_ ( ANTENNA_user_to_mprj_oen_buffers\[55\]_A DIODE ) ( user_to_mprj_oen_buffers\[55\] A ) ( _654_ Y ) + USE SIGNAL ;
- _281_ ( ANTENNA_user_to_mprj_oen_buffers\[56\]_A DIODE ) ( user_to_mprj_oen_buffers\[56\] A ) ( _655_ Y ) + USE SIGNAL ;
- _282_ ( ANTENNA_user_to_mprj_oen_buffers\[57\]_A DIODE ) ( user_to_mprj_oen_buffers\[57\] A ) ( _656_ Y ) + USE SIGNAL ;
- _283_ ( ANTENNA_user_to_mprj_oen_buffers\[58\]_A DIODE ) ( user_to_mprj_oen_buffers\[58\] A ) ( _657_ Y ) + USE SIGNAL ;
- _284_ ( ANTENNA_user_to_mprj_oen_buffers\[59\]_A DIODE ) ( user_to_mprj_oen_buffers\[59\] A ) ( _658_ Y ) + USE SIGNAL ;
- _285_ ( ANTENNA_user_to_mprj_oen_buffers\[5\]_A DIODE ) ( user_to_mprj_oen_buffers\[5\] A ) ( _604_ Y ) + USE SIGNAL ;
- _286_ ( ANTENNA_user_to_mprj_oen_buffers\[60\]_A DIODE ) ( user_to_mprj_oen_buffers\[60\] A ) ( _659_ Y ) + USE SIGNAL ;
- _287_ ( ANTENNA_user_to_mprj_oen_buffers\[61\]_A DIODE ) ( user_to_mprj_oen_buffers\[61\] A ) ( _660_ Y ) + USE SIGNAL ;
- _288_ ( ANTENNA_user_to_mprj_oen_buffers\[62\]_A DIODE ) ( user_to_mprj_oen_buffers\[62\] A ) ( _330_ Y ) + USE SIGNAL ;
- _289_ ( ANTENNA_user_to_mprj_oen_buffers\[63\]_A DIODE ) ( user_to_mprj_oen_buffers\[63\] A ) ( _331_ Y ) + USE SIGNAL ;
- _290_ ( ANTENNA_user_to_mprj_oen_buffers\[64\]_A DIODE ) ( user_to_mprj_oen_buffers\[64\] A ) ( _332_ Y ) + USE SIGNAL ;
- _291_ ( ANTENNA_user_to_mprj_oen_buffers\[65\]_A DIODE ) ( user_to_mprj_oen_buffers\[65\] A ) ( _333_ Y ) + USE SIGNAL ;
- _292_ ( ANTENNA_user_to_mprj_oen_buffers\[66\]_A DIODE ) ( user_to_mprj_oen_buffers\[66\] A ) ( _334_ Y ) + USE SIGNAL ;
- _293_ ( ANTENNA_user_to_mprj_oen_buffers\[67\]_A DIODE ) ( user_to_mprj_oen_buffers\[67\] A ) ( _335_ Y ) + USE SIGNAL ;
- _294_ ( ANTENNA_user_to_mprj_oen_buffers\[68\]_A DIODE ) ( user_to_mprj_oen_buffers\[68\] A ) ( _336_ Y ) + USE SIGNAL ;
- _295_ ( ANTENNA_user_to_mprj_oen_buffers\[69\]_A DIODE ) ( user_to_mprj_oen_buffers\[69\] A ) ( _337_ Y ) + USE SIGNAL ;
- _296_ ( ANTENNA_user_to_mprj_oen_buffers\[6\]_A DIODE ) ( user_to_mprj_oen_buffers\[6\] A ) ( _605_ Y ) + USE SIGNAL ;
- _297_ ( ANTENNA_user_to_mprj_oen_buffers\[70\]_A DIODE ) ( user_to_mprj_oen_buffers\[70\] A ) ( _338_ Y ) + USE SIGNAL ;
- _298_ ( ANTENNA_user_to_mprj_oen_buffers\[71\]_A DIODE ) ( user_to_mprj_oen_buffers\[71\] A ) ( _339_ Y ) + USE SIGNAL ;
- _299_ ( ANTENNA_user_to_mprj_oen_buffers\[72\]_A DIODE ) ( user_to_mprj_oen_buffers\[72\] A ) ( _340_ Y ) + USE SIGNAL ;
- _300_ ( ANTENNA_user_to_mprj_oen_buffers\[73\]_A DIODE ) ( user_to_mprj_oen_buffers\[73\] A ) ( _341_ Y ) + USE SIGNAL ;
- _301_ ( ANTENNA_user_to_mprj_oen_buffers\[74\]_A DIODE ) ( user_to_mprj_oen_buffers\[74\] A ) ( _342_ Y ) + USE SIGNAL ;
- _302_ ( ANTENNA_user_to_mprj_oen_buffers\[75\]_A DIODE ) ( user_to_mprj_oen_buffers\[75\] A ) ( _343_ Y ) + USE SIGNAL ;
- _303_ ( ANTENNA_user_to_mprj_oen_buffers\[76\]_A DIODE ) ( user_to_mprj_oen_buffers\[76\] A ) ( _344_ Y ) + USE SIGNAL ;
- _304_ ( ANTENNA_user_to_mprj_oen_buffers\[77\]_A DIODE ) ( user_to_mprj_oen_buffers\[77\] A ) ( _345_ Y ) + USE SIGNAL ;
- _305_ ( ANTENNA_user_to_mprj_oen_buffers\[78\]_A DIODE ) ( user_to_mprj_oen_buffers\[78\] A ) ( _346_ Y ) + USE SIGNAL ;
- _306_ ( ANTENNA_user_to_mprj_oen_buffers\[79\]_A DIODE ) ( user_to_mprj_oen_buffers\[79\] A ) ( _347_ Y ) + USE SIGNAL ;
- _307_ ( ANTENNA_user_to_mprj_oen_buffers\[7\]_A DIODE ) ( user_to_mprj_oen_buffers\[7\] A ) ( _606_ Y ) + USE SIGNAL ;
- _308_ ( ANTENNA_user_to_mprj_oen_buffers\[80\]_A DIODE ) ( user_to_mprj_oen_buffers\[80\] A ) ( _348_ Y ) + USE SIGNAL ;
- _309_ ( ANTENNA_user_to_mprj_oen_buffers\[81\]_A DIODE ) ( user_to_mprj_oen_buffers\[81\] A ) ( _349_ Y ) + USE SIGNAL ;
- _310_ ( ANTENNA_user_to_mprj_oen_buffers\[82\]_A DIODE ) ( user_to_mprj_oen_buffers\[82\] A ) ( _350_ Y ) + USE SIGNAL ;
- _311_ ( ANTENNA_user_to_mprj_oen_buffers\[83\]_A DIODE ) ( user_to_mprj_oen_buffers\[83\] A ) ( _351_ Y ) + USE SIGNAL ;
- _312_ ( ANTENNA_user_to_mprj_oen_buffers\[84\]_A DIODE ) ( user_to_mprj_oen_buffers\[84\] A ) ( _352_ Y ) + USE SIGNAL ;
- _313_ ( ANTENNA_user_to_mprj_oen_buffers\[85\]_A DIODE ) ( user_to_mprj_oen_buffers\[85\] A ) ( _353_ Y ) + USE SIGNAL ;
- _314_ ( ANTENNA_user_to_mprj_oen_buffers\[86\]_A DIODE ) ( user_to_mprj_oen_buffers\[86\] A ) ( _354_ Y ) + USE SIGNAL ;
- _315_ ( ANTENNA_user_to_mprj_oen_buffers\[87\]_A DIODE ) ( user_to_mprj_oen_buffers\[87\] A ) ( _355_ Y ) + USE SIGNAL ;
- _316_ ( ANTENNA_user_to_mprj_oen_buffers\[88\]_A DIODE ) ( user_to_mprj_oen_buffers\[88\] A ) ( _356_ Y ) + USE SIGNAL ;
- _317_ ( ANTENNA_user_to_mprj_oen_buffers\[89\]_A DIODE ) ( user_to_mprj_oen_buffers\[89\] A ) ( _357_ Y ) + USE SIGNAL ;
- _318_ ( ANTENNA_user_to_mprj_oen_buffers\[8\]_A DIODE ) ( user_to_mprj_oen_buffers\[8\] A ) ( _607_ Y ) + USE SIGNAL ;
- _319_ ( ANTENNA_user_to_mprj_oen_buffers\[90\]_A DIODE ) ( user_to_mprj_oen_buffers\[90\] A ) ( _358_ Y ) + USE SIGNAL ;
- _320_ ( ANTENNA_user_to_mprj_oen_buffers\[91\]_A DIODE ) ( user_to_mprj_oen_buffers\[91\] A ) ( _359_ Y ) + USE SIGNAL ;
- _321_ ( ANTENNA_user_to_mprj_oen_buffers\[92\]_A DIODE ) ( user_to_mprj_oen_buffers\[92\] A ) ( _360_ Y ) + USE SIGNAL ;
- _322_ ( ANTENNA_user_to_mprj_oen_buffers\[93\]_A DIODE ) ( user_to_mprj_oen_buffers\[93\] A ) ( _361_ Y ) + USE SIGNAL ;
- _323_ ( ANTENNA_user_to_mprj_oen_buffers\[94\]_A DIODE ) ( user_to_mprj_oen_buffers\[94\] A ) ( _362_ Y ) + USE SIGNAL ;
- _324_ ( ANTENNA_user_to_mprj_oen_buffers\[95\]_A DIODE ) ( user_to_mprj_oen_buffers\[95\] A ) ( _363_ Y ) + USE SIGNAL ;
- _325_ ( ANTENNA_user_to_mprj_oen_buffers\[96\]_A DIODE ) ( user_to_mprj_oen_buffers\[96\] A ) ( _364_ Y ) + USE SIGNAL ;
- _326_ ( ANTENNA_user_to_mprj_oen_buffers\[97\]_A DIODE ) ( user_to_mprj_oen_buffers\[97\] A ) ( _365_ Y ) + USE SIGNAL ;
- _327_ ( ANTENNA_user_to_mprj_oen_buffers\[98\]_A DIODE ) ( user_to_mprj_oen_buffers\[98\] A ) ( _366_ Y ) + USE SIGNAL ;
- _328_ ( ANTENNA_user_to_mprj_oen_buffers\[99\]_A DIODE ) ( user_to_mprj_oen_buffers\[99\] A ) ( _367_ Y ) + USE SIGNAL ;
- _329_ ( ANTENNA_user_to_mprj_oen_buffers\[9\]_A DIODE ) ( user_to_mprj_oen_buffers\[9\] A ) ( _608_ Y ) + USE SIGNAL ;
- la_data_in_mprj_bar\[0\] ( ANTENNA_user_to_mprj_in_buffers\[0\]_A DIODE ) ( user_to_mprj_in_gates\[0\] Y ) ( user_to_mprj_in_buffers\[0\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[100\] ( ANTENNA_user_to_mprj_in_buffers\[100\]_A DIODE ) ( user_to_mprj_in_gates\[100\] Y ) ( user_to_mprj_in_buffers\[100\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[101\] ( ANTENNA_user_to_mprj_in_buffers\[101\]_A DIODE ) ( user_to_mprj_in_gates\[101\] Y ) ( user_to_mprj_in_buffers\[101\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[102\] ( ANTENNA_user_to_mprj_in_buffers\[102\]_A DIODE ) ( user_to_mprj_in_gates\[102\] Y ) ( user_to_mprj_in_buffers\[102\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[103\] ( ANTENNA_user_to_mprj_in_buffers\[103\]_A DIODE ) ( user_to_mprj_in_gates\[103\] Y ) ( user_to_mprj_in_buffers\[103\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[104\] ( ANTENNA_user_to_mprj_in_buffers\[104\]_A DIODE ) ( user_to_mprj_in_gates\[104\] Y ) ( user_to_mprj_in_buffers\[104\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[105\] ( ANTENNA_user_to_mprj_in_buffers\[105\]_A DIODE ) ( user_to_mprj_in_gates\[105\] Y ) ( user_to_mprj_in_buffers\[105\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[106\] ( ANTENNA_user_to_mprj_in_buffers\[106\]_A DIODE ) ( user_to_mprj_in_gates\[106\] Y ) ( user_to_mprj_in_buffers\[106\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[107\] ( ANTENNA_user_to_mprj_in_buffers\[107\]_A DIODE ) ( user_to_mprj_in_gates\[107\] Y ) ( user_to_mprj_in_buffers\[107\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[108\] ( ANTENNA_user_to_mprj_in_buffers\[108\]_A DIODE ) ( user_to_mprj_in_gates\[108\] Y ) ( user_to_mprj_in_buffers\[108\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[109\] ( ANTENNA_user_to_mprj_in_buffers\[109\]_A DIODE ) ( user_to_mprj_in_gates\[109\] Y ) ( user_to_mprj_in_buffers\[109\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[10\] ( ANTENNA_user_to_mprj_in_buffers\[10\]_A DIODE ) ( user_to_mprj_in_gates\[10\] Y ) ( user_to_mprj_in_buffers\[10\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[110\] ( ANTENNA_user_to_mprj_in_buffers\[110\]_A DIODE ) ( user_to_mprj_in_gates\[110\] Y ) ( user_to_mprj_in_buffers\[110\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[111\] ( ANTENNA_user_to_mprj_in_buffers\[111\]_A DIODE ) ( user_to_mprj_in_gates\[111\] Y ) ( user_to_mprj_in_buffers\[111\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[112\] ( ANTENNA_user_to_mprj_in_buffers\[112\]_A DIODE ) ( user_to_mprj_in_gates\[112\] Y ) ( user_to_mprj_in_buffers\[112\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[113\] ( ANTENNA_user_to_mprj_in_buffers\[113\]_A DIODE ) ( user_to_mprj_in_gates\[113\] Y ) ( user_to_mprj_in_buffers\[113\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[114\] ( ANTENNA_user_to_mprj_in_buffers\[114\]_A DIODE ) ( user_to_mprj_in_gates\[114\] Y ) ( user_to_mprj_in_buffers\[114\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[115\] ( ANTENNA_user_to_mprj_in_buffers\[115\]_A DIODE ) ( user_to_mprj_in_gates\[115\] Y ) ( user_to_mprj_in_buffers\[115\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[116\] ( ANTENNA_user_to_mprj_in_buffers\[116\]_A DIODE ) ( user_to_mprj_in_gates\[116\] Y ) ( user_to_mprj_in_buffers\[116\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[117\] ( ANTENNA_user_to_mprj_in_buffers\[117\]_A DIODE ) ( user_to_mprj_in_gates\[117\] Y ) ( user_to_mprj_in_buffers\[117\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[118\] ( ANTENNA_user_to_mprj_in_buffers\[118\]_A DIODE ) ( user_to_mprj_in_gates\[118\] Y ) ( user_to_mprj_in_buffers\[118\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[119\] ( ANTENNA_user_to_mprj_in_buffers\[119\]_A DIODE ) ( user_to_mprj_in_gates\[119\] Y ) ( user_to_mprj_in_buffers\[119\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[11\] ( ANTENNA_user_to_mprj_in_buffers\[11\]_A DIODE ) ( user_to_mprj_in_gates\[11\] Y ) ( user_to_mprj_in_buffers\[11\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[120\] ( ANTENNA_user_to_mprj_in_buffers\[120\]_A DIODE ) ( user_to_mprj_in_gates\[120\] Y ) ( user_to_mprj_in_buffers\[120\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[121\] ( ANTENNA_user_to_mprj_in_buffers\[121\]_A DIODE ) ( user_to_mprj_in_gates\[121\] Y ) ( user_to_mprj_in_buffers\[121\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[122\] ( ANTENNA_user_to_mprj_in_buffers\[122\]_A DIODE ) ( user_to_mprj_in_gates\[122\] Y ) ( user_to_mprj_in_buffers\[122\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[123\] ( ANTENNA_user_to_mprj_in_buffers\[123\]_A DIODE ) ( user_to_mprj_in_gates\[123\] Y ) ( user_to_mprj_in_buffers\[123\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[124\] ( ANTENNA_user_to_mprj_in_buffers\[124\]_A DIODE ) ( user_to_mprj_in_gates\[124\] Y ) ( user_to_mprj_in_buffers\[124\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[125\] ( ANTENNA_user_to_mprj_in_buffers\[125\]_A DIODE ) ( user_to_mprj_in_gates\[125\] Y ) ( user_to_mprj_in_buffers\[125\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[126\] ( ANTENNA_user_to_mprj_in_buffers\[126\]_A DIODE ) ( user_to_mprj_in_gates\[126\] Y ) ( user_to_mprj_in_buffers\[126\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[127\] ( ANTENNA_user_to_mprj_in_buffers\[127\]_A DIODE ) ( user_to_mprj_in_gates\[127\] Y ) ( user_to_mprj_in_buffers\[127\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[12\] ( ANTENNA_user_to_mprj_in_buffers\[12\]_A DIODE ) ( user_to_mprj_in_gates\[12\] Y ) ( user_to_mprj_in_buffers\[12\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[13\] ( ANTENNA_user_to_mprj_in_buffers\[13\]_A DIODE ) ( user_to_mprj_in_gates\[13\] Y ) ( user_to_mprj_in_buffers\[13\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[14\] ( ANTENNA_user_to_mprj_in_buffers\[14\]_A DIODE ) ( user_to_mprj_in_gates\[14\] Y ) ( user_to_mprj_in_buffers\[14\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[15\] ( ANTENNA_user_to_mprj_in_buffers\[15\]_A DIODE ) ( user_to_mprj_in_gates\[15\] Y ) ( user_to_mprj_in_buffers\[15\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[16\] ( ANTENNA_user_to_mprj_in_buffers\[16\]_A DIODE ) ( user_to_mprj_in_gates\[16\] Y ) ( user_to_mprj_in_buffers\[16\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[17\] ( ANTENNA_user_to_mprj_in_buffers\[17\]_A DIODE ) ( user_to_mprj_in_gates\[17\] Y ) ( user_to_mprj_in_buffers\[17\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[18\] ( ANTENNA_user_to_mprj_in_buffers\[18\]_A DIODE ) ( user_to_mprj_in_gates\[18\] Y ) ( user_to_mprj_in_buffers\[18\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[19\] ( ANTENNA_user_to_mprj_in_buffers\[19\]_A DIODE ) ( user_to_mprj_in_gates\[19\] Y ) ( user_to_mprj_in_buffers\[19\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[1\] ( ANTENNA_user_to_mprj_in_buffers\[1\]_A DIODE ) ( user_to_mprj_in_gates\[1\] Y ) ( user_to_mprj_in_buffers\[1\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[20\] ( ANTENNA_user_to_mprj_in_buffers\[20\]_A DIODE ) ( user_to_mprj_in_gates\[20\] Y ) ( user_to_mprj_in_buffers\[20\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[21\] ( ANTENNA_user_to_mprj_in_buffers\[21\]_A DIODE ) ( user_to_mprj_in_gates\[21\] Y ) ( user_to_mprj_in_buffers\[21\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[22\] ( ANTENNA_user_to_mprj_in_buffers\[22\]_A DIODE ) ( user_to_mprj_in_gates\[22\] Y ) ( user_to_mprj_in_buffers\[22\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[23\] ( ANTENNA_user_to_mprj_in_buffers\[23\]_A DIODE ) ( user_to_mprj_in_gates\[23\] Y ) ( user_to_mprj_in_buffers\[23\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[24\] ( ANTENNA_user_to_mprj_in_buffers\[24\]_A DIODE ) ( user_to_mprj_in_gates\[24\] Y ) ( user_to_mprj_in_buffers\[24\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[25\] ( ANTENNA_user_to_mprj_in_buffers\[25\]_A DIODE ) ( user_to_mprj_in_gates\[25\] Y ) ( user_to_mprj_in_buffers\[25\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[26\] ( ANTENNA_user_to_mprj_in_buffers\[26\]_A DIODE ) ( user_to_mprj_in_gates\[26\] Y ) ( user_to_mprj_in_buffers\[26\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[27\] ( ANTENNA_user_to_mprj_in_buffers\[27\]_A DIODE ) ( user_to_mprj_in_gates\[27\] Y ) ( user_to_mprj_in_buffers\[27\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[28\] ( ANTENNA_user_to_mprj_in_buffers\[28\]_A DIODE ) ( user_to_mprj_in_gates\[28\] Y ) ( user_to_mprj_in_buffers\[28\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[29\] ( ANTENNA_user_to_mprj_in_buffers\[29\]_A DIODE ) ( user_to_mprj_in_gates\[29\] Y ) ( user_to_mprj_in_buffers\[29\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[2\] ( ANTENNA_user_to_mprj_in_buffers\[2\]_A DIODE ) ( user_to_mprj_in_gates\[2\] Y ) ( user_to_mprj_in_buffers\[2\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[30\] ( ANTENNA_user_to_mprj_in_buffers\[30\]_A DIODE ) ( user_to_mprj_in_gates\[30\] Y ) ( user_to_mprj_in_buffers\[30\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[31\] ( ANTENNA_user_to_mprj_in_buffers\[31\]_A DIODE ) ( user_to_mprj_in_gates\[31\] Y ) ( user_to_mprj_in_buffers\[31\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[32\] ( ANTENNA_user_to_mprj_in_buffers\[32\]_A DIODE ) ( user_to_mprj_in_gates\[32\] Y ) ( user_to_mprj_in_buffers\[32\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[33\] ( ANTENNA_user_to_mprj_in_buffers\[33\]_A DIODE ) ( user_to_mprj_in_gates\[33\] Y ) ( user_to_mprj_in_buffers\[33\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[34\] ( ANTENNA_user_to_mprj_in_buffers\[34\]_A DIODE ) ( user_to_mprj_in_gates\[34\] Y ) ( user_to_mprj_in_buffers\[34\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[35\] ( ANTENNA_user_to_mprj_in_buffers\[35\]_A DIODE ) ( user_to_mprj_in_gates\[35\] Y ) ( user_to_mprj_in_buffers\[35\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[36\] ( ANTENNA_user_to_mprj_in_buffers\[36\]_A DIODE ) ( user_to_mprj_in_gates\[36\] Y ) ( user_to_mprj_in_buffers\[36\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[37\] ( ANTENNA_user_to_mprj_in_buffers\[37\]_A DIODE ) ( user_to_mprj_in_gates\[37\] Y ) ( user_to_mprj_in_buffers\[37\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[38\] ( ANTENNA_user_to_mprj_in_buffers\[38\]_A DIODE ) ( user_to_mprj_in_gates\[38\] Y ) ( user_to_mprj_in_buffers\[38\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[39\] ( ANTENNA_user_to_mprj_in_buffers\[39\]_A DIODE ) ( user_to_mprj_in_gates\[39\] Y ) ( user_to_mprj_in_buffers\[39\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[3\] ( ANTENNA_user_to_mprj_in_buffers\[3\]_A DIODE ) ( user_to_mprj_in_gates\[3\] Y ) ( user_to_mprj_in_buffers\[3\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[40\] ( ANTENNA_user_to_mprj_in_buffers\[40\]_A DIODE ) ( user_to_mprj_in_gates\[40\] Y ) ( user_to_mprj_in_buffers\[40\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[41\] ( ANTENNA_user_to_mprj_in_buffers\[41\]_A DIODE ) ( user_to_mprj_in_gates\[41\] Y ) ( user_to_mprj_in_buffers\[41\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[42\] ( ANTENNA_user_to_mprj_in_buffers\[42\]_A DIODE ) ( user_to_mprj_in_gates\[42\] Y ) ( user_to_mprj_in_buffers\[42\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[43\] ( ANTENNA_user_to_mprj_in_buffers\[43\]_A DIODE ) ( user_to_mprj_in_gates\[43\] Y ) ( user_to_mprj_in_buffers\[43\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[44\] ( ANTENNA_user_to_mprj_in_buffers\[44\]_A DIODE ) ( user_to_mprj_in_gates\[44\] Y ) ( user_to_mprj_in_buffers\[44\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[45\] ( ANTENNA_user_to_mprj_in_buffers\[45\]_A DIODE ) ( user_to_mprj_in_gates\[45\] Y ) ( user_to_mprj_in_buffers\[45\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[46\] ( ANTENNA_user_to_mprj_in_buffers\[46\]_A DIODE ) ( user_to_mprj_in_gates\[46\] Y ) ( user_to_mprj_in_buffers\[46\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[47\] ( ANTENNA_user_to_mprj_in_buffers\[47\]_A DIODE ) ( user_to_mprj_in_gates\[47\] Y ) ( user_to_mprj_in_buffers\[47\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[48\] ( ANTENNA_user_to_mprj_in_buffers\[48\]_A DIODE ) ( user_to_mprj_in_gates\[48\] Y ) ( user_to_mprj_in_buffers\[48\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[49\] ( ANTENNA_user_to_mprj_in_buffers\[49\]_A DIODE ) ( user_to_mprj_in_gates\[49\] Y ) ( user_to_mprj_in_buffers\[49\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[4\] ( ANTENNA_user_to_mprj_in_buffers\[4\]_A DIODE ) ( user_to_mprj_in_gates\[4\] Y ) ( user_to_mprj_in_buffers\[4\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[50\] ( ANTENNA_user_to_mprj_in_buffers\[50\]_A DIODE ) ( user_to_mprj_in_gates\[50\] Y ) ( user_to_mprj_in_buffers\[50\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[51\] ( ANTENNA_user_to_mprj_in_buffers\[51\]_A DIODE ) ( user_to_mprj_in_gates\[51\] Y ) ( user_to_mprj_in_buffers\[51\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[52\] ( ANTENNA_user_to_mprj_in_buffers\[52\]_A DIODE ) ( user_to_mprj_in_gates\[52\] Y ) ( user_to_mprj_in_buffers\[52\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[53\] ( ANTENNA_user_to_mprj_in_buffers\[53\]_A DIODE ) ( user_to_mprj_in_gates\[53\] Y ) ( user_to_mprj_in_buffers\[53\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[54\] ( ANTENNA_user_to_mprj_in_buffers\[54\]_A DIODE ) ( user_to_mprj_in_gates\[54\] Y ) ( user_to_mprj_in_buffers\[54\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[55\] ( ANTENNA_user_to_mprj_in_buffers\[55\]_A DIODE ) ( user_to_mprj_in_gates\[55\] Y ) ( user_to_mprj_in_buffers\[55\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[56\] ( ANTENNA_user_to_mprj_in_buffers\[56\]_A DIODE ) ( user_to_mprj_in_gates\[56\] Y ) ( user_to_mprj_in_buffers\[56\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[57\] ( ANTENNA_user_to_mprj_in_buffers\[57\]_A DIODE ) ( user_to_mprj_in_gates\[57\] Y ) ( user_to_mprj_in_buffers\[57\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[58\] ( ANTENNA_user_to_mprj_in_buffers\[58\]_A DIODE ) ( user_to_mprj_in_gates\[58\] Y ) ( user_to_mprj_in_buffers\[58\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[59\] ( ANTENNA_user_to_mprj_in_buffers\[59\]_A DIODE ) ( user_to_mprj_in_gates\[59\] Y ) ( user_to_mprj_in_buffers\[59\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[5\] ( ANTENNA_user_to_mprj_in_buffers\[5\]_A DIODE ) ( user_to_mprj_in_gates\[5\] Y ) ( user_to_mprj_in_buffers\[5\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[60\] ( ANTENNA_user_to_mprj_in_buffers\[60\]_A DIODE ) ( user_to_mprj_in_gates\[60\] Y ) ( user_to_mprj_in_buffers\[60\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[61\] ( ANTENNA_user_to_mprj_in_buffers\[61\]_A DIODE ) ( user_to_mprj_in_gates\[61\] Y ) ( user_to_mprj_in_buffers\[61\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[62\] ( ANTENNA_user_to_mprj_in_buffers\[62\]_A DIODE ) ( user_to_mprj_in_gates\[62\] Y ) ( user_to_mprj_in_buffers\[62\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[63\] ( ANTENNA_user_to_mprj_in_buffers\[63\]_A DIODE ) ( user_to_mprj_in_gates\[63\] Y ) ( user_to_mprj_in_buffers\[63\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[64\] ( ANTENNA_user_to_mprj_in_buffers\[64\]_A DIODE ) ( user_to_mprj_in_gates\[64\] Y ) ( user_to_mprj_in_buffers\[64\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[65\] ( ANTENNA_user_to_mprj_in_buffers\[65\]_A DIODE ) ( user_to_mprj_in_gates\[65\] Y ) ( user_to_mprj_in_buffers\[65\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[66\] ( ANTENNA_user_to_mprj_in_buffers\[66\]_A DIODE ) ( user_to_mprj_in_gates\[66\] Y ) ( user_to_mprj_in_buffers\[66\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[67\] ( ANTENNA_user_to_mprj_in_buffers\[67\]_A DIODE ) ( user_to_mprj_in_gates\[67\] Y ) ( user_to_mprj_in_buffers\[67\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[68\] ( ANTENNA_user_to_mprj_in_buffers\[68\]_A DIODE ) ( user_to_mprj_in_gates\[68\] Y ) ( user_to_mprj_in_buffers\[68\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[69\] ( ANTENNA_user_to_mprj_in_buffers\[69\]_A DIODE ) ( user_to_mprj_in_gates\[69\] Y ) ( user_to_mprj_in_buffers\[69\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[6\] ( ANTENNA_user_to_mprj_in_buffers\[6\]_A DIODE ) ( user_to_mprj_in_gates\[6\] Y ) ( user_to_mprj_in_buffers\[6\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[70\] ( ANTENNA_user_to_mprj_in_buffers\[70\]_A DIODE ) ( user_to_mprj_in_gates\[70\] Y ) ( user_to_mprj_in_buffers\[70\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[71\] ( ANTENNA_user_to_mprj_in_buffers\[71\]_A DIODE ) ( user_to_mprj_in_gates\[71\] Y ) ( user_to_mprj_in_buffers\[71\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[72\] ( ANTENNA_user_to_mprj_in_buffers\[72\]_A DIODE ) ( user_to_mprj_in_gates\[72\] Y ) ( user_to_mprj_in_buffers\[72\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[73\] ( ANTENNA_user_to_mprj_in_buffers\[73\]_A DIODE ) ( user_to_mprj_in_gates\[73\] Y ) ( user_to_mprj_in_buffers\[73\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[74\] ( ANTENNA_user_to_mprj_in_buffers\[74\]_A DIODE ) ( user_to_mprj_in_gates\[74\] Y ) ( user_to_mprj_in_buffers\[74\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[75\] ( ANTENNA_user_to_mprj_in_buffers\[75\]_A DIODE ) ( user_to_mprj_in_gates\[75\] Y ) ( user_to_mprj_in_buffers\[75\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[76\] ( ANTENNA_user_to_mprj_in_buffers\[76\]_A DIODE ) ( user_to_mprj_in_gates\[76\] Y ) ( user_to_mprj_in_buffers\[76\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[77\] ( ANTENNA_user_to_mprj_in_buffers\[77\]_A DIODE ) ( user_to_mprj_in_gates\[77\] Y ) ( user_to_mprj_in_buffers\[77\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[78\] ( ANTENNA_user_to_mprj_in_buffers\[78\]_A DIODE ) ( user_to_mprj_in_gates\[78\] Y ) ( user_to_mprj_in_buffers\[78\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[79\] ( ANTENNA_user_to_mprj_in_buffers\[79\]_A DIODE ) ( user_to_mprj_in_gates\[79\] Y ) ( user_to_mprj_in_buffers\[79\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[7\] ( ANTENNA_user_to_mprj_in_buffers\[7\]_A DIODE ) ( user_to_mprj_in_gates\[7\] Y ) ( user_to_mprj_in_buffers\[7\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[80\] ( ANTENNA_user_to_mprj_in_buffers\[80\]_A DIODE ) ( user_to_mprj_in_gates\[80\] Y ) ( user_to_mprj_in_buffers\[80\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[81\] ( ANTENNA_user_to_mprj_in_buffers\[81\]_A DIODE ) ( user_to_mprj_in_gates\[81\] Y ) ( user_to_mprj_in_buffers\[81\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[82\] ( ANTENNA_user_to_mprj_in_buffers\[82\]_A DIODE ) ( user_to_mprj_in_gates\[82\] Y ) ( user_to_mprj_in_buffers\[82\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[83\] ( ANTENNA_user_to_mprj_in_buffers\[83\]_A DIODE ) ( user_to_mprj_in_gates\[83\] Y ) ( user_to_mprj_in_buffers\[83\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[84\] ( ANTENNA_user_to_mprj_in_buffers\[84\]_A DIODE ) ( user_to_mprj_in_gates\[84\] Y ) ( user_to_mprj_in_buffers\[84\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[85\] ( ANTENNA_user_to_mprj_in_buffers\[85\]_A DIODE ) ( user_to_mprj_in_gates\[85\] Y ) ( user_to_mprj_in_buffers\[85\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[86\] ( ANTENNA_user_to_mprj_in_buffers\[86\]_A DIODE ) ( user_to_mprj_in_gates\[86\] Y ) ( user_to_mprj_in_buffers\[86\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[87\] ( ANTENNA_user_to_mprj_in_buffers\[87\]_A DIODE ) ( user_to_mprj_in_gates\[87\] Y ) ( user_to_mprj_in_buffers\[87\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[88\] ( ANTENNA_user_to_mprj_in_buffers\[88\]_A DIODE ) ( user_to_mprj_in_gates\[88\] Y ) ( user_to_mprj_in_buffers\[88\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[89\] ( ANTENNA_user_to_mprj_in_buffers\[89\]_A DIODE ) ( user_to_mprj_in_gates\[89\] Y ) ( user_to_mprj_in_buffers\[89\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[8\] ( ANTENNA_user_to_mprj_in_buffers\[8\]_A DIODE ) ( user_to_mprj_in_gates\[8\] Y ) ( user_to_mprj_in_buffers\[8\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[90\] ( ANTENNA_user_to_mprj_in_buffers\[90\]_A DIODE ) ( user_to_mprj_in_gates\[90\] Y ) ( user_to_mprj_in_buffers\[90\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[91\] ( ANTENNA_user_to_mprj_in_buffers\[91\]_A DIODE ) ( user_to_mprj_in_gates\[91\] Y ) ( user_to_mprj_in_buffers\[91\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[92\] ( ANTENNA_user_to_mprj_in_buffers\[92\]_A DIODE ) ( user_to_mprj_in_gates\[92\] Y ) ( user_to_mprj_in_buffers\[92\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[93\] ( ANTENNA_user_to_mprj_in_buffers\[93\]_A DIODE ) ( user_to_mprj_in_gates\[93\] Y ) ( user_to_mprj_in_buffers\[93\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[94\] ( ANTENNA_user_to_mprj_in_buffers\[94\]_A DIODE ) ( user_to_mprj_in_gates\[94\] Y ) ( user_to_mprj_in_buffers\[94\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[95\] ( ANTENNA_user_to_mprj_in_buffers\[95\]_A DIODE ) ( user_to_mprj_in_gates\[95\] Y ) ( user_to_mprj_in_buffers\[95\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[96\] ( ANTENNA_user_to_mprj_in_buffers\[96\]_A DIODE ) ( user_to_mprj_in_gates\[96\] Y ) ( user_to_mprj_in_buffers\[96\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[97\] ( ANTENNA_user_to_mprj_in_buffers\[97\]_A DIODE ) ( user_to_mprj_in_gates\[97\] Y ) ( user_to_mprj_in_buffers\[97\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[98\] ( ANTENNA_user_to_mprj_in_buffers\[98\]_A DIODE ) ( user_to_mprj_in_gates\[98\] Y ) ( user_to_mprj_in_buffers\[98\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[99\] ( ANTENNA_user_to_mprj_in_buffers\[99\]_A DIODE ) ( user_to_mprj_in_gates\[99\] Y ) ( user_to_mprj_in_buffers\[99\] A ) + USE SIGNAL ;
- la_data_in_mprj_bar\[9\] ( ANTENNA_user_to_mprj_in_buffers\[9\]_A DIODE ) ( user_to_mprj_in_gates\[9\] Y ) ( user_to_mprj_in_buffers\[9\] A ) + USE SIGNAL ;
- mprj2_vdd_logic1 ( ANTENNA_mprj2_pwrgood_A DIODE ) ( ANTENNA_mprj2_vdd_pwrgood_A DIODE ) ( powergood_check mprj2_vdd_logic1 ) ( mprj2_vdd_pwrgood A ) ( mprj2_pwrgood A ) + USE SIGNAL ;
- mprj_logic1\[0\] ( ANTENNA_mprj_rstn_buf_TE DIODE ) ( mprj_rstn_buf TE ) ( mprj_logic_high\[0\] HI ) + USE SIGNAL ;
- mprj_logic1\[100\] ( ANTENNA_la_buf\[26\]_TE DIODE ) ( mprj_logic_high\[100\] HI ) ( la_buf\[26\] TE ) + USE SIGNAL ;
- mprj_logic1\[101\] ( ANTENNA_la_buf\[27\]_TE DIODE ) ( mprj_logic_high\[101\] HI ) ( la_buf\[27\] TE ) + USE SIGNAL ;
- mprj_logic1\[102\] ( ANTENNA_la_buf\[28\]_TE DIODE ) ( mprj_logic_high\[102\] HI ) ( la_buf\[28\] TE ) + USE SIGNAL ;
- mprj_logic1\[103\] ( ANTENNA_la_buf\[29\]_TE DIODE ) ( mprj_logic_high\[103\] HI ) ( la_buf\[29\] TE ) + USE SIGNAL ;
- mprj_logic1\[104\] ( ANTENNA_la_buf\[30\]_TE DIODE ) ( mprj_logic_high\[104\] HI ) ( la_buf\[30\] TE ) + USE SIGNAL ;
- mprj_logic1\[105\] ( ANTENNA_la_buf\[31\]_TE DIODE ) ( mprj_logic_high\[105\] HI ) ( la_buf\[31\] TE ) + USE SIGNAL ;
- mprj_logic1\[106\] ( ANTENNA_la_buf\[32\]_TE DIODE ) ( mprj_logic_high\[106\] HI ) ( la_buf\[32\] TE ) + USE SIGNAL ;
- mprj_logic1\[107\] ( ANTENNA_la_buf\[33\]_TE DIODE ) ( mprj_logic_high\[107\] HI ) ( la_buf\[33\] TE ) + USE SIGNAL ;
- mprj_logic1\[108\] ( ANTENNA_la_buf\[34\]_TE DIODE ) ( mprj_logic_high\[108\] HI ) ( la_buf\[34\] TE ) + USE SIGNAL ;
- mprj_logic1\[109\] ( ANTENNA_la_buf\[35\]_TE DIODE ) ( mprj_logic_high\[109\] HI ) ( la_buf\[35\] TE ) + USE SIGNAL ;
- mprj_logic1\[10\] ( ANTENNA_mprj_adr_buf\[0\]_TE DIODE ) ( mprj_logic_high\[10\] HI ) ( mprj_adr_buf\[0\] TE ) + USE SIGNAL ;
- mprj_logic1\[110\] ( ANTENNA_la_buf\[36\]_TE DIODE ) ( mprj_logic_high\[110\] HI ) ( la_buf\[36\] TE ) + USE SIGNAL ;
- mprj_logic1\[111\] ( ANTENNA_la_buf\[37\]_TE DIODE ) ( mprj_logic_high\[111\] HI ) ( la_buf\[37\] TE ) + USE SIGNAL ;
- mprj_logic1\[112\] ( ANTENNA_la_buf\[38\]_TE DIODE ) ( mprj_logic_high\[112\] HI ) ( la_buf\[38\] TE ) + USE SIGNAL ;
- mprj_logic1\[113\] ( ANTENNA_la_buf\[39\]_TE DIODE ) ( mprj_logic_high\[113\] HI ) ( la_buf\[39\] TE ) + USE SIGNAL ;
- mprj_logic1\[114\] ( ANTENNA_la_buf\[40\]_TE DIODE ) ( mprj_logic_high\[114\] HI ) ( la_buf\[40\] TE ) + USE SIGNAL ;
- mprj_logic1\[115\] ( ANTENNA_la_buf\[41\]_TE DIODE ) ( mprj_logic_high\[115\] HI ) ( la_buf\[41\] TE ) + USE SIGNAL ;
- mprj_logic1\[116\] ( ANTENNA_la_buf\[42\]_TE DIODE ) ( mprj_logic_high\[116\] HI ) ( la_buf\[42\] TE ) + USE SIGNAL ;
- mprj_logic1\[117\] ( ANTENNA_la_buf\[43\]_TE DIODE ) ( mprj_logic_high\[117\] HI ) ( la_buf\[43\] TE ) + USE SIGNAL ;
- mprj_logic1\[118\] ( ANTENNA_la_buf\[44\]_TE DIODE ) ( mprj_logic_high\[118\] HI ) ( la_buf\[44\] TE ) + USE SIGNAL ;
- mprj_logic1\[119\] ( ANTENNA_la_buf\[45\]_TE DIODE ) ( mprj_logic_high\[119\] HI ) ( la_buf\[45\] TE ) + USE SIGNAL ;
- mprj_logic1\[11\] ( ANTENNA_mprj_adr_buf\[1\]_TE DIODE ) ( mprj_logic_high\[11\] HI ) ( mprj_adr_buf\[1\] TE ) + USE SIGNAL ;
- mprj_logic1\[120\] ( ANTENNA_la_buf\[46\]_TE DIODE ) ( mprj_logic_high\[120\] HI ) ( la_buf\[46\] TE ) + USE SIGNAL ;
- mprj_logic1\[121\] ( ANTENNA_la_buf\[47\]_TE DIODE ) ( mprj_logic_high\[121\] HI ) ( la_buf\[47\] TE ) + USE SIGNAL ;
- mprj_logic1\[122\] ( ANTENNA_la_buf\[48\]_TE DIODE ) ( mprj_logic_high\[122\] HI ) ( la_buf\[48\] TE ) + USE SIGNAL ;
- mprj_logic1\[123\] ( ANTENNA_la_buf\[49\]_TE DIODE ) ( mprj_logic_high\[123\] HI ) ( la_buf\[49\] TE ) + USE SIGNAL ;
- mprj_logic1\[124\] ( ANTENNA_la_buf\[50\]_TE DIODE ) ( mprj_logic_high\[124\] HI ) ( la_buf\[50\] TE ) + USE SIGNAL ;
- mprj_logic1\[125\] ( ANTENNA_la_buf\[51\]_TE DIODE ) ( mprj_logic_high\[125\] HI ) ( la_buf\[51\] TE ) + USE SIGNAL ;
- mprj_logic1\[126\] ( ANTENNA_la_buf\[52\]_TE DIODE ) ( mprj_logic_high\[126\] HI ) ( la_buf\[52\] TE ) + USE SIGNAL ;
- mprj_logic1\[127\] ( ANTENNA_la_buf\[53\]_TE DIODE ) ( mprj_logic_high\[127\] HI ) ( la_buf\[53\] TE ) + USE SIGNAL ;
- mprj_logic1\[128\] ( ANTENNA_la_buf\[54\]_TE DIODE ) ( mprj_logic_high\[128\] HI ) ( la_buf\[54\] TE ) + USE SIGNAL ;
- mprj_logic1\[129\] ( ANTENNA_la_buf\[55\]_TE DIODE ) ( mprj_logic_high\[129\] HI ) ( la_buf\[55\] TE ) + USE SIGNAL ;
- mprj_logic1\[12\] ( ANTENNA_mprj_adr_buf\[2\]_TE DIODE ) ( mprj_logic_high\[12\] HI ) ( mprj_adr_buf\[2\] TE ) + USE SIGNAL ;
- mprj_logic1\[130\] ( ANTENNA_la_buf\[56\]_TE DIODE ) ( mprj_logic_high\[130\] HI ) ( la_buf\[56\] TE ) + USE SIGNAL ;
- mprj_logic1\[131\] ( ANTENNA_la_buf\[57\]_TE DIODE ) ( mprj_logic_high\[131\] HI ) ( la_buf\[57\] TE ) + USE SIGNAL ;
- mprj_logic1\[132\] ( ANTENNA_la_buf\[58\]_TE DIODE ) ( mprj_logic_high\[132\] HI ) ( la_buf\[58\] TE ) + USE SIGNAL ;
- mprj_logic1\[133\] ( ANTENNA_la_buf\[59\]_TE DIODE ) ( mprj_logic_high\[133\] HI ) ( la_buf\[59\] TE ) + USE SIGNAL ;
- mprj_logic1\[134\] ( ANTENNA_la_buf\[60\]_TE DIODE ) ( mprj_logic_high\[134\] HI ) ( la_buf\[60\] TE ) + USE SIGNAL ;
- mprj_logic1\[135\] ( ANTENNA_la_buf\[61\]_TE DIODE ) ( mprj_logic_high\[135\] HI ) ( la_buf\[61\] TE ) + USE SIGNAL ;
- mprj_logic1\[136\] ( ANTENNA_la_buf\[62\]_TE DIODE ) ( mprj_logic_high\[136\] HI ) ( la_buf\[62\] TE ) + USE SIGNAL ;
- mprj_logic1\[137\] ( ANTENNA_la_buf\[63\]_TE DIODE ) ( mprj_logic_high\[137\] HI ) ( la_buf\[63\] TE ) + USE SIGNAL ;
- mprj_logic1\[138\] ( ANTENNA_la_buf\[64\]_TE DIODE ) ( mprj_logic_high\[138\] HI ) ( la_buf\[64\] TE ) + USE SIGNAL ;
- mprj_logic1\[139\] ( ANTENNA_la_buf\[65\]_TE DIODE ) ( mprj_logic_high\[139\] HI ) ( la_buf\[65\] TE ) + USE SIGNAL ;
- mprj_logic1\[13\] ( ANTENNA_mprj_adr_buf\[3\]_TE DIODE ) ( mprj_logic_high\[13\] HI ) ( mprj_adr_buf\[3\] TE ) + USE SIGNAL ;
- mprj_logic1\[140\] ( ANTENNA_la_buf\[66\]_TE DIODE ) ( mprj_logic_high\[140\] HI ) ( la_buf\[66\] TE ) + USE SIGNAL ;
- mprj_logic1\[141\] ( ANTENNA_la_buf\[67\]_TE DIODE ) ( mprj_logic_high\[141\] HI ) ( la_buf\[67\] TE ) + USE SIGNAL ;
- mprj_logic1\[142\] ( ANTENNA_la_buf\[68\]_TE DIODE ) ( mprj_logic_high\[142\] HI ) ( la_buf\[68\] TE ) + USE SIGNAL ;
- mprj_logic1\[143\] ( ANTENNA_la_buf\[69\]_TE DIODE ) ( mprj_logic_high\[143\] HI ) ( la_buf\[69\] TE ) + USE SIGNAL ;
- mprj_logic1\[144\] ( ANTENNA_la_buf\[70\]_TE DIODE ) ( mprj_logic_high\[144\] HI ) ( la_buf\[70\] TE ) + USE SIGNAL ;
- mprj_logic1\[145\] ( ANTENNA_la_buf\[71\]_TE DIODE ) ( mprj_logic_high\[145\] HI ) ( la_buf\[71\] TE ) + USE SIGNAL ;
- mprj_logic1\[146\] ( ANTENNA_la_buf\[72\]_TE DIODE ) ( mprj_logic_high\[146\] HI ) ( la_buf\[72\] TE ) + USE SIGNAL ;
- mprj_logic1\[147\] ( ANTENNA_la_buf\[73\]_TE DIODE ) ( mprj_logic_high\[147\] HI ) ( la_buf\[73\] TE ) + USE SIGNAL ;
- mprj_logic1\[148\] ( ANTENNA_la_buf\[74\]_TE DIODE ) ( mprj_logic_high\[148\] HI ) ( la_buf\[74\] TE ) + USE SIGNAL ;
- mprj_logic1\[149\] ( ANTENNA_la_buf\[75\]_TE DIODE ) ( mprj_logic_high\[149\] HI ) ( la_buf\[75\] TE ) + USE SIGNAL ;
- mprj_logic1\[14\] ( ANTENNA_mprj_adr_buf\[4\]_TE DIODE ) ( mprj_logic_high\[14\] HI ) ( mprj_adr_buf\[4\] TE ) + USE SIGNAL ;
- mprj_logic1\[150\] ( ANTENNA_la_buf\[76\]_TE DIODE ) ( mprj_logic_high\[150\] HI ) ( la_buf\[76\] TE ) + USE SIGNAL ;
- mprj_logic1\[151\] ( ANTENNA_la_buf\[77\]_TE DIODE ) ( mprj_logic_high\[151\] HI ) ( la_buf\[77\] TE ) + USE SIGNAL ;
- mprj_logic1\[152\] ( ANTENNA_la_buf\[78\]_TE DIODE ) ( mprj_logic_high\[152\] HI ) ( la_buf\[78\] TE ) + USE SIGNAL ;
- mprj_logic1\[153\] ( ANTENNA_la_buf\[79\]_TE DIODE ) ( mprj_logic_high\[153\] HI ) ( la_buf\[79\] TE ) + USE SIGNAL ;
- mprj_logic1\[154\] ( ANTENNA_la_buf\[80\]_TE DIODE ) ( mprj_logic_high\[154\] HI ) ( la_buf\[80\] TE ) + USE SIGNAL ;
- mprj_logic1\[155\] ( ANTENNA_la_buf\[81\]_TE DIODE ) ( mprj_logic_high\[155\] HI ) ( la_buf\[81\] TE ) + USE SIGNAL ;
- mprj_logic1\[156\] ( ANTENNA_la_buf\[82\]_TE DIODE ) ( mprj_logic_high\[156\] HI ) ( la_buf\[82\] TE ) + USE SIGNAL ;
- mprj_logic1\[157\] ( ANTENNA_la_buf\[83\]_TE DIODE ) ( mprj_logic_high\[157\] HI ) ( la_buf\[83\] TE ) + USE SIGNAL ;
- mprj_logic1\[158\] ( ANTENNA_la_buf\[84\]_TE DIODE ) ( mprj_logic_high\[158\] HI ) ( la_buf\[84\] TE ) + USE SIGNAL ;
- mprj_logic1\[159\] ( ANTENNA_la_buf\[85\]_TE DIODE ) ( mprj_logic_high\[159\] HI ) ( la_buf\[85\] TE ) + USE SIGNAL ;
- mprj_logic1\[15\] ( ANTENNA_mprj_adr_buf\[5\]_TE DIODE ) ( mprj_logic_high\[15\] HI ) ( mprj_adr_buf\[5\] TE ) + USE SIGNAL ;
- mprj_logic1\[160\] ( ANTENNA_la_buf\[86\]_TE DIODE ) ( mprj_logic_high\[160\] HI ) ( la_buf\[86\] TE ) + USE SIGNAL ;
- mprj_logic1\[161\] ( ANTENNA_la_buf\[87\]_TE DIODE ) ( mprj_logic_high\[161\] HI ) ( la_buf\[87\] TE ) + USE SIGNAL ;
- mprj_logic1\[162\] ( ANTENNA_la_buf\[88\]_TE DIODE ) ( mprj_logic_high\[162\] HI ) ( la_buf\[88\] TE ) + USE SIGNAL ;
- mprj_logic1\[163\] ( ANTENNA_la_buf\[89\]_TE DIODE ) ( mprj_logic_high\[163\] HI ) ( la_buf\[89\] TE ) + USE SIGNAL ;
- mprj_logic1\[164\] ( ANTENNA_la_buf\[90\]_TE DIODE ) ( mprj_logic_high\[164\] HI ) ( la_buf\[90\] TE ) + USE SIGNAL ;
- mprj_logic1\[165\] ( ANTENNA_la_buf\[91\]_TE DIODE ) ( mprj_logic_high\[165\] HI ) ( la_buf\[91\] TE ) + USE SIGNAL ;
- mprj_logic1\[166\] ( ANTENNA_la_buf\[92\]_TE DIODE ) ( mprj_logic_high\[166\] HI ) ( la_buf\[92\] TE ) + USE SIGNAL ;
- mprj_logic1\[167\] ( ANTENNA_la_buf\[93\]_TE DIODE ) ( mprj_logic_high\[167\] HI ) ( la_buf\[93\] TE ) + USE SIGNAL ;
- mprj_logic1\[168\] ( ANTENNA_la_buf\[94\]_TE DIODE ) ( mprj_logic_high\[168\] HI ) ( la_buf\[94\] TE ) + USE SIGNAL ;
- mprj_logic1\[169\] ( ANTENNA_la_buf\[95\]_TE DIODE ) ( mprj_logic_high\[169\] HI ) ( la_buf\[95\] TE ) + USE SIGNAL ;
- mprj_logic1\[16\] ( ANTENNA_mprj_adr_buf\[6\]_TE DIODE ) ( mprj_logic_high\[16\] HI ) ( mprj_adr_buf\[6\] TE ) + USE SIGNAL ;
- mprj_logic1\[170\] ( ANTENNA_la_buf\[96\]_TE DIODE ) ( mprj_logic_high\[170\] HI ) ( la_buf\[96\] TE ) + USE SIGNAL ;
- mprj_logic1\[171\] ( ANTENNA_la_buf\[97\]_TE DIODE ) ( mprj_logic_high\[171\] HI ) ( la_buf\[97\] TE ) + USE SIGNAL ;
- mprj_logic1\[172\] ( ANTENNA_la_buf\[98\]_TE DIODE ) ( mprj_logic_high\[172\] HI ) ( la_buf\[98\] TE ) + USE SIGNAL ;
- mprj_logic1\[173\] ( ANTENNA_la_buf\[99\]_TE DIODE ) ( mprj_logic_high\[173\] HI ) ( la_buf\[99\] TE ) + USE SIGNAL ;
- mprj_logic1\[174\] ( ANTENNA_la_buf\[100\]_TE DIODE ) ( mprj_logic_high\[174\] HI ) ( la_buf\[100\] TE ) + USE SIGNAL ;
- mprj_logic1\[175\] ( ANTENNA_la_buf\[101\]_TE DIODE ) ( mprj_logic_high\[175\] HI ) ( la_buf\[101\] TE ) + USE SIGNAL ;
- mprj_logic1\[176\] ( ANTENNA_la_buf\[102\]_TE DIODE ) ( mprj_logic_high\[176\] HI ) ( la_buf\[102\] TE ) + USE SIGNAL ;
- mprj_logic1\[177\] ( ANTENNA_la_buf\[103\]_TE DIODE ) ( mprj_logic_high\[177\] HI ) ( la_buf\[103\] TE ) + USE SIGNAL ;
- mprj_logic1\[178\] ( ANTENNA_la_buf\[104\]_TE DIODE ) ( mprj_logic_high\[178\] HI ) ( la_buf\[104\] TE ) + USE SIGNAL ;
- mprj_logic1\[179\] ( ANTENNA_la_buf\[105\]_TE DIODE ) ( mprj_logic_high\[179\] HI ) ( la_buf\[105\] TE ) + USE SIGNAL ;
- mprj_logic1\[17\] ( ANTENNA_mprj_adr_buf\[7\]_TE DIODE ) ( mprj_logic_high\[17\] HI ) ( mprj_adr_buf\[7\] TE ) + USE SIGNAL ;
- mprj_logic1\[180\] ( ANTENNA_la_buf\[106\]_TE DIODE ) ( mprj_logic_high\[180\] HI ) ( la_buf\[106\] TE ) + USE SIGNAL ;
- mprj_logic1\[181\] ( ANTENNA_la_buf\[107\]_TE DIODE ) ( mprj_logic_high\[181\] HI ) ( la_buf\[107\] TE ) + USE SIGNAL ;
- mprj_logic1\[182\] ( ANTENNA_la_buf\[108\]_TE DIODE ) ( mprj_logic_high\[182\] HI ) ( la_buf\[108\] TE ) + USE SIGNAL ;
- mprj_logic1\[183\] ( ANTENNA_la_buf\[109\]_TE DIODE ) ( mprj_logic_high\[183\] HI ) ( la_buf\[109\] TE ) + USE SIGNAL ;
- mprj_logic1\[184\] ( ANTENNA_la_buf\[110\]_TE DIODE ) ( mprj_logic_high\[184\] HI ) ( la_buf\[110\] TE ) + USE SIGNAL ;
- mprj_logic1\[185\] ( ANTENNA_la_buf\[111\]_TE DIODE ) ( mprj_logic_high\[185\] HI ) ( la_buf\[111\] TE ) + USE SIGNAL ;
- mprj_logic1\[186\] ( ANTENNA_la_buf\[112\]_TE DIODE ) ( mprj_logic_high\[186\] HI ) ( la_buf\[112\] TE ) + USE SIGNAL ;
- mprj_logic1\[187\] ( ANTENNA_la_buf\[113\]_TE DIODE ) ( mprj_logic_high\[187\] HI ) ( la_buf\[113\] TE ) + USE SIGNAL ;
- mprj_logic1\[188\] ( ANTENNA_la_buf\[114\]_TE DIODE ) ( mprj_logic_high\[188\] HI ) ( la_buf\[114\] TE ) + USE SIGNAL ;
- mprj_logic1\[189\] ( ANTENNA_la_buf\[115\]_TE DIODE ) ( mprj_logic_high\[189\] HI ) ( la_buf\[115\] TE ) + USE SIGNAL ;
- mprj_logic1\[18\] ( ANTENNA_mprj_adr_buf\[8\]_TE DIODE ) ( mprj_logic_high\[18\] HI ) ( mprj_adr_buf\[8\] TE ) + USE SIGNAL ;
- mprj_logic1\[190\] ( ANTENNA_la_buf\[116\]_TE DIODE ) ( mprj_logic_high\[190\] HI ) ( la_buf\[116\] TE ) + USE SIGNAL ;
- mprj_logic1\[191\] ( ANTENNA_la_buf\[117\]_TE DIODE ) ( mprj_logic_high\[191\] HI ) ( la_buf\[117\] TE ) + USE SIGNAL ;
- mprj_logic1\[192\] ( ANTENNA_la_buf\[118\]_TE DIODE ) ( mprj_logic_high\[192\] HI ) ( la_buf\[118\] TE ) + USE SIGNAL ;
- mprj_logic1\[193\] ( ANTENNA_la_buf\[119\]_TE DIODE ) ( mprj_logic_high\[193\] HI ) ( la_buf\[119\] TE ) + USE SIGNAL ;
- mprj_logic1\[194\] ( ANTENNA_la_buf\[120\]_TE DIODE ) ( mprj_logic_high\[194\] HI ) ( la_buf\[120\] TE ) + USE SIGNAL ;
- mprj_logic1\[195\] ( ANTENNA_la_buf\[121\]_TE DIODE ) ( mprj_logic_high\[195\] HI ) ( la_buf\[121\] TE ) + USE SIGNAL ;
- mprj_logic1\[196\] ( ANTENNA_la_buf\[122\]_TE DIODE ) ( mprj_logic_high\[196\] HI ) ( la_buf\[122\] TE ) + USE SIGNAL ;
- mprj_logic1\[197\] ( ANTENNA_la_buf\[123\]_TE DIODE ) ( mprj_logic_high\[197\] HI ) ( la_buf\[123\] TE ) + USE SIGNAL ;
- mprj_logic1\[198\] ( ANTENNA_la_buf\[124\]_TE DIODE ) ( mprj_logic_high\[198\] HI ) ( la_buf\[124\] TE ) + USE SIGNAL ;
- mprj_logic1\[199\] ( ANTENNA_la_buf\[125\]_TE DIODE ) ( mprj_logic_high\[199\] HI ) ( la_buf\[125\] TE ) + USE SIGNAL ;
- mprj_logic1\[19\] ( ANTENNA_mprj_adr_buf\[9\]_TE DIODE ) ( mprj_logic_high\[19\] HI ) ( mprj_adr_buf\[9\] TE ) + USE SIGNAL ;
- mprj_logic1\[1\] ( ANTENNA_mprj_clk_buf_TE DIODE ) ( mprj_logic_high\[1\] HI ) ( mprj_clk_buf TE ) + USE SIGNAL ;
- mprj_logic1\[200\] ( ANTENNA_la_buf\[126\]_TE DIODE ) ( mprj_logic_high\[200\] HI ) ( la_buf\[126\] TE ) + USE SIGNAL ;
- mprj_logic1\[201\] ( ANTENNA_la_buf\[127\]_TE DIODE ) ( mprj_logic_high\[201\] HI ) ( la_buf\[127\] TE ) + USE SIGNAL ;
- mprj_logic1\[202\] ( ANTENNA_user_to_mprj_oen_buffers\[0\]_TE DIODE ) ( user_to_mprj_oen_buffers\[0\] TE ) ( mprj_logic_high\[202\] HI ) + USE SIGNAL ;
- mprj_logic1\[203\] ( ANTENNA_user_to_mprj_oen_buffers\[1\]_TE DIODE ) ( user_to_mprj_oen_buffers\[1\] TE ) ( mprj_logic_high\[203\] HI ) + USE SIGNAL ;
- mprj_logic1\[204\] ( ANTENNA_user_to_mprj_oen_buffers\[2\]_TE DIODE ) ( user_to_mprj_oen_buffers\[2\] TE ) ( mprj_logic_high\[204\] HI ) + USE SIGNAL ;
- mprj_logic1\[205\] ( ANTENNA_user_to_mprj_oen_buffers\[3\]_TE DIODE ) ( user_to_mprj_oen_buffers\[3\] TE ) ( mprj_logic_high\[205\] HI ) + USE SIGNAL ;
- mprj_logic1\[206\] ( ANTENNA_user_to_mprj_oen_buffers\[4\]_TE DIODE ) ( user_to_mprj_oen_buffers\[4\] TE ) ( mprj_logic_high\[206\] HI ) + USE SIGNAL ;
- mprj_logic1\[207\] ( ANTENNA_user_to_mprj_oen_buffers\[5\]_TE DIODE ) ( user_to_mprj_oen_buffers\[5\] TE ) ( mprj_logic_high\[207\] HI ) + USE SIGNAL ;
- mprj_logic1\[208\] ( ANTENNA_user_to_mprj_oen_buffers\[6\]_TE DIODE ) ( user_to_mprj_oen_buffers\[6\] TE ) ( mprj_logic_high\[208\] HI ) + USE SIGNAL ;
- mprj_logic1\[209\] ( ANTENNA_user_to_mprj_oen_buffers\[7\]_TE DIODE ) ( user_to_mprj_oen_buffers\[7\] TE ) ( mprj_logic_high\[209\] HI ) + USE SIGNAL ;
- mprj_logic1\[20\] ( ANTENNA_mprj_adr_buf\[10\]_TE DIODE ) ( mprj_logic_high\[20\] HI ) ( mprj_adr_buf\[10\] TE ) + USE SIGNAL ;
- mprj_logic1\[210\] ( ANTENNA_user_to_mprj_oen_buffers\[8\]_TE DIODE ) ( user_to_mprj_oen_buffers\[8\] TE ) ( mprj_logic_high\[210\] HI ) + USE SIGNAL ;
- mprj_logic1\[211\] ( ANTENNA_user_to_mprj_oen_buffers\[9\]_TE DIODE ) ( user_to_mprj_oen_buffers\[9\] TE ) ( mprj_logic_high\[211\] HI ) + USE SIGNAL ;
- mprj_logic1\[212\] ( ANTENNA_user_to_mprj_oen_buffers\[10\]_TE DIODE ) ( user_to_mprj_oen_buffers\[10\] TE ) ( mprj_logic_high\[212\] HI ) + USE SIGNAL ;
- mprj_logic1\[213\] ( ANTENNA_user_to_mprj_oen_buffers\[11\]_TE DIODE ) ( user_to_mprj_oen_buffers\[11\] TE ) ( mprj_logic_high\[213\] HI ) + USE SIGNAL ;
- mprj_logic1\[214\] ( ANTENNA_user_to_mprj_oen_buffers\[12\]_TE DIODE ) ( user_to_mprj_oen_buffers\[12\] TE ) ( mprj_logic_high\[214\] HI ) + USE SIGNAL ;
- mprj_logic1\[215\] ( ANTENNA_user_to_mprj_oen_buffers\[13\]_TE DIODE ) ( user_to_mprj_oen_buffers\[13\] TE ) ( mprj_logic_high\[215\] HI ) + USE SIGNAL ;
- mprj_logic1\[216\] ( ANTENNA_user_to_mprj_oen_buffers\[14\]_TE DIODE ) ( user_to_mprj_oen_buffers\[14\] TE ) ( mprj_logic_high\[216\] HI ) + USE SIGNAL ;
- mprj_logic1\[217\] ( ANTENNA_user_to_mprj_oen_buffers\[15\]_TE DIODE ) ( user_to_mprj_oen_buffers\[15\] TE ) ( mprj_logic_high\[217\] HI ) + USE SIGNAL ;
- mprj_logic1\[218\] ( ANTENNA_user_to_mprj_oen_buffers\[16\]_TE DIODE ) ( user_to_mprj_oen_buffers\[16\] TE ) ( mprj_logic_high\[218\] HI ) + USE SIGNAL ;
- mprj_logic1\[219\] ( ANTENNA_user_to_mprj_oen_buffers\[17\]_TE DIODE ) ( user_to_mprj_oen_buffers\[17\] TE ) ( mprj_logic_high\[219\] HI ) + USE SIGNAL ;
- mprj_logic1\[21\] ( ANTENNA_mprj_adr_buf\[11\]_TE DIODE ) ( mprj_logic_high\[21\] HI ) ( mprj_adr_buf\[11\] TE ) + USE SIGNAL ;
- mprj_logic1\[220\] ( ANTENNA_user_to_mprj_oen_buffers\[18\]_TE DIODE ) ( user_to_mprj_oen_buffers\[18\] TE ) ( mprj_logic_high\[220\] HI ) + USE SIGNAL ;
- mprj_logic1\[221\] ( ANTENNA_user_to_mprj_oen_buffers\[19\]_TE DIODE ) ( user_to_mprj_oen_buffers\[19\] TE ) ( mprj_logic_high\[221\] HI ) + USE SIGNAL ;
- mprj_logic1\[222\] ( ANTENNA_user_to_mprj_oen_buffers\[20\]_TE DIODE ) ( user_to_mprj_oen_buffers\[20\] TE ) ( mprj_logic_high\[222\] HI ) + USE SIGNAL ;
- mprj_logic1\[223\] ( ANTENNA_user_to_mprj_oen_buffers\[21\]_TE DIODE ) ( user_to_mprj_oen_buffers\[21\] TE ) ( mprj_logic_high\[223\] HI ) + USE SIGNAL ;
- mprj_logic1\[224\] ( ANTENNA_user_to_mprj_oen_buffers\[22\]_TE DIODE ) ( user_to_mprj_oen_buffers\[22\] TE ) ( mprj_logic_high\[224\] HI ) + USE SIGNAL ;
- mprj_logic1\[225\] ( ANTENNA_user_to_mprj_oen_buffers\[23\]_TE DIODE ) ( user_to_mprj_oen_buffers\[23\] TE ) ( mprj_logic_high\[225\] HI ) + USE SIGNAL ;
- mprj_logic1\[226\] ( ANTENNA_user_to_mprj_oen_buffers\[24\]_TE DIODE ) ( user_to_mprj_oen_buffers\[24\] TE ) ( mprj_logic_high\[226\] HI ) + USE SIGNAL ;
- mprj_logic1\[227\] ( ANTENNA_user_to_mprj_oen_buffers\[25\]_TE DIODE ) ( user_to_mprj_oen_buffers\[25\] TE ) ( mprj_logic_high\[227\] HI ) + USE SIGNAL ;
- mprj_logic1\[228\] ( ANTENNA_user_to_mprj_oen_buffers\[26\]_TE DIODE ) ( user_to_mprj_oen_buffers\[26\] TE ) ( mprj_logic_high\[228\] HI ) + USE SIGNAL ;
- mprj_logic1\[229\] ( ANTENNA_user_to_mprj_oen_buffers\[27\]_TE DIODE ) ( user_to_mprj_oen_buffers\[27\] TE ) ( mprj_logic_high\[229\] HI ) + USE SIGNAL ;
- mprj_logic1\[22\] ( ANTENNA_mprj_adr_buf\[12\]_TE DIODE ) ( mprj_logic_high\[22\] HI ) ( mprj_adr_buf\[12\] TE ) + USE SIGNAL ;
- mprj_logic1\[230\] ( ANTENNA_user_to_mprj_oen_buffers\[28\]_TE DIODE ) ( user_to_mprj_oen_buffers\[28\] TE ) ( mprj_logic_high\[230\] HI ) + USE SIGNAL ;
- mprj_logic1\[231\] ( ANTENNA_user_to_mprj_oen_buffers\[29\]_TE DIODE ) ( user_to_mprj_oen_buffers\[29\] TE ) ( mprj_logic_high\[231\] HI ) + USE SIGNAL ;
- mprj_logic1\[232\] ( ANTENNA_user_to_mprj_oen_buffers\[30\]_TE DIODE ) ( user_to_mprj_oen_buffers\[30\] TE ) ( mprj_logic_high\[232\] HI ) + USE SIGNAL ;
- mprj_logic1\[233\] ( ANTENNA_user_to_mprj_oen_buffers\[31\]_TE DIODE ) ( user_to_mprj_oen_buffers\[31\] TE ) ( mprj_logic_high\[233\] HI ) + USE SIGNAL ;
- mprj_logic1\[234\] ( ANTENNA_user_to_mprj_oen_buffers\[32\]_TE DIODE ) ( user_to_mprj_oen_buffers\[32\] TE ) ( mprj_logic_high\[234\] HI ) + USE SIGNAL ;
- mprj_logic1\[235\] ( ANTENNA_user_to_mprj_oen_buffers\[33\]_TE DIODE ) ( user_to_mprj_oen_buffers\[33\] TE ) ( mprj_logic_high\[235\] HI ) + USE SIGNAL ;
- mprj_logic1\[236\] ( ANTENNA_user_to_mprj_oen_buffers\[34\]_TE DIODE ) ( user_to_mprj_oen_buffers\[34\] TE ) ( mprj_logic_high\[236\] HI ) + USE SIGNAL ;
- mprj_logic1\[237\] ( ANTENNA_user_to_mprj_oen_buffers\[35\]_TE DIODE ) ( user_to_mprj_oen_buffers\[35\] TE ) ( mprj_logic_high\[237\] HI ) + USE SIGNAL ;
- mprj_logic1\[238\] ( ANTENNA_user_to_mprj_oen_buffers\[36\]_TE DIODE ) ( user_to_mprj_oen_buffers\[36\] TE ) ( mprj_logic_high\[238\] HI ) + USE SIGNAL ;
- mprj_logic1\[239\] ( ANTENNA_user_to_mprj_oen_buffers\[37\]_TE DIODE ) ( user_to_mprj_oen_buffers\[37\] TE ) ( mprj_logic_high\[239\] HI ) + USE SIGNAL ;
- mprj_logic1\[23\] ( ANTENNA_mprj_adr_buf\[13\]_TE DIODE ) ( mprj_logic_high\[23\] HI ) ( mprj_adr_buf\[13\] TE ) + USE SIGNAL ;
- mprj_logic1\[240\] ( ANTENNA_user_to_mprj_oen_buffers\[38\]_TE DIODE ) ( user_to_mprj_oen_buffers\[38\] TE ) ( mprj_logic_high\[240\] HI ) + USE SIGNAL ;
- mprj_logic1\[241\] ( ANTENNA_user_to_mprj_oen_buffers\[39\]_TE DIODE ) ( user_to_mprj_oen_buffers\[39\] TE ) ( mprj_logic_high\[241\] HI ) + USE SIGNAL ;
- mprj_logic1\[242\] ( ANTENNA_user_to_mprj_oen_buffers\[40\]_TE DIODE ) ( user_to_mprj_oen_buffers\[40\] TE ) ( mprj_logic_high\[242\] HI ) + USE SIGNAL ;
- mprj_logic1\[243\] ( ANTENNA_user_to_mprj_oen_buffers\[41\]_TE DIODE ) ( user_to_mprj_oen_buffers\[41\] TE ) ( mprj_logic_high\[243\] HI ) + USE SIGNAL ;
- mprj_logic1\[244\] ( ANTENNA_user_to_mprj_oen_buffers\[42\]_TE DIODE ) ( user_to_mprj_oen_buffers\[42\] TE ) ( mprj_logic_high\[244\] HI ) + USE SIGNAL ;
- mprj_logic1\[245\] ( ANTENNA_user_to_mprj_oen_buffers\[43\]_TE DIODE ) ( user_to_mprj_oen_buffers\[43\] TE ) ( mprj_logic_high\[245\] HI ) + USE SIGNAL ;
- mprj_logic1\[246\] ( ANTENNA_user_to_mprj_oen_buffers\[44\]_TE DIODE ) ( user_to_mprj_oen_buffers\[44\] TE ) ( mprj_logic_high\[246\] HI ) + USE SIGNAL ;
- mprj_logic1\[247\] ( ANTENNA_user_to_mprj_oen_buffers\[45\]_TE DIODE ) ( user_to_mprj_oen_buffers\[45\] TE ) ( mprj_logic_high\[247\] HI ) + USE SIGNAL ;
- mprj_logic1\[248\] ( ANTENNA_user_to_mprj_oen_buffers\[46\]_TE DIODE ) ( user_to_mprj_oen_buffers\[46\] TE ) ( mprj_logic_high\[248\] HI ) + USE SIGNAL ;
- mprj_logic1\[249\] ( ANTENNA_user_to_mprj_oen_buffers\[47\]_TE DIODE ) ( user_to_mprj_oen_buffers\[47\] TE ) ( mprj_logic_high\[249\] HI ) + USE SIGNAL ;
- mprj_logic1\[24\] ( ANTENNA_mprj_adr_buf\[14\]_TE DIODE ) ( mprj_logic_high\[24\] HI ) ( mprj_adr_buf\[14\] TE ) + USE SIGNAL ;
- mprj_logic1\[250\] ( ANTENNA_user_to_mprj_oen_buffers\[48\]_TE DIODE ) ( user_to_mprj_oen_buffers\[48\] TE ) ( mprj_logic_high\[250\] HI ) + USE SIGNAL ;
- mprj_logic1\[251\] ( ANTENNA_user_to_mprj_oen_buffers\[49\]_TE DIODE ) ( user_to_mprj_oen_buffers\[49\] TE ) ( mprj_logic_high\[251\] HI ) + USE SIGNAL ;
- mprj_logic1\[252\] ( ANTENNA_user_to_mprj_oen_buffers\[50\]_TE DIODE ) ( user_to_mprj_oen_buffers\[50\] TE ) ( mprj_logic_high\[252\] HI ) + USE SIGNAL ;
- mprj_logic1\[253\] ( ANTENNA_user_to_mprj_oen_buffers\[51\]_TE DIODE ) ( user_to_mprj_oen_buffers\[51\] TE ) ( mprj_logic_high\[253\] HI ) + USE SIGNAL ;
- mprj_logic1\[254\] ( ANTENNA_user_to_mprj_oen_buffers\[52\]_TE DIODE ) ( user_to_mprj_oen_buffers\[52\] TE ) ( mprj_logic_high\[254\] HI ) + USE SIGNAL ;
- mprj_logic1\[255\] ( ANTENNA_user_to_mprj_oen_buffers\[53\]_TE DIODE ) ( user_to_mprj_oen_buffers\[53\] TE ) ( mprj_logic_high\[255\] HI ) + USE SIGNAL ;
- mprj_logic1\[256\] ( ANTENNA_user_to_mprj_oen_buffers\[54\]_TE DIODE ) ( user_to_mprj_oen_buffers\[54\] TE ) ( mprj_logic_high\[256\] HI ) + USE SIGNAL ;
- mprj_logic1\[257\] ( ANTENNA_user_to_mprj_oen_buffers\[55\]_TE DIODE ) ( user_to_mprj_oen_buffers\[55\] TE ) ( mprj_logic_high\[257\] HI ) + USE SIGNAL ;
- mprj_logic1\[258\] ( ANTENNA_user_to_mprj_oen_buffers\[56\]_TE DIODE ) ( user_to_mprj_oen_buffers\[56\] TE ) ( mprj_logic_high\[258\] HI ) + USE SIGNAL ;
- mprj_logic1\[259\] ( ANTENNA_user_to_mprj_oen_buffers\[57\]_TE DIODE ) ( user_to_mprj_oen_buffers\[57\] TE ) ( mprj_logic_high\[259\] HI ) + USE SIGNAL ;
- mprj_logic1\[25\] ( ANTENNA_mprj_adr_buf\[15\]_TE DIODE ) ( mprj_logic_high\[25\] HI ) ( mprj_adr_buf\[15\] TE ) + USE SIGNAL ;
- mprj_logic1\[260\] ( ANTENNA_user_to_mprj_oen_buffers\[58\]_TE DIODE ) ( user_to_mprj_oen_buffers\[58\] TE ) ( mprj_logic_high\[260\] HI ) + USE SIGNAL ;
- mprj_logic1\[261\] ( ANTENNA_user_to_mprj_oen_buffers\[59\]_TE DIODE ) ( user_to_mprj_oen_buffers\[59\] TE ) ( mprj_logic_high\[261\] HI ) + USE SIGNAL ;
- mprj_logic1\[262\] ( ANTENNA_user_to_mprj_oen_buffers\[60\]_TE DIODE ) ( user_to_mprj_oen_buffers\[60\] TE ) ( mprj_logic_high\[262\] HI ) + USE SIGNAL ;
- mprj_logic1\[263\] ( ANTENNA_user_to_mprj_oen_buffers\[61\]_TE DIODE ) ( user_to_mprj_oen_buffers\[61\] TE ) ( mprj_logic_high\[263\] HI ) + USE SIGNAL ;
- mprj_logic1\[264\] ( ANTENNA_user_to_mprj_oen_buffers\[62\]_TE DIODE ) ( user_to_mprj_oen_buffers\[62\] TE ) ( mprj_logic_high\[264\] HI ) + USE SIGNAL ;
- mprj_logic1\[265\] ( ANTENNA_user_to_mprj_oen_buffers\[63\]_TE DIODE ) ( user_to_mprj_oen_buffers\[63\] TE ) ( mprj_logic_high\[265\] HI ) + USE SIGNAL ;
- mprj_logic1\[266\] ( ANTENNA_user_to_mprj_oen_buffers\[64\]_TE DIODE ) ( user_to_mprj_oen_buffers\[64\] TE ) ( mprj_logic_high\[266\] HI ) + USE SIGNAL ;
- mprj_logic1\[267\] ( ANTENNA_user_to_mprj_oen_buffers\[65\]_TE DIODE ) ( user_to_mprj_oen_buffers\[65\] TE ) ( mprj_logic_high\[267\] HI ) + USE SIGNAL ;
- mprj_logic1\[268\] ( ANTENNA_user_to_mprj_oen_buffers\[66\]_TE DIODE ) ( user_to_mprj_oen_buffers\[66\] TE ) ( mprj_logic_high\[268\] HI ) + USE SIGNAL ;
- mprj_logic1\[269\] ( ANTENNA_user_to_mprj_oen_buffers\[67\]_TE DIODE ) ( user_to_mprj_oen_buffers\[67\] TE ) ( mprj_logic_high\[269\] HI ) + USE SIGNAL ;
- mprj_logic1\[26\] ( ANTENNA_mprj_adr_buf\[16\]_TE DIODE ) ( mprj_logic_high\[26\] HI ) ( mprj_adr_buf\[16\] TE ) + USE SIGNAL ;
- mprj_logic1\[270\] ( ANTENNA_user_to_mprj_oen_buffers\[68\]_TE DIODE ) ( user_to_mprj_oen_buffers\[68\] TE ) ( mprj_logic_high\[270\] HI ) + USE SIGNAL ;
- mprj_logic1\[271\] ( ANTENNA_user_to_mprj_oen_buffers\[69\]_TE DIODE ) ( user_to_mprj_oen_buffers\[69\] TE ) ( mprj_logic_high\[271\] HI ) + USE SIGNAL ;
- mprj_logic1\[272\] ( ANTENNA_user_to_mprj_oen_buffers\[70\]_TE DIODE ) ( user_to_mprj_oen_buffers\[70\] TE ) ( mprj_logic_high\[272\] HI ) + USE SIGNAL ;
- mprj_logic1\[273\] ( ANTENNA_user_to_mprj_oen_buffers\[71\]_TE DIODE ) ( user_to_mprj_oen_buffers\[71\] TE ) ( mprj_logic_high\[273\] HI ) + USE SIGNAL ;
- mprj_logic1\[274\] ( ANTENNA_user_to_mprj_oen_buffers\[72\]_TE DIODE ) ( user_to_mprj_oen_buffers\[72\] TE ) ( mprj_logic_high\[274\] HI ) + USE SIGNAL ;
- mprj_logic1\[275\] ( ANTENNA_user_to_mprj_oen_buffers\[73\]_TE DIODE ) ( user_to_mprj_oen_buffers\[73\] TE ) ( mprj_logic_high\[275\] HI ) + USE SIGNAL ;
- mprj_logic1\[276\] ( ANTENNA_user_to_mprj_oen_buffers\[74\]_TE DIODE ) ( user_to_mprj_oen_buffers\[74\] TE ) ( mprj_logic_high\[276\] HI ) + USE SIGNAL ;
- mprj_logic1\[277\] ( ANTENNA_user_to_mprj_oen_buffers\[75\]_TE DIODE ) ( user_to_mprj_oen_buffers\[75\] TE ) ( mprj_logic_high\[277\] HI ) + USE SIGNAL ;
- mprj_logic1\[278\] ( ANTENNA_user_to_mprj_oen_buffers\[76\]_TE DIODE ) ( user_to_mprj_oen_buffers\[76\] TE ) ( mprj_logic_high\[278\] HI ) + USE SIGNAL ;
- mprj_logic1\[279\] ( ANTENNA_user_to_mprj_oen_buffers\[77\]_TE DIODE ) ( user_to_mprj_oen_buffers\[77\] TE ) ( mprj_logic_high\[279\] HI ) + USE SIGNAL ;
- mprj_logic1\[27\] ( ANTENNA_mprj_adr_buf\[17\]_TE DIODE ) ( mprj_logic_high\[27\] HI ) ( mprj_adr_buf\[17\] TE ) + USE SIGNAL ;
- mprj_logic1\[280\] ( ANTENNA_user_to_mprj_oen_buffers\[78\]_TE DIODE ) ( user_to_mprj_oen_buffers\[78\] TE ) ( mprj_logic_high\[280\] HI ) + USE SIGNAL ;
- mprj_logic1\[281\] ( ANTENNA_user_to_mprj_oen_buffers\[79\]_TE DIODE ) ( user_to_mprj_oen_buffers\[79\] TE ) ( mprj_logic_high\[281\] HI ) + USE SIGNAL ;
- mprj_logic1\[282\] ( ANTENNA_user_to_mprj_oen_buffers\[80\]_TE DIODE ) ( user_to_mprj_oen_buffers\[80\] TE ) ( mprj_logic_high\[282\] HI ) + USE SIGNAL ;
- mprj_logic1\[283\] ( ANTENNA_user_to_mprj_oen_buffers\[81\]_TE DIODE ) ( user_to_mprj_oen_buffers\[81\] TE ) ( mprj_logic_high\[283\] HI ) + USE SIGNAL ;
- mprj_logic1\[284\] ( ANTENNA_user_to_mprj_oen_buffers\[82\]_TE DIODE ) ( user_to_mprj_oen_buffers\[82\] TE ) ( mprj_logic_high\[284\] HI ) + USE SIGNAL ;
- mprj_logic1\[285\] ( ANTENNA_user_to_mprj_oen_buffers\[83\]_TE DIODE ) ( user_to_mprj_oen_buffers\[83\] TE ) ( mprj_logic_high\[285\] HI ) + USE SIGNAL ;
- mprj_logic1\[286\] ( ANTENNA_user_to_mprj_oen_buffers\[84\]_TE DIODE ) ( user_to_mprj_oen_buffers\[84\] TE ) ( mprj_logic_high\[286\] HI ) + USE SIGNAL ;
- mprj_logic1\[287\] ( ANTENNA_user_to_mprj_oen_buffers\[85\]_TE DIODE ) ( user_to_mprj_oen_buffers\[85\] TE ) ( mprj_logic_high\[287\] HI ) + USE SIGNAL ;
- mprj_logic1\[288\] ( ANTENNA_user_to_mprj_oen_buffers\[86\]_TE DIODE ) ( user_to_mprj_oen_buffers\[86\] TE ) ( mprj_logic_high\[288\] HI ) + USE SIGNAL ;
- mprj_logic1\[289\] ( ANTENNA_user_to_mprj_oen_buffers\[87\]_TE DIODE ) ( user_to_mprj_oen_buffers\[87\] TE ) ( mprj_logic_high\[289\] HI ) + USE SIGNAL ;
- mprj_logic1\[28\] ( ANTENNA_mprj_adr_buf\[18\]_TE DIODE ) ( mprj_logic_high\[28\] HI ) ( mprj_adr_buf\[18\] TE ) + USE SIGNAL ;
- mprj_logic1\[290\] ( ANTENNA_user_to_mprj_oen_buffers\[88\]_TE DIODE ) ( user_to_mprj_oen_buffers\[88\] TE ) ( mprj_logic_high\[290\] HI ) + USE SIGNAL ;
- mprj_logic1\[291\] ( ANTENNA_user_to_mprj_oen_buffers\[89\]_TE DIODE ) ( user_to_mprj_oen_buffers\[89\] TE ) ( mprj_logic_high\[291\] HI ) + USE SIGNAL ;
- mprj_logic1\[292\] ( ANTENNA_user_to_mprj_oen_buffers\[90\]_TE DIODE ) ( user_to_mprj_oen_buffers\[90\] TE ) ( mprj_logic_high\[292\] HI ) + USE SIGNAL ;
- mprj_logic1\[293\] ( ANTENNA_user_to_mprj_oen_buffers\[91\]_TE DIODE ) ( user_to_mprj_oen_buffers\[91\] TE ) ( mprj_logic_high\[293\] HI ) + USE SIGNAL ;
- mprj_logic1\[294\] ( ANTENNA_user_to_mprj_oen_buffers\[92\]_TE DIODE ) ( user_to_mprj_oen_buffers\[92\] TE ) ( mprj_logic_high\[294\] HI ) + USE SIGNAL ;
- mprj_logic1\[295\] ( ANTENNA_user_to_mprj_oen_buffers\[93\]_TE DIODE ) ( user_to_mprj_oen_buffers\[93\] TE ) ( mprj_logic_high\[295\] HI ) + USE SIGNAL ;
- mprj_logic1\[296\] ( ANTENNA_user_to_mprj_oen_buffers\[94\]_TE DIODE ) ( user_to_mprj_oen_buffers\[94\] TE ) ( mprj_logic_high\[296\] HI ) + USE SIGNAL ;
- mprj_logic1\[297\] ( ANTENNA_user_to_mprj_oen_buffers\[95\]_TE DIODE ) ( user_to_mprj_oen_buffers\[95\] TE ) ( mprj_logic_high\[297\] HI ) + USE SIGNAL ;
- mprj_logic1\[298\] ( ANTENNA_user_to_mprj_oen_buffers\[96\]_TE DIODE ) ( user_to_mprj_oen_buffers\[96\] TE ) ( mprj_logic_high\[298\] HI ) + USE SIGNAL ;
- mprj_logic1\[299\] ( ANTENNA_user_to_mprj_oen_buffers\[97\]_TE DIODE ) ( user_to_mprj_oen_buffers\[97\] TE ) ( mprj_logic_high\[299\] HI ) + USE SIGNAL ;
- mprj_logic1\[29\] ( ANTENNA_mprj_adr_buf\[19\]_TE DIODE ) ( mprj_logic_high\[29\] HI ) ( mprj_adr_buf\[19\] TE ) + USE SIGNAL ;
- mprj_logic1\[2\] ( ANTENNA_mprj_clk2_buf_TE DIODE ) ( mprj_logic_high\[2\] HI ) ( mprj_clk2_buf TE ) + USE SIGNAL ;
- mprj_logic1\[300\] ( ANTENNA_user_to_mprj_oen_buffers\[98\]_TE DIODE ) ( user_to_mprj_oen_buffers\[98\] TE ) ( mprj_logic_high\[300\] HI ) + USE SIGNAL ;
- mprj_logic1\[301\] ( ANTENNA_user_to_mprj_oen_buffers\[99\]_TE DIODE ) ( user_to_mprj_oen_buffers\[99\] TE ) ( mprj_logic_high\[301\] HI ) + USE SIGNAL ;
- mprj_logic1\[302\] ( ANTENNA_user_to_mprj_oen_buffers\[100\]_TE DIODE ) ( user_to_mprj_oen_buffers\[100\] TE ) ( mprj_logic_high\[302\] HI ) + USE SIGNAL ;
- mprj_logic1\[303\] ( ANTENNA_user_to_mprj_oen_buffers\[101\]_TE DIODE ) ( user_to_mprj_oen_buffers\[101\] TE ) ( mprj_logic_high\[303\] HI ) + USE SIGNAL ;
- mprj_logic1\[304\] ( ANTENNA_user_to_mprj_oen_buffers\[102\]_TE DIODE ) ( user_to_mprj_oen_buffers\[102\] TE ) ( mprj_logic_high\[304\] HI ) + USE SIGNAL ;
- mprj_logic1\[305\] ( ANTENNA_user_to_mprj_oen_buffers\[103\]_TE DIODE ) ( user_to_mprj_oen_buffers\[103\] TE ) ( mprj_logic_high\[305\] HI ) + USE SIGNAL ;
- mprj_logic1\[306\] ( ANTENNA_user_to_mprj_oen_buffers\[104\]_TE DIODE ) ( user_to_mprj_oen_buffers\[104\] TE ) ( mprj_logic_high\[306\] HI ) + USE SIGNAL ;
- mprj_logic1\[307\] ( ANTENNA_user_to_mprj_oen_buffers\[105\]_TE DIODE ) ( user_to_mprj_oen_buffers\[105\] TE ) ( mprj_logic_high\[307\] HI ) + USE SIGNAL ;
- mprj_logic1\[308\] ( ANTENNA_user_to_mprj_oen_buffers\[106\]_TE DIODE ) ( user_to_mprj_oen_buffers\[106\] TE ) ( mprj_logic_high\[308\] HI ) + USE SIGNAL ;
- mprj_logic1\[309\] ( ANTENNA_user_to_mprj_oen_buffers\[107\]_TE DIODE ) ( user_to_mprj_oen_buffers\[107\] TE ) ( mprj_logic_high\[309\] HI ) + USE SIGNAL ;
- mprj_logic1\[30\] ( ANTENNA_mprj_adr_buf\[20\]_TE DIODE ) ( mprj_logic_high\[30\] HI ) ( mprj_adr_buf\[20\] TE ) + USE SIGNAL ;
- mprj_logic1\[310\] ( ANTENNA_user_to_mprj_oen_buffers\[108\]_TE DIODE ) ( user_to_mprj_oen_buffers\[108\] TE ) ( mprj_logic_high\[310\] HI ) + USE SIGNAL ;
- mprj_logic1\[311\] ( ANTENNA_user_to_mprj_oen_buffers\[109\]_TE DIODE ) ( user_to_mprj_oen_buffers\[109\] TE ) ( mprj_logic_high\[311\] HI ) + USE SIGNAL ;
- mprj_logic1\[312\] ( ANTENNA_user_to_mprj_oen_buffers\[110\]_TE DIODE ) ( user_to_mprj_oen_buffers\[110\] TE ) ( mprj_logic_high\[312\] HI ) + USE SIGNAL ;
- mprj_logic1\[313\] ( ANTENNA_user_to_mprj_oen_buffers\[111\]_TE DIODE ) ( user_to_mprj_oen_buffers\[111\] TE ) ( mprj_logic_high\[313\] HI ) + USE SIGNAL ;
- mprj_logic1\[314\] ( ANTENNA_user_to_mprj_oen_buffers\[112\]_TE DIODE ) ( user_to_mprj_oen_buffers\[112\] TE ) ( mprj_logic_high\[314\] HI ) + USE SIGNAL ;
- mprj_logic1\[315\] ( ANTENNA_user_to_mprj_oen_buffers\[113\]_TE DIODE ) ( user_to_mprj_oen_buffers\[113\] TE ) ( mprj_logic_high\[315\] HI ) + USE SIGNAL ;
- mprj_logic1\[316\] ( ANTENNA_user_to_mprj_oen_buffers\[114\]_TE DIODE ) ( user_to_mprj_oen_buffers\[114\] TE ) ( mprj_logic_high\[316\] HI ) + USE SIGNAL ;
- mprj_logic1\[317\] ( ANTENNA_user_to_mprj_oen_buffers\[115\]_TE DIODE ) ( user_to_mprj_oen_buffers\[115\] TE ) ( mprj_logic_high\[317\] HI ) + USE SIGNAL ;
- mprj_logic1\[318\] ( ANTENNA_user_to_mprj_oen_buffers\[116\]_TE DIODE ) ( user_to_mprj_oen_buffers\[116\] TE ) ( mprj_logic_high\[318\] HI ) + USE SIGNAL ;
- mprj_logic1\[319\] ( ANTENNA_user_to_mprj_oen_buffers\[117\]_TE DIODE ) ( user_to_mprj_oen_buffers\[117\] TE ) ( mprj_logic_high\[319\] HI ) + USE SIGNAL ;
- mprj_logic1\[31\] ( ANTENNA_mprj_adr_buf\[21\]_TE DIODE ) ( mprj_logic_high\[31\] HI ) ( mprj_adr_buf\[21\] TE ) + USE SIGNAL ;
- mprj_logic1\[320\] ( ANTENNA_user_to_mprj_oen_buffers\[118\]_TE DIODE ) ( user_to_mprj_oen_buffers\[118\] TE ) ( mprj_logic_high\[320\] HI ) + USE SIGNAL ;
- mprj_logic1\[321\] ( ANTENNA_user_to_mprj_oen_buffers\[119\]_TE DIODE ) ( user_to_mprj_oen_buffers\[119\] TE ) ( mprj_logic_high\[321\] HI ) + USE SIGNAL ;
- mprj_logic1\[322\] ( ANTENNA_user_to_mprj_oen_buffers\[120\]_TE DIODE ) ( user_to_mprj_oen_buffers\[120\] TE ) ( mprj_logic_high\[322\] HI ) + USE SIGNAL ;
- mprj_logic1\[323\] ( ANTENNA_user_to_mprj_oen_buffers\[121\]_TE DIODE ) ( user_to_mprj_oen_buffers\[121\] TE ) ( mprj_logic_high\[323\] HI ) + USE SIGNAL ;
- mprj_logic1\[324\] ( ANTENNA_user_to_mprj_oen_buffers\[122\]_TE DIODE ) ( user_to_mprj_oen_buffers\[122\] TE ) ( mprj_logic_high\[324\] HI ) + USE SIGNAL ;
- mprj_logic1\[325\] ( ANTENNA_user_to_mprj_oen_buffers\[123\]_TE DIODE ) ( user_to_mprj_oen_buffers\[123\] TE ) ( mprj_logic_high\[325\] HI ) + USE SIGNAL ;
- mprj_logic1\[326\] ( ANTENNA_user_to_mprj_oen_buffers\[124\]_TE DIODE ) ( user_to_mprj_oen_buffers\[124\] TE ) ( mprj_logic_high\[326\] HI ) + USE SIGNAL ;
- mprj_logic1\[327\] ( ANTENNA_user_to_mprj_oen_buffers\[125\]_TE DIODE ) ( user_to_mprj_oen_buffers\[125\] TE ) ( mprj_logic_high\[327\] HI ) + USE SIGNAL ;
- mprj_logic1\[328\] ( ANTENNA_user_to_mprj_oen_buffers\[126\]_TE DIODE ) ( user_to_mprj_oen_buffers\[126\] TE ) ( mprj_logic_high\[328\] HI ) + USE SIGNAL ;
- mprj_logic1\[329\] ( ANTENNA_user_to_mprj_oen_buffers\[127\]_TE DIODE ) ( user_to_mprj_oen_buffers\[127\] TE ) ( mprj_logic_high\[329\] HI ) + USE SIGNAL ;
- mprj_logic1\[32\] ( ANTENNA_mprj_adr_buf\[22\]_TE DIODE ) ( mprj_logic_high\[32\] HI ) ( mprj_adr_buf\[22\] TE ) + USE SIGNAL ;
- mprj_logic1\[330\] ( ANTENNA_user_to_mprj_in_gates\[0\]_B DIODE ) ( user_to_mprj_in_gates\[0\] B ) ( mprj_logic_high\[330\] HI ) + USE SIGNAL ;
- mprj_logic1\[331\] ( ANTENNA_user_to_mprj_in_gates\[1\]_B DIODE ) ( user_to_mprj_in_gates\[1\] B ) ( mprj_logic_high\[331\] HI ) + USE SIGNAL ;
- mprj_logic1\[332\] ( ANTENNA_user_to_mprj_in_gates\[2\]_B DIODE ) ( user_to_mprj_in_gates\[2\] B ) ( mprj_logic_high\[332\] HI ) + USE SIGNAL ;
- mprj_logic1\[333\] ( ANTENNA_user_to_mprj_in_gates\[3\]_B DIODE ) ( user_to_mprj_in_gates\[3\] B ) ( mprj_logic_high\[333\] HI ) + USE SIGNAL ;
- mprj_logic1\[334\] ( ANTENNA_user_to_mprj_in_gates\[4\]_B DIODE ) ( user_to_mprj_in_gates\[4\] B ) ( mprj_logic_high\[334\] HI ) + USE SIGNAL ;
- mprj_logic1\[335\] ( ANTENNA_user_to_mprj_in_gates\[5\]_B DIODE ) ( user_to_mprj_in_gates\[5\] B ) ( mprj_logic_high\[335\] HI ) + USE SIGNAL ;
- mprj_logic1\[336\] ( ANTENNA_user_to_mprj_in_gates\[6\]_B DIODE ) ( user_to_mprj_in_gates\[6\] B ) ( mprj_logic_high\[336\] HI ) + USE SIGNAL ;
- mprj_logic1\[337\] ( ANTENNA_user_to_mprj_in_gates\[7\]_B DIODE ) ( user_to_mprj_in_gates\[7\] B ) ( mprj_logic_high\[337\] HI ) + USE SIGNAL ;
- mprj_logic1\[338\] ( ANTENNA_user_to_mprj_in_gates\[8\]_B DIODE ) ( user_to_mprj_in_gates\[8\] B ) ( mprj_logic_high\[338\] HI ) + USE SIGNAL ;
- mprj_logic1\[339\] ( ANTENNA_user_to_mprj_in_gates\[9\]_B DIODE ) ( user_to_mprj_in_gates\[9\] B ) ( mprj_logic_high\[339\] HI ) + USE SIGNAL ;
- mprj_logic1\[33\] ( ANTENNA_mprj_adr_buf\[23\]_TE DIODE ) ( mprj_logic_high\[33\] HI ) ( mprj_adr_buf\[23\] TE ) + USE SIGNAL ;
- mprj_logic1\[340\] ( ANTENNA_user_to_mprj_in_gates\[10\]_B DIODE ) ( user_to_mprj_in_gates\[10\] B ) ( mprj_logic_high\[340\] HI ) + USE SIGNAL ;
- mprj_logic1\[341\] ( ANTENNA_user_to_mprj_in_gates\[11\]_B DIODE ) ( user_to_mprj_in_gates\[11\] B ) ( mprj_logic_high\[341\] HI ) + USE SIGNAL ;
- mprj_logic1\[342\] ( ANTENNA_user_to_mprj_in_gates\[12\]_B DIODE ) ( user_to_mprj_in_gates\[12\] B ) ( mprj_logic_high\[342\] HI ) + USE SIGNAL ;
- mprj_logic1\[343\] ( ANTENNA_user_to_mprj_in_gates\[13\]_B DIODE ) ( user_to_mprj_in_gates\[13\] B ) ( mprj_logic_high\[343\] HI ) + USE SIGNAL ;
- mprj_logic1\[344\] ( ANTENNA_user_to_mprj_in_gates\[14\]_B DIODE ) ( user_to_mprj_in_gates\[14\] B ) ( mprj_logic_high\[344\] HI ) + USE SIGNAL ;
- mprj_logic1\[345\] ( ANTENNA_user_to_mprj_in_gates\[15\]_B DIODE ) ( user_to_mprj_in_gates\[15\] B ) ( mprj_logic_high\[345\] HI ) + USE SIGNAL ;
- mprj_logic1\[346\] ( ANTENNA_user_to_mprj_in_gates\[16\]_B DIODE ) ( user_to_mprj_in_gates\[16\] B ) ( mprj_logic_high\[346\] HI ) + USE SIGNAL ;
- mprj_logic1\[347\] ( ANTENNA_user_to_mprj_in_gates\[17\]_B DIODE ) ( user_to_mprj_in_gates\[17\] B ) ( mprj_logic_high\[347\] HI ) + USE SIGNAL ;
- mprj_logic1\[348\] ( ANTENNA_user_to_mprj_in_gates\[18\]_B DIODE ) ( user_to_mprj_in_gates\[18\] B ) ( mprj_logic_high\[348\] HI ) + USE SIGNAL ;
- mprj_logic1\[349\] ( ANTENNA_user_to_mprj_in_gates\[19\]_B DIODE ) ( user_to_mprj_in_gates\[19\] B ) ( mprj_logic_high\[349\] HI ) + USE SIGNAL ;
- mprj_logic1\[34\] ( ANTENNA_mprj_adr_buf\[24\]_TE DIODE ) ( mprj_logic_high\[34\] HI ) ( mprj_adr_buf\[24\] TE ) + USE SIGNAL ;
- mprj_logic1\[350\] ( ANTENNA_user_to_mprj_in_gates\[20\]_B DIODE ) ( user_to_mprj_in_gates\[20\] B ) ( mprj_logic_high\[350\] HI ) + USE SIGNAL ;
- mprj_logic1\[351\] ( ANTENNA_user_to_mprj_in_gates\[21\]_B DIODE ) ( user_to_mprj_in_gates\[21\] B ) ( mprj_logic_high\[351\] HI ) + USE SIGNAL ;
- mprj_logic1\[352\] ( ANTENNA_user_to_mprj_in_gates\[22\]_B DIODE ) ( user_to_mprj_in_gates\[22\] B ) ( mprj_logic_high\[352\] HI ) + USE SIGNAL ;
- mprj_logic1\[353\] ( ANTENNA_user_to_mprj_in_gates\[23\]_B DIODE ) ( user_to_mprj_in_gates\[23\] B ) ( mprj_logic_high\[353\] HI ) + USE SIGNAL ;
- mprj_logic1\[354\] ( ANTENNA_user_to_mprj_in_gates\[24\]_B DIODE ) ( user_to_mprj_in_gates\[24\] B ) ( mprj_logic_high\[354\] HI ) + USE SIGNAL ;
- mprj_logic1\[355\] ( ANTENNA_user_to_mprj_in_gates\[25\]_B DIODE ) ( user_to_mprj_in_gates\[25\] B ) ( mprj_logic_high\[355\] HI ) + USE SIGNAL ;
- mprj_logic1\[356\] ( ANTENNA_user_to_mprj_in_gates\[26\]_B DIODE ) ( user_to_mprj_in_gates\[26\] B ) ( mprj_logic_high\[356\] HI ) + USE SIGNAL ;
- mprj_logic1\[357\] ( ANTENNA_user_to_mprj_in_gates\[27\]_B DIODE ) ( user_to_mprj_in_gates\[27\] B ) ( mprj_logic_high\[357\] HI ) + USE SIGNAL ;
- mprj_logic1\[358\] ( ANTENNA_user_to_mprj_in_gates\[28\]_B DIODE ) ( user_to_mprj_in_gates\[28\] B ) ( mprj_logic_high\[358\] HI ) + USE SIGNAL ;
- mprj_logic1\[359\] ( ANTENNA_user_to_mprj_in_gates\[29\]_B DIODE ) ( user_to_mprj_in_gates\[29\] B ) ( mprj_logic_high\[359\] HI ) + USE SIGNAL ;
- mprj_logic1\[35\] ( ANTENNA_mprj_adr_buf\[25\]_TE DIODE ) ( mprj_logic_high\[35\] HI ) ( mprj_adr_buf\[25\] TE ) + USE SIGNAL ;
- mprj_logic1\[360\] ( ANTENNA_user_to_mprj_in_gates\[30\]_B DIODE ) ( user_to_mprj_in_gates\[30\] B ) ( mprj_logic_high\[360\] HI ) + USE SIGNAL ;
- mprj_logic1\[361\] ( ANTENNA_user_to_mprj_in_gates\[31\]_B DIODE ) ( user_to_mprj_in_gates\[31\] B ) ( mprj_logic_high\[361\] HI ) + USE SIGNAL ;
- mprj_logic1\[362\] ( ANTENNA_user_to_mprj_in_gates\[32\]_B DIODE ) ( user_to_mprj_in_gates\[32\] B ) ( mprj_logic_high\[362\] HI ) + USE SIGNAL ;
- mprj_logic1\[363\] ( ANTENNA_user_to_mprj_in_gates\[33\]_B DIODE ) ( user_to_mprj_in_gates\[33\] B ) ( mprj_logic_high\[363\] HI ) + USE SIGNAL ;
- mprj_logic1\[364\] ( ANTENNA_user_to_mprj_in_gates\[34\]_B DIODE ) ( user_to_mprj_in_gates\[34\] B ) ( mprj_logic_high\[364\] HI ) + USE SIGNAL ;
- mprj_logic1\[365\] ( ANTENNA_user_to_mprj_in_gates\[35\]_B DIODE ) ( user_to_mprj_in_gates\[35\] B ) ( mprj_logic_high\[365\] HI ) + USE SIGNAL ;
- mprj_logic1\[366\] ( ANTENNA_user_to_mprj_in_gates\[36\]_B DIODE ) ( user_to_mprj_in_gates\[36\] B ) ( mprj_logic_high\[366\] HI ) + USE SIGNAL ;
- mprj_logic1\[367\] ( ANTENNA_user_to_mprj_in_gates\[37\]_B DIODE ) ( user_to_mprj_in_gates\[37\] B ) ( mprj_logic_high\[367\] HI ) + USE SIGNAL ;
- mprj_logic1\[368\] ( ANTENNA_user_to_mprj_in_gates\[38\]_B DIODE ) ( user_to_mprj_in_gates\[38\] B ) ( mprj_logic_high\[368\] HI ) + USE SIGNAL ;
- mprj_logic1\[369\] ( ANTENNA_user_to_mprj_in_gates\[39\]_B DIODE ) ( user_to_mprj_in_gates\[39\] B ) ( mprj_logic_high\[369\] HI ) + USE SIGNAL ;
- mprj_logic1\[36\] ( ANTENNA_mprj_adr_buf\[26\]_TE DIODE ) ( mprj_logic_high\[36\] HI ) ( mprj_adr_buf\[26\] TE ) + USE SIGNAL ;
- mprj_logic1\[370\] ( ANTENNA_user_to_mprj_in_gates\[40\]_B DIODE ) ( user_to_mprj_in_gates\[40\] B ) ( mprj_logic_high\[370\] HI ) + USE SIGNAL ;
- mprj_logic1\[371\] ( ANTENNA_user_to_mprj_in_gates\[41\]_B DIODE ) ( user_to_mprj_in_gates\[41\] B ) ( mprj_logic_high\[371\] HI ) + USE SIGNAL ;
- mprj_logic1\[372\] ( ANTENNA_user_to_mprj_in_gates\[42\]_B DIODE ) ( user_to_mprj_in_gates\[42\] B ) ( mprj_logic_high\[372\] HI ) + USE SIGNAL ;
- mprj_logic1\[373\] ( ANTENNA_user_to_mprj_in_gates\[43\]_B DIODE ) ( user_to_mprj_in_gates\[43\] B ) ( mprj_logic_high\[373\] HI ) + USE SIGNAL ;
- mprj_logic1\[374\] ( ANTENNA_user_to_mprj_in_gates\[44\]_B DIODE ) ( user_to_mprj_in_gates\[44\] B ) ( mprj_logic_high\[374\] HI ) + USE SIGNAL ;
- mprj_logic1\[375\] ( ANTENNA_user_to_mprj_in_gates\[45\]_B DIODE ) ( user_to_mprj_in_gates\[45\] B ) ( mprj_logic_high\[375\] HI ) + USE SIGNAL ;
- mprj_logic1\[376\] ( ANTENNA_user_to_mprj_in_gates\[46\]_B DIODE ) ( user_to_mprj_in_gates\[46\] B ) ( mprj_logic_high\[376\] HI ) + USE SIGNAL ;
- mprj_logic1\[377\] ( ANTENNA_user_to_mprj_in_gates\[47\]_B DIODE ) ( user_to_mprj_in_gates\[47\] B ) ( mprj_logic_high\[377\] HI ) + USE SIGNAL ;
- mprj_logic1\[378\] ( ANTENNA_user_to_mprj_in_gates\[48\]_B DIODE ) ( user_to_mprj_in_gates\[48\] B ) ( mprj_logic_high\[378\] HI ) + USE SIGNAL ;
- mprj_logic1\[379\] ( ANTENNA_user_to_mprj_in_gates\[49\]_B DIODE ) ( user_to_mprj_in_gates\[49\] B ) ( mprj_logic_high\[379\] HI ) + USE SIGNAL ;
- mprj_logic1\[37\] ( ANTENNA_mprj_adr_buf\[27\]_TE DIODE ) ( mprj_logic_high\[37\] HI ) ( mprj_adr_buf\[27\] TE ) + USE SIGNAL ;
- mprj_logic1\[380\] ( ANTENNA_user_to_mprj_in_gates\[50\]_B DIODE ) ( user_to_mprj_in_gates\[50\] B ) ( mprj_logic_high\[380\] HI ) + USE SIGNAL ;
- mprj_logic1\[381\] ( ANTENNA_user_to_mprj_in_gates\[51\]_B DIODE ) ( user_to_mprj_in_gates\[51\] B ) ( mprj_logic_high\[381\] HI ) + USE SIGNAL ;
- mprj_logic1\[382\] ( ANTENNA_user_to_mprj_in_gates\[52\]_B DIODE ) ( user_to_mprj_in_gates\[52\] B ) ( mprj_logic_high\[382\] HI ) + USE SIGNAL ;
- mprj_logic1\[383\] ( ANTENNA_user_to_mprj_in_gates\[53\]_B DIODE ) ( user_to_mprj_in_gates\[53\] B ) ( mprj_logic_high\[383\] HI ) + USE SIGNAL ;
- mprj_logic1\[384\] ( ANTENNA_user_to_mprj_in_gates\[54\]_B DIODE ) ( user_to_mprj_in_gates\[54\] B ) ( mprj_logic_high\[384\] HI ) + USE SIGNAL ;
- mprj_logic1\[385\] ( ANTENNA_user_to_mprj_in_gates\[55\]_B DIODE ) ( user_to_mprj_in_gates\[55\] B ) ( mprj_logic_high\[385\] HI ) + USE SIGNAL ;
- mprj_logic1\[386\] ( ANTENNA_user_to_mprj_in_gates\[56\]_B DIODE ) ( user_to_mprj_in_gates\[56\] B ) ( mprj_logic_high\[386\] HI ) + USE SIGNAL ;
- mprj_logic1\[387\] ( ANTENNA_user_to_mprj_in_gates\[57\]_B DIODE ) ( user_to_mprj_in_gates\[57\] B ) ( mprj_logic_high\[387\] HI ) + USE SIGNAL ;
- mprj_logic1\[388\] ( ANTENNA_user_to_mprj_in_gates\[58\]_B DIODE ) ( user_to_mprj_in_gates\[58\] B ) ( mprj_logic_high\[388\] HI ) + USE SIGNAL ;
- mprj_logic1\[389\] ( ANTENNA_user_to_mprj_in_gates\[59\]_B DIODE ) ( user_to_mprj_in_gates\[59\] B ) ( mprj_logic_high\[389\] HI ) + USE SIGNAL ;
- mprj_logic1\[38\] ( ANTENNA_mprj_adr_buf\[28\]_TE DIODE ) ( mprj_logic_high\[38\] HI ) ( mprj_adr_buf\[28\] TE ) + USE SIGNAL ;
- mprj_logic1\[390\] ( ANTENNA_user_to_mprj_in_gates\[60\]_B DIODE ) ( user_to_mprj_in_gates\[60\] B ) ( mprj_logic_high\[390\] HI ) + USE SIGNAL ;
- mprj_logic1\[391\] ( ANTENNA_user_to_mprj_in_gates\[61\]_B DIODE ) ( user_to_mprj_in_gates\[61\] B ) ( mprj_logic_high\[391\] HI ) + USE SIGNAL ;
- mprj_logic1\[392\] ( ANTENNA_user_to_mprj_in_gates\[62\]_B DIODE ) ( user_to_mprj_in_gates\[62\] B ) ( mprj_logic_high\[392\] HI ) + USE SIGNAL ;
- mprj_logic1\[393\] ( ANTENNA_user_to_mprj_in_gates\[63\]_B DIODE ) ( user_to_mprj_in_gates\[63\] B ) ( mprj_logic_high\[393\] HI ) + USE SIGNAL ;
- mprj_logic1\[394\] ( ANTENNA_user_to_mprj_in_gates\[64\]_B DIODE ) ( user_to_mprj_in_gates\[64\] B ) ( mprj_logic_high\[394\] HI ) + USE SIGNAL ;
- mprj_logic1\[395\] ( ANTENNA_user_to_mprj_in_gates\[65\]_B DIODE ) ( user_to_mprj_in_gates\[65\] B ) ( mprj_logic_high\[395\] HI ) + USE SIGNAL ;
- mprj_logic1\[396\] ( ANTENNA_user_to_mprj_in_gates\[66\]_B DIODE ) ( user_to_mprj_in_gates\[66\] B ) ( mprj_logic_high\[396\] HI ) + USE SIGNAL ;
- mprj_logic1\[397\] ( ANTENNA_user_to_mprj_in_gates\[67\]_B DIODE ) ( user_to_mprj_in_gates\[67\] B ) ( mprj_logic_high\[397\] HI ) + USE SIGNAL ;
- mprj_logic1\[398\] ( ANTENNA_user_to_mprj_in_gates\[68\]_B DIODE ) ( user_to_mprj_in_gates\[68\] B ) ( mprj_logic_high\[398\] HI ) + USE SIGNAL ;
- mprj_logic1\[399\] ( ANTENNA_user_to_mprj_in_gates\[69\]_B DIODE ) ( user_to_mprj_in_gates\[69\] B ) ( mprj_logic_high\[399\] HI ) + USE SIGNAL ;
- mprj_logic1\[39\] ( ANTENNA_mprj_adr_buf\[29\]_TE DIODE ) ( mprj_logic_high\[39\] HI ) ( mprj_adr_buf\[29\] TE ) + USE SIGNAL ;
- mprj_logic1\[3\] ( ANTENNA_mprj_cyc_buf_TE DIODE ) ( mprj_logic_high\[3\] HI ) ( mprj_cyc_buf TE ) + USE SIGNAL ;
- mprj_logic1\[400\] ( ANTENNA_user_to_mprj_in_gates\[70\]_B DIODE ) ( user_to_mprj_in_gates\[70\] B ) ( mprj_logic_high\[400\] HI ) + USE SIGNAL ;
- mprj_logic1\[401\] ( ANTENNA_user_to_mprj_in_gates\[71\]_B DIODE ) ( user_to_mprj_in_gates\[71\] B ) ( mprj_logic_high\[401\] HI ) + USE SIGNAL ;
- mprj_logic1\[402\] ( ANTENNA_user_to_mprj_in_gates\[72\]_B DIODE ) ( user_to_mprj_in_gates\[72\] B ) ( mprj_logic_high\[402\] HI ) + USE SIGNAL ;
- mprj_logic1\[403\] ( ANTENNA_user_to_mprj_in_gates\[73\]_B DIODE ) ( user_to_mprj_in_gates\[73\] B ) ( mprj_logic_high\[403\] HI ) + USE SIGNAL ;
- mprj_logic1\[404\] ( ANTENNA_user_to_mprj_in_gates\[74\]_B DIODE ) ( user_to_mprj_in_gates\[74\] B ) ( mprj_logic_high\[404\] HI ) + USE SIGNAL ;
- mprj_logic1\[405\] ( ANTENNA_user_to_mprj_in_gates\[75\]_B DIODE ) ( user_to_mprj_in_gates\[75\] B ) ( mprj_logic_high\[405\] HI ) + USE SIGNAL ;
- mprj_logic1\[406\] ( ANTENNA_user_to_mprj_in_gates\[76\]_B DIODE ) ( user_to_mprj_in_gates\[76\] B ) ( mprj_logic_high\[406\] HI ) + USE SIGNAL ;
- mprj_logic1\[407\] ( ANTENNA_user_to_mprj_in_gates\[77\]_B DIODE ) ( user_to_mprj_in_gates\[77\] B ) ( mprj_logic_high\[407\] HI ) + USE SIGNAL ;
- mprj_logic1\[408\] ( ANTENNA_user_to_mprj_in_gates\[78\]_B DIODE ) ( user_to_mprj_in_gates\[78\] B ) ( mprj_logic_high\[408\] HI ) + USE SIGNAL ;
- mprj_logic1\[409\] ( ANTENNA_user_to_mprj_in_gates\[79\]_B DIODE ) ( user_to_mprj_in_gates\[79\] B ) ( mprj_logic_high\[409\] HI ) + USE SIGNAL ;
- mprj_logic1\[40\] ( ANTENNA_mprj_adr_buf\[30\]_TE DIODE ) ( mprj_logic_high\[40\] HI ) ( mprj_adr_buf\[30\] TE ) + USE SIGNAL ;
- mprj_logic1\[410\] ( ANTENNA_user_to_mprj_in_gates\[80\]_B DIODE ) ( user_to_mprj_in_gates\[80\] B ) ( mprj_logic_high\[410\] HI ) + USE SIGNAL ;
- mprj_logic1\[411\] ( ANTENNA_user_to_mprj_in_gates\[81\]_B DIODE ) ( user_to_mprj_in_gates\[81\] B ) ( mprj_logic_high\[411\] HI ) + USE SIGNAL ;
- mprj_logic1\[412\] ( ANTENNA_user_to_mprj_in_gates\[82\]_B DIODE ) ( user_to_mprj_in_gates\[82\] B ) ( mprj_logic_high\[412\] HI ) + USE SIGNAL ;
- mprj_logic1\[413\] ( ANTENNA_user_to_mprj_in_gates\[83\]_B DIODE ) ( user_to_mprj_in_gates\[83\] B ) ( mprj_logic_high\[413\] HI ) + USE SIGNAL ;
- mprj_logic1\[414\] ( ANTENNA_user_to_mprj_in_gates\[84\]_B DIODE ) ( user_to_mprj_in_gates\[84\] B ) ( mprj_logic_high\[414\] HI ) + USE SIGNAL ;
- mprj_logic1\[415\] ( ANTENNA_user_to_mprj_in_gates\[85\]_B DIODE ) ( user_to_mprj_in_gates\[85\] B ) ( mprj_logic_high\[415\] HI ) + USE SIGNAL ;
- mprj_logic1\[416\] ( ANTENNA_user_to_mprj_in_gates\[86\]_B DIODE ) ( user_to_mprj_in_gates\[86\] B ) ( mprj_logic_high\[416\] HI ) + USE SIGNAL ;
- mprj_logic1\[417\] ( ANTENNA_user_to_mprj_in_gates\[87\]_B DIODE ) ( user_to_mprj_in_gates\[87\] B ) ( mprj_logic_high\[417\] HI ) + USE SIGNAL ;
- mprj_logic1\[418\] ( ANTENNA_user_to_mprj_in_gates\[88\]_B DIODE ) ( user_to_mprj_in_gates\[88\] B ) ( mprj_logic_high\[418\] HI ) + USE SIGNAL ;
- mprj_logic1\[419\] ( ANTENNA_user_to_mprj_in_gates\[89\]_B DIODE ) ( user_to_mprj_in_gates\[89\] B ) ( mprj_logic_high\[419\] HI ) + USE SIGNAL ;
- mprj_logic1\[41\] ( ANTENNA_mprj_adr_buf\[31\]_TE DIODE ) ( mprj_logic_high\[41\] HI ) ( mprj_adr_buf\[31\] TE ) + USE SIGNAL ;
- mprj_logic1\[420\] ( ANTENNA_user_to_mprj_in_gates\[90\]_B DIODE ) ( user_to_mprj_in_gates\[90\] B ) ( mprj_logic_high\[420\] HI ) + USE SIGNAL ;
- mprj_logic1\[421\] ( ANTENNA_user_to_mprj_in_gates\[91\]_B DIODE ) ( user_to_mprj_in_gates\[91\] B ) ( mprj_logic_high\[421\] HI ) + USE SIGNAL ;
- mprj_logic1\[422\] ( ANTENNA_user_to_mprj_in_gates\[92\]_B DIODE ) ( user_to_mprj_in_gates\[92\] B ) ( mprj_logic_high\[422\] HI ) + USE SIGNAL ;
- mprj_logic1\[423\] ( ANTENNA_user_to_mprj_in_gates\[93\]_B DIODE ) ( user_to_mprj_in_gates\[93\] B ) ( mprj_logic_high\[423\] HI ) + USE SIGNAL ;
- mprj_logic1\[424\] ( ANTENNA_user_to_mprj_in_gates\[94\]_B DIODE ) ( user_to_mprj_in_gates\[94\] B ) ( mprj_logic_high\[424\] HI ) + USE SIGNAL ;
- mprj_logic1\[425\] ( ANTENNA_user_to_mprj_in_gates\[95\]_B DIODE ) ( user_to_mprj_in_gates\[95\] B ) ( mprj_logic_high\[425\] HI ) + USE SIGNAL ;
- mprj_logic1\[426\] ( ANTENNA_user_to_mprj_in_gates\[96\]_B DIODE ) ( user_to_mprj_in_gates\[96\] B ) ( mprj_logic_high\[426\] HI ) + USE SIGNAL ;
- mprj_logic1\[427\] ( ANTENNA_user_to_mprj_in_gates\[97\]_B DIODE ) ( user_to_mprj_in_gates\[97\] B ) ( mprj_logic_high\[427\] HI ) + USE SIGNAL ;
- mprj_logic1\[428\] ( ANTENNA_user_to_mprj_in_gates\[98\]_B DIODE ) ( user_to_mprj_in_gates\[98\] B ) ( mprj_logic_high\[428\] HI ) + USE SIGNAL ;
- mprj_logic1\[429\] ( ANTENNA_user_to_mprj_in_gates\[99\]_B DIODE ) ( user_to_mprj_in_gates\[99\] B ) ( mprj_logic_high\[429\] HI ) + USE SIGNAL ;
- mprj_logic1\[42\] ( ANTENNA_mprj_dat_buf\[0\]_TE DIODE ) ( mprj_logic_high\[42\] HI ) ( mprj_dat_buf\[0\] TE ) + USE SIGNAL ;
- mprj_logic1\[430\] ( ANTENNA_user_to_mprj_in_gates\[100\]_B DIODE ) ( user_to_mprj_in_gates\[100\] B ) ( mprj_logic_high\[430\] HI ) + USE SIGNAL ;
- mprj_logic1\[431\] ( ANTENNA_user_to_mprj_in_gates\[101\]_B DIODE ) ( user_to_mprj_in_gates\[101\] B ) ( mprj_logic_high\[431\] HI ) + USE SIGNAL ;
- mprj_logic1\[432\] ( ANTENNA_user_to_mprj_in_gates\[102\]_B DIODE ) ( user_to_mprj_in_gates\[102\] B ) ( mprj_logic_high\[432\] HI ) + USE SIGNAL ;
- mprj_logic1\[433\] ( ANTENNA_user_to_mprj_in_gates\[103\]_B DIODE ) ( user_to_mprj_in_gates\[103\] B ) ( mprj_logic_high\[433\] HI ) + USE SIGNAL ;
- mprj_logic1\[434\] ( ANTENNA_user_to_mprj_in_gates\[104\]_B DIODE ) ( user_to_mprj_in_gates\[104\] B ) ( mprj_logic_high\[434\] HI ) + USE SIGNAL ;
- mprj_logic1\[435\] ( ANTENNA_user_to_mprj_in_gates\[105\]_B DIODE ) ( user_to_mprj_in_gates\[105\] B ) ( mprj_logic_high\[435\] HI ) + USE SIGNAL ;
- mprj_logic1\[436\] ( ANTENNA_user_to_mprj_in_gates\[106\]_B DIODE ) ( user_to_mprj_in_gates\[106\] B ) ( mprj_logic_high\[436\] HI ) + USE SIGNAL ;
- mprj_logic1\[437\] ( ANTENNA_user_to_mprj_in_gates\[107\]_B DIODE ) ( user_to_mprj_in_gates\[107\] B ) ( mprj_logic_high\[437\] HI ) + USE SIGNAL ;
- mprj_logic1\[438\] ( ANTENNA_user_to_mprj_in_gates\[108\]_B DIODE ) ( user_to_mprj_in_gates\[108\] B ) ( mprj_logic_high\[438\] HI ) + USE SIGNAL ;
- mprj_logic1\[439\] ( ANTENNA_user_to_mprj_in_gates\[109\]_B DIODE ) ( user_to_mprj_in_gates\[109\] B ) ( mprj_logic_high\[439\] HI ) + USE SIGNAL ;
- mprj_logic1\[43\] ( ANTENNA_mprj_dat_buf\[1\]_TE DIODE ) ( mprj_logic_high\[43\] HI ) ( mprj_dat_buf\[1\] TE ) + USE SIGNAL ;
- mprj_logic1\[440\] ( ANTENNA_user_to_mprj_in_gates\[110\]_B DIODE ) ( user_to_mprj_in_gates\[110\] B ) ( mprj_logic_high\[440\] HI ) + USE SIGNAL ;
- mprj_logic1\[441\] ( ANTENNA_user_to_mprj_in_gates\[111\]_B DIODE ) ( user_to_mprj_in_gates\[111\] B ) ( mprj_logic_high\[441\] HI ) + USE SIGNAL ;
- mprj_logic1\[442\] ( ANTENNA_user_to_mprj_in_gates\[112\]_B DIODE ) ( user_to_mprj_in_gates\[112\] B ) ( mprj_logic_high\[442\] HI ) + USE SIGNAL ;
- mprj_logic1\[443\] ( ANTENNA_user_to_mprj_in_gates\[113\]_B DIODE ) ( user_to_mprj_in_gates\[113\] B ) ( mprj_logic_high\[443\] HI ) + USE SIGNAL ;
- mprj_logic1\[444\] ( ANTENNA_user_to_mprj_in_gates\[114\]_B DIODE ) ( user_to_mprj_in_gates\[114\] B ) ( mprj_logic_high\[444\] HI ) + USE SIGNAL ;
- mprj_logic1\[445\] ( ANTENNA_user_to_mprj_in_gates\[115\]_B DIODE ) ( user_to_mprj_in_gates\[115\] B ) ( mprj_logic_high\[445\] HI ) + USE SIGNAL ;
- mprj_logic1\[446\] ( ANTENNA_user_to_mprj_in_gates\[116\]_B DIODE ) ( user_to_mprj_in_gates\[116\] B ) ( mprj_logic_high\[446\] HI ) + USE SIGNAL ;
- mprj_logic1\[447\] ( ANTENNA_user_to_mprj_in_gates\[117\]_B DIODE ) ( user_to_mprj_in_gates\[117\] B ) ( mprj_logic_high\[447\] HI ) + USE SIGNAL ;
- mprj_logic1\[448\] ( ANTENNA_user_to_mprj_in_gates\[118\]_B DIODE ) ( user_to_mprj_in_gates\[118\] B ) ( mprj_logic_high\[448\] HI ) + USE SIGNAL ;
- mprj_logic1\[449\] ( ANTENNA_user_to_mprj_in_gates\[119\]_B DIODE ) ( user_to_mprj_in_gates\[119\] B ) ( mprj_logic_high\[449\] HI ) + USE SIGNAL ;
- mprj_logic1\[44\] ( ANTENNA_mprj_dat_buf\[2\]_TE DIODE ) ( mprj_logic_high\[44\] HI ) ( mprj_dat_buf\[2\] TE ) + USE SIGNAL ;
- mprj_logic1\[450\] ( ANTENNA_user_to_mprj_in_gates\[120\]_B DIODE ) ( user_to_mprj_in_gates\[120\] B ) ( mprj_logic_high\[450\] HI ) + USE SIGNAL ;
- mprj_logic1\[451\] ( ANTENNA_user_to_mprj_in_gates\[121\]_B DIODE ) ( user_to_mprj_in_gates\[121\] B ) ( mprj_logic_high\[451\] HI ) + USE SIGNAL ;
- mprj_logic1\[452\] ( ANTENNA_user_to_mprj_in_gates\[122\]_B DIODE ) ( user_to_mprj_in_gates\[122\] B ) ( mprj_logic_high\[452\] HI ) + USE SIGNAL ;
- mprj_logic1\[453\] ( ANTENNA_user_to_mprj_in_gates\[123\]_B DIODE ) ( user_to_mprj_in_gates\[123\] B ) ( mprj_logic_high\[453\] HI ) + USE SIGNAL ;
- mprj_logic1\[454\] ( ANTENNA_user_to_mprj_in_gates\[124\]_B DIODE ) ( user_to_mprj_in_gates\[124\] B ) ( mprj_logic_high\[454\] HI ) + USE SIGNAL ;
- mprj_logic1\[455\] ( ANTENNA_user_to_mprj_in_gates\[125\]_B DIODE ) ( user_to_mprj_in_gates\[125\] B ) ( mprj_logic_high\[455\] HI ) + USE SIGNAL ;
- mprj_logic1\[456\] ( ANTENNA_user_to_mprj_in_gates\[126\]_B DIODE ) ( user_to_mprj_in_gates\[126\] B ) ( mprj_logic_high\[456\] HI ) + USE SIGNAL ;
- mprj_logic1\[457\] ( ANTENNA_user_to_mprj_in_gates\[127\]_B DIODE ) ( user_to_mprj_in_gates\[127\] B ) ( mprj_logic_high\[457\] HI ) + USE SIGNAL ;
- mprj_logic1\[458\] ( ANTENNA_mprj_pwrgood_A DIODE ) ( mprj_pwrgood A ) ( mprj_logic_high\[458\] HI ) + USE SIGNAL ;
- mprj_logic1\[45\] ( ANTENNA_mprj_dat_buf\[3\]_TE DIODE ) ( mprj_logic_high\[45\] HI ) ( mprj_dat_buf\[3\] TE ) + USE SIGNAL ;
- mprj_logic1\[46\] ( ANTENNA_mprj_dat_buf\[4\]_TE DIODE ) ( mprj_logic_high\[46\] HI ) ( mprj_dat_buf\[4\] TE ) + USE SIGNAL ;
- mprj_logic1\[47\] ( ANTENNA_mprj_dat_buf\[5\]_TE DIODE ) ( mprj_logic_high\[47\] HI ) ( mprj_dat_buf\[5\] TE ) + USE SIGNAL ;
- mprj_logic1\[48\] ( ANTENNA_mprj_dat_buf\[6\]_TE DIODE ) ( mprj_logic_high\[48\] HI ) ( mprj_dat_buf\[6\] TE ) + USE SIGNAL ;
- mprj_logic1\[49\] ( ANTENNA_mprj_dat_buf\[7\]_TE DIODE ) ( mprj_logic_high\[49\] HI ) ( mprj_dat_buf\[7\] TE ) + USE SIGNAL ;
- mprj_logic1\[4\] ( ANTENNA_mprj_stb_buf_TE DIODE ) ( mprj_stb_buf TE ) ( mprj_logic_high\[4\] HI ) + USE SIGNAL ;
- mprj_logic1\[50\] ( ANTENNA_mprj_dat_buf\[8\]_TE DIODE ) ( mprj_logic_high\[50\] HI ) ( mprj_dat_buf\[8\] TE ) + USE SIGNAL ;
- mprj_logic1\[51\] ( ANTENNA_mprj_dat_buf\[9\]_TE DIODE ) ( mprj_logic_high\[51\] HI ) ( mprj_dat_buf\[9\] TE ) + USE SIGNAL ;
- mprj_logic1\[52\] ( ANTENNA_mprj_dat_buf\[10\]_TE DIODE ) ( mprj_logic_high\[52\] HI ) ( mprj_dat_buf\[10\] TE ) + USE SIGNAL ;
- mprj_logic1\[53\] ( ANTENNA_mprj_dat_buf\[11\]_TE DIODE ) ( mprj_logic_high\[53\] HI ) ( mprj_dat_buf\[11\] TE ) + USE SIGNAL ;
- mprj_logic1\[54\] ( ANTENNA_mprj_dat_buf\[12\]_TE DIODE ) ( mprj_logic_high\[54\] HI ) ( mprj_dat_buf\[12\] TE ) + USE SIGNAL ;
- mprj_logic1\[55\] ( ANTENNA_mprj_dat_buf\[13\]_TE DIODE ) ( mprj_logic_high\[55\] HI ) ( mprj_dat_buf\[13\] TE ) + USE SIGNAL ;
- mprj_logic1\[56\] ( ANTENNA_mprj_dat_buf\[14\]_TE DIODE ) ( mprj_logic_high\[56\] HI ) ( mprj_dat_buf\[14\] TE ) + USE SIGNAL ;
- mprj_logic1\[57\] ( ANTENNA_mprj_dat_buf\[15\]_TE DIODE ) ( mprj_logic_high\[57\] HI ) ( mprj_dat_buf\[15\] TE ) + USE SIGNAL ;
- mprj_logic1\[58\] ( ANTENNA_mprj_dat_buf\[16\]_TE DIODE ) ( mprj_logic_high\[58\] HI ) ( mprj_dat_buf\[16\] TE ) + USE SIGNAL ;
- mprj_logic1\[59\] ( ANTENNA_mprj_dat_buf\[17\]_TE DIODE ) ( mprj_logic_high\[59\] HI ) ( mprj_dat_buf\[17\] TE ) + USE SIGNAL ;
- mprj_logic1\[5\] ( ANTENNA_mprj_we_buf_TE DIODE ) ( mprj_we_buf TE ) ( mprj_logic_high\[5\] HI ) + USE SIGNAL ;
- mprj_logic1\[60\] ( ANTENNA_mprj_dat_buf\[18\]_TE DIODE ) ( mprj_logic_high\[60\] HI ) ( mprj_dat_buf\[18\] TE ) + USE SIGNAL ;
- mprj_logic1\[61\] ( ANTENNA_mprj_dat_buf\[19\]_TE DIODE ) ( mprj_logic_high\[61\] HI ) ( mprj_dat_buf\[19\] TE ) + USE SIGNAL ;
- mprj_logic1\[62\] ( ANTENNA_mprj_dat_buf\[20\]_TE DIODE ) ( mprj_logic_high\[62\] HI ) ( mprj_dat_buf\[20\] TE ) + USE SIGNAL ;
- mprj_logic1\[63\] ( ANTENNA_mprj_dat_buf\[21\]_TE DIODE ) ( mprj_logic_high\[63\] HI ) ( mprj_dat_buf\[21\] TE ) + USE SIGNAL ;
- mprj_logic1\[64\] ( ANTENNA_mprj_dat_buf\[22\]_TE DIODE ) ( mprj_logic_high\[64\] HI ) ( mprj_dat_buf\[22\] TE ) + USE SIGNAL ;
- mprj_logic1\[65\] ( ANTENNA_mprj_dat_buf\[23\]_TE DIODE ) ( mprj_logic_high\[65\] HI ) ( mprj_dat_buf\[23\] TE ) + USE SIGNAL ;
- mprj_logic1\[66\] ( ANTENNA_mprj_dat_buf\[24\]_TE DIODE ) ( mprj_logic_high\[66\] HI ) ( mprj_dat_buf\[24\] TE ) + USE SIGNAL ;
- mprj_logic1\[67\] ( ANTENNA_mprj_dat_buf\[25\]_TE DIODE ) ( mprj_logic_high\[67\] HI ) ( mprj_dat_buf\[25\] TE ) + USE SIGNAL ;
- mprj_logic1\[68\] ( ANTENNA_mprj_dat_buf\[26\]_TE DIODE ) ( mprj_logic_high\[68\] HI ) ( mprj_dat_buf\[26\] TE ) + USE SIGNAL ;
- mprj_logic1\[69\] ( ANTENNA_mprj_dat_buf\[27\]_TE DIODE ) ( mprj_logic_high\[69\] HI ) ( mprj_dat_buf\[27\] TE ) + USE SIGNAL ;
- mprj_logic1\[6\] ( ANTENNA_mprj_sel_buf\[0\]_TE DIODE ) ( mprj_sel_buf\[0\] TE ) ( mprj_logic_high\[6\] HI ) + USE SIGNAL ;
- mprj_logic1\[70\] ( ANTENNA_mprj_dat_buf\[28\]_TE DIODE ) ( mprj_logic_high\[70\] HI ) ( mprj_dat_buf\[28\] TE ) + USE SIGNAL ;
- mprj_logic1\[71\] ( ANTENNA_mprj_dat_buf\[29\]_TE DIODE ) ( mprj_logic_high\[71\] HI ) ( mprj_dat_buf\[29\] TE ) + USE SIGNAL ;
- mprj_logic1\[72\] ( ANTENNA_mprj_dat_buf\[30\]_TE DIODE ) ( mprj_logic_high\[72\] HI ) ( mprj_dat_buf\[30\] TE ) + USE SIGNAL ;
- mprj_logic1\[73\] ( ANTENNA_mprj_dat_buf\[31\]_TE DIODE ) ( mprj_logic_high\[73\] HI ) ( mprj_dat_buf\[31\] TE ) + USE SIGNAL ;
- mprj_logic1\[74\] ( ANTENNA_la_buf\[0\]_TE DIODE ) ( mprj_logic_high\[74\] HI ) ( la_buf\[0\] TE ) + USE SIGNAL ;
- mprj_logic1\[75\] ( ANTENNA_la_buf\[1\]_TE DIODE ) ( mprj_logic_high\[75\] HI ) ( la_buf\[1\] TE ) + USE SIGNAL ;
- mprj_logic1\[76\] ( ANTENNA_la_buf\[2\]_TE DIODE ) ( mprj_logic_high\[76\] HI ) ( la_buf\[2\] TE ) + USE SIGNAL ;
- mprj_logic1\[77\] ( ANTENNA_la_buf\[3\]_TE DIODE ) ( mprj_logic_high\[77\] HI ) ( la_buf\[3\] TE ) + USE SIGNAL ;
- mprj_logic1\[78\] ( ANTENNA_la_buf\[4\]_TE DIODE ) ( mprj_logic_high\[78\] HI ) ( la_buf\[4\] TE ) + USE SIGNAL ;
- mprj_logic1\[79\] ( ANTENNA_la_buf\[5\]_TE DIODE ) ( mprj_logic_high\[79\] HI ) ( la_buf\[5\] TE ) + USE SIGNAL ;
- mprj_logic1\[7\] ( ANTENNA_mprj_sel_buf\[1\]_TE DIODE ) ( mprj_sel_buf\[1\] TE ) ( mprj_logic_high\[7\] HI ) + USE SIGNAL ;
- mprj_logic1\[80\] ( ANTENNA_la_buf\[6\]_TE DIODE ) ( mprj_logic_high\[80\] HI ) ( la_buf\[6\] TE ) + USE SIGNAL ;
- mprj_logic1\[81\] ( ANTENNA_la_buf\[7\]_TE DIODE ) ( mprj_logic_high\[81\] HI ) ( la_buf\[7\] TE ) + USE SIGNAL ;
- mprj_logic1\[82\] ( ANTENNA_la_buf\[8\]_TE DIODE ) ( mprj_logic_high\[82\] HI ) ( la_buf\[8\] TE ) + USE SIGNAL ;
- mprj_logic1\[83\] ( ANTENNA_la_buf\[9\]_TE DIODE ) ( mprj_logic_high\[83\] HI ) ( la_buf\[9\] TE ) + USE SIGNAL ;
- mprj_logic1\[84\] ( ANTENNA_la_buf\[10\]_TE DIODE ) ( mprj_logic_high\[84\] HI ) ( la_buf\[10\] TE ) + USE SIGNAL ;
- mprj_logic1\[85\] ( ANTENNA_la_buf\[11\]_TE DIODE ) ( mprj_logic_high\[85\] HI ) ( la_buf\[11\] TE ) + USE SIGNAL ;
- mprj_logic1\[86\] ( ANTENNA_la_buf\[12\]_TE DIODE ) ( mprj_logic_high\[86\] HI ) ( la_buf\[12\] TE ) + USE SIGNAL ;
- mprj_logic1\[87\] ( ANTENNA_la_buf\[13\]_TE DIODE ) ( mprj_logic_high\[87\] HI ) ( la_buf\[13\] TE ) + USE SIGNAL ;
- mprj_logic1\[88\] ( ANTENNA_la_buf\[14\]_TE DIODE ) ( mprj_logic_high\[88\] HI ) ( la_buf\[14\] TE ) + USE SIGNAL ;
- mprj_logic1\[89\] ( ANTENNA_la_buf\[15\]_TE DIODE ) ( mprj_logic_high\[89\] HI ) ( la_buf\[15\] TE ) + USE SIGNAL ;
- mprj_logic1\[8\] ( ANTENNA_mprj_sel_buf\[2\]_TE DIODE ) ( mprj_sel_buf\[2\] TE ) ( mprj_logic_high\[8\] HI ) + USE SIGNAL ;
- mprj_logic1\[90\] ( ANTENNA_la_buf\[16\]_TE DIODE ) ( mprj_logic_high\[90\] HI ) ( la_buf\[16\] TE ) + USE SIGNAL ;
- mprj_logic1\[91\] ( ANTENNA_la_buf\[17\]_TE DIODE ) ( mprj_logic_high\[91\] HI ) ( la_buf\[17\] TE ) + USE SIGNAL ;
- mprj_logic1\[92\] ( ANTENNA_la_buf\[18\]_TE DIODE ) ( mprj_logic_high\[92\] HI ) ( la_buf\[18\] TE ) + USE SIGNAL ;
- mprj_logic1\[93\] ( ANTENNA_la_buf\[19\]_TE DIODE ) ( mprj_logic_high\[93\] HI ) ( la_buf\[19\] TE ) + USE SIGNAL ;
- mprj_logic1\[94\] ( ANTENNA_la_buf\[20\]_TE DIODE ) ( mprj_logic_high\[94\] HI ) ( la_buf\[20\] TE ) + USE SIGNAL ;
- mprj_logic1\[95\] ( ANTENNA_la_buf\[21\]_TE DIODE ) ( mprj_logic_high\[95\] HI ) ( la_buf\[21\] TE ) + USE SIGNAL ;
- mprj_logic1\[96\] ( ANTENNA_la_buf\[22\]_TE DIODE ) ( mprj_logic_high\[96\] HI ) ( la_buf\[22\] TE ) + USE SIGNAL ;
- mprj_logic1\[97\] ( ANTENNA_la_buf\[23\]_TE DIODE ) ( mprj_logic_high\[97\] HI ) ( la_buf\[23\] TE ) + USE SIGNAL ;
- mprj_logic1\[98\] ( ANTENNA_la_buf\[24\]_TE DIODE ) ( mprj_logic_high\[98\] HI ) ( la_buf\[24\] TE ) + USE SIGNAL ;
- mprj_logic1\[99\] ( ANTENNA_la_buf\[25\]_TE DIODE ) ( mprj_logic_high\[99\] HI ) ( la_buf\[25\] TE ) + USE SIGNAL ;
- mprj_logic1\[9\] ( ANTENNA_mprj_sel_buf\[3\]_TE DIODE ) ( mprj_sel_buf\[3\] TE ) ( mprj_logic_high\[9\] HI ) + USE SIGNAL ;
- mprj_vdd_logic1 ( ANTENNA_mprj_vdd_pwrgood_A DIODE ) ( powergood_check mprj_vdd_logic1 ) ( mprj_vdd_pwrgood A ) + USE SIGNAL ;
END NETS
END DESIGN