blob: 6028746d4a0976a9b43c8c21bd8dd0b0b4ac0077 [file] [log] [blame]
module mgmt_protect (caravel_clk,
caravel_clk2,
caravel_rstn,
mprj_cyc_o_core,
mprj_cyc_o_user,
mprj_stb_o_core,
mprj_stb_o_user,
mprj_we_o_core,
mprj_we_o_user,
user1_vcc_powergood,
user1_vdd_powergood,
user2_vcc_powergood,
user2_vdd_powergood,
user_clock,
user_clock2,
user_reset,
user_resetn,
VPWR,
VGND,
la_data_in_core,
la_data_in_mprj,
la_data_out_core,
la_data_out_mprj,
la_oen_core,
la_oen_mprj,
mprj_adr_o_core,
mprj_adr_o_user,
mprj_dat_o_core,
mprj_dat_o_user,
mprj_sel_o_core,
mprj_sel_o_user);
input caravel_clk;
input caravel_clk2;
input caravel_rstn;
input mprj_cyc_o_core;
output mprj_cyc_o_user;
input mprj_stb_o_core;
output mprj_stb_o_user;
input mprj_we_o_core;
output mprj_we_o_user;
output user1_vcc_powergood;
output user1_vdd_powergood;
output user2_vcc_powergood;
output user2_vdd_powergood;
output user_clock;
output user_clock2;
output user_reset;
output user_resetn;
input VPWR;
input VGND;
output [127:0] la_data_in_core;
output [127:0] la_data_in_mprj;
input [127:0] la_data_out_core;
input [127:0] la_data_out_mprj;
output [127:0] la_oen_core;
input [127:0] la_oen_mprj;
input [31:0] mprj_adr_o_core;
output [31:0] mprj_adr_o_user;
input [31:0] mprj_dat_o_core;
output [31:0] mprj_dat_o_user;
input [3:0] mprj_sel_o_core;
output [3:0] mprj_sel_o_user;
sky130_fd_sc_hd__inv_2 _330_ (.A(la_oen_mprj[62]),
.Y(_288_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _331_ (.A(la_oen_mprj[63]),
.Y(_289_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _332_ (.A(la_oen_mprj[64]),
.Y(_290_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _333_ (.A(la_oen_mprj[65]),
.Y(_291_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _334_ (.A(la_oen_mprj[66]),
.Y(_292_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _335_ (.A(la_oen_mprj[67]),
.Y(_293_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _336_ (.A(la_oen_mprj[68]),
.Y(_294_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _337_ (.A(la_oen_mprj[69]),
.Y(_295_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _338_ (.A(la_oen_mprj[70]),
.Y(_297_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _339_ (.A(la_oen_mprj[71]),
.Y(_298_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _340_ (.A(la_oen_mprj[72]),
.Y(_299_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _341_ (.A(la_oen_mprj[73]),
.Y(_300_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _342_ (.A(la_oen_mprj[74]),
.Y(_301_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _343_ (.A(la_oen_mprj[75]),
.Y(_302_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _344_ (.A(la_oen_mprj[76]),
.Y(_303_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _345_ (.A(la_oen_mprj[77]),
.Y(_304_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _346_ (.A(la_oen_mprj[78]),
.Y(_305_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _347_ (.A(la_oen_mprj[79]),
.Y(_306_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _348_ (.A(la_oen_mprj[80]),
.Y(_308_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _349_ (.A(la_oen_mprj[81]),
.Y(_309_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _350_ (.A(la_oen_mprj[82]),
.Y(_310_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _351_ (.A(la_oen_mprj[83]),
.Y(_311_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _352_ (.A(la_oen_mprj[84]),
.Y(_312_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _353_ (.A(la_oen_mprj[85]),
.Y(_313_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _354_ (.A(la_oen_mprj[86]),
.Y(_314_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _355_ (.A(la_oen_mprj[87]),
.Y(_315_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _356_ (.A(la_oen_mprj[88]),
.Y(_316_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _357_ (.A(la_oen_mprj[89]),
.Y(_317_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _358_ (.A(la_oen_mprj[90]),
.Y(_319_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _359_ (.A(la_oen_mprj[91]),
.Y(_320_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _360_ (.A(la_oen_mprj[92]),
.Y(_321_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _361_ (.A(la_oen_mprj[93]),
.Y(_322_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _362_ (.A(la_oen_mprj[94]),
.Y(_323_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _363_ (.A(la_oen_mprj[95]),
.Y(_324_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _364_ (.A(la_oen_mprj[96]),
.Y(_325_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _365_ (.A(la_oen_mprj[97]),
.Y(_326_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _366_ (.A(la_oen_mprj[98]),
.Y(_327_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _367_ (.A(la_oen_mprj[99]),
.Y(_328_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _368_ (.A(la_oen_mprj[100]),
.Y(_203_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _369_ (.A(la_oen_mprj[101]),
.Y(_204_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _370_ (.A(la_oen_mprj[102]),
.Y(_205_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _371_ (.A(la_oen_mprj[103]),
.Y(_206_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _372_ (.A(la_oen_mprj[104]),
.Y(_207_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _373_ (.A(la_oen_mprj[105]),
.Y(_208_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _374_ (.A(la_oen_mprj[106]),
.Y(_209_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _375_ (.A(la_oen_mprj[107]),
.Y(_210_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _376_ (.A(la_oen_mprj[108]),
.Y(_211_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _377_ (.A(la_oen_mprj[109]),
.Y(_212_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _378_ (.A(la_oen_mprj[110]),
.Y(_214_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _379_ (.A(la_oen_mprj[111]),
.Y(_215_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _380_ (.A(la_oen_mprj[112]),
.Y(_216_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _381_ (.A(la_oen_mprj[113]),
.Y(_217_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _382_ (.A(la_oen_mprj[114]),
.Y(_218_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _383_ (.A(la_oen_mprj[115]),
.Y(_219_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _384_ (.A(la_oen_mprj[116]),
.Y(_220_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _385_ (.A(la_oen_mprj[117]),
.Y(_221_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _386_ (.A(la_oen_mprj[118]),
.Y(_222_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _387_ (.A(la_oen_mprj[119]),
.Y(_223_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _388_ (.A(la_oen_mprj[120]),
.Y(_225_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _389_ (.A(la_oen_mprj[121]),
.Y(_226_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _390_ (.A(la_oen_mprj[122]),
.Y(_227_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _391_ (.A(la_oen_mprj[123]),
.Y(_228_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _392_ (.A(la_oen_mprj[124]),
.Y(_229_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _393_ (.A(la_oen_mprj[125]),
.Y(_230_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _394_ (.A(la_oen_mprj[126]),
.Y(_231_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _395_ (.A(la_oen_mprj[127]),
.Y(_232_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _396_ (.A(caravel_rstn),
.Y(_000_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _397_ (.A(user_resetn),
.Y(user_reset),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _398_ (.A(caravel_clk),
.Y(_001_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _399_ (.A(caravel_clk2),
.Y(_002_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _400_ (.A(mprj_cyc_o_core),
.Y(_003_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _401_ (.A(mprj_stb_o_core),
.Y(_004_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _402_ (.A(mprj_we_o_core),
.Y(_005_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _403_ (.A(mprj_sel_o_core[0]),
.Y(_006_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _404_ (.A(mprj_sel_o_core[1]),
.Y(_007_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _405_ (.A(mprj_sel_o_core[2]),
.Y(_008_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _406_ (.A(mprj_sel_o_core[3]),
.Y(_009_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _407_ (.A(mprj_adr_o_core[0]),
.Y(_010_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _408_ (.A(mprj_adr_o_core[1]),
.Y(_021_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _409_ (.A(mprj_adr_o_core[2]),
.Y(_032_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _410_ (.A(mprj_adr_o_core[3]),
.Y(_035_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _411_ (.A(mprj_adr_o_core[4]),
.Y(_036_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _412_ (.A(mprj_adr_o_core[5]),
.Y(_037_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _413_ (.A(mprj_adr_o_core[6]),
.Y(_038_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _414_ (.A(mprj_adr_o_core[7]),
.Y(_039_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _415_ (.A(mprj_adr_o_core[8]),
.Y(_040_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _416_ (.A(mprj_adr_o_core[9]),
.Y(_041_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _417_ (.A(mprj_adr_o_core[10]),
.Y(_011_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _418_ (.A(mprj_adr_o_core[11]),
.Y(_012_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _419_ (.A(mprj_adr_o_core[12]),
.Y(_013_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _420_ (.A(mprj_adr_o_core[13]),
.Y(_014_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _421_ (.A(mprj_adr_o_core[14]),
.Y(_015_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _422_ (.A(mprj_adr_o_core[15]),
.Y(_016_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _423_ (.A(mprj_adr_o_core[16]),
.Y(_017_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _424_ (.A(mprj_adr_o_core[17]),
.Y(_018_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _425_ (.A(mprj_adr_o_core[18]),
.Y(_019_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _426_ (.A(mprj_adr_o_core[19]),
.Y(_020_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _427_ (.A(mprj_adr_o_core[20]),
.Y(_022_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _428_ (.A(mprj_adr_o_core[21]),
.Y(_023_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _429_ (.A(mprj_adr_o_core[22]),
.Y(_024_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _430_ (.A(mprj_adr_o_core[23]),
.Y(_025_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _431_ (.A(mprj_adr_o_core[24]),
.Y(_026_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _432_ (.A(mprj_adr_o_core[25]),
.Y(_027_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _433_ (.A(mprj_adr_o_core[26]),
.Y(_028_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _434_ (.A(mprj_adr_o_core[27]),
.Y(_029_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _435_ (.A(mprj_adr_o_core[28]),
.Y(_030_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _436_ (.A(mprj_adr_o_core[29]),
.Y(_031_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _437_ (.A(mprj_adr_o_core[30]),
.Y(_033_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _438_ (.A(mprj_adr_o_core[31]),
.Y(_034_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _439_ (.A(mprj_dat_o_core[0]),
.Y(_042_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _440_ (.A(mprj_dat_o_core[1]),
.Y(_053_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _441_ (.A(mprj_dat_o_core[2]),
.Y(_064_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _442_ (.A(mprj_dat_o_core[3]),
.Y(_067_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _443_ (.A(mprj_dat_o_core[4]),
.Y(_068_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _444_ (.A(mprj_dat_o_core[5]),
.Y(_069_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _445_ (.A(mprj_dat_o_core[6]),
.Y(_070_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _446_ (.A(mprj_dat_o_core[7]),
.Y(_071_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _447_ (.A(mprj_dat_o_core[8]),
.Y(_072_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _448_ (.A(mprj_dat_o_core[9]),
.Y(_073_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _449_ (.A(mprj_dat_o_core[10]),
.Y(_043_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _450_ (.A(mprj_dat_o_core[11]),
.Y(_044_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _451_ (.A(mprj_dat_o_core[12]),
.Y(_045_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _452_ (.A(mprj_dat_o_core[13]),
.Y(_046_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _453_ (.A(mprj_dat_o_core[14]),
.Y(_047_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _454_ (.A(mprj_dat_o_core[15]),
.Y(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _455_ (.A(mprj_dat_o_core[16]),
.Y(_049_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _456_ (.A(mprj_dat_o_core[17]),
.Y(_050_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _457_ (.A(mprj_dat_o_core[18]),
.Y(_051_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _458_ (.A(mprj_dat_o_core[19]),
.Y(_052_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _459_ (.A(mprj_dat_o_core[20]),
.Y(_054_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _460_ (.A(mprj_dat_o_core[21]),
.Y(_055_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _461_ (.A(mprj_dat_o_core[22]),
.Y(_056_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _462_ (.A(mprj_dat_o_core[23]),
.Y(_057_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _463_ (.A(mprj_dat_o_core[24]),
.Y(_058_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _464_ (.A(mprj_dat_o_core[25]),
.Y(_059_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _465_ (.A(mprj_dat_o_core[26]),
.Y(_060_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _466_ (.A(mprj_dat_o_core[27]),
.Y(_061_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _467_ (.A(mprj_dat_o_core[28]),
.Y(_062_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _468_ (.A(mprj_dat_o_core[29]),
.Y(_063_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _469_ (.A(mprj_dat_o_core[30]),
.Y(_065_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _470_ (.A(mprj_dat_o_core[31]),
.Y(_066_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _471_ (.A(la_data_out_mprj[0]),
.Y(_074_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _472_ (.A(la_data_out_mprj[1]),
.Y(_113_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _473_ (.A(la_data_out_mprj[2]),
.Y(_124_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _474_ (.A(la_data_out_mprj[3]),
.Y(_135_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _475_ (.A(la_data_out_mprj[4]),
.Y(_146_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _476_ (.A(la_data_out_mprj[5]),
.Y(_157_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _477_ (.A(la_data_out_mprj[6]),
.Y(_168_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _478_ (.A(la_data_out_mprj[7]),
.Y(_179_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _479_ (.A(la_data_out_mprj[8]),
.Y(_190_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _480_ (.A(la_data_out_mprj[9]),
.Y(_201_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _481_ (.A(la_data_out_mprj[10]),
.Y(_085_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _482_ (.A(la_data_out_mprj[11]),
.Y(_096_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _483_ (.A(la_data_out_mprj[12]),
.Y(_105_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _484_ (.A(la_data_out_mprj[13]),
.Y(_106_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _485_ (.A(la_data_out_mprj[14]),
.Y(_107_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _486_ (.A(la_data_out_mprj[15]),
.Y(_108_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _487_ (.A(la_data_out_mprj[16]),
.Y(_109_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _488_ (.A(la_data_out_mprj[17]),
.Y(_110_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _489_ (.A(la_data_out_mprj[18]),
.Y(_111_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _490_ (.A(la_data_out_mprj[19]),
.Y(_112_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _491_ (.A(la_data_out_mprj[20]),
.Y(_114_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _492_ (.A(la_data_out_mprj[21]),
.Y(_115_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _493_ (.A(la_data_out_mprj[22]),
.Y(_116_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _494_ (.A(la_data_out_mprj[23]),
.Y(_117_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _495_ (.A(la_data_out_mprj[24]),
.Y(_118_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _496_ (.A(la_data_out_mprj[25]),
.Y(_119_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _497_ (.A(la_data_out_mprj[26]),
.Y(_120_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _498_ (.A(la_data_out_mprj[27]),
.Y(_121_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _499_ (.A(la_data_out_mprj[28]),
.Y(_122_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _500_ (.A(la_data_out_mprj[29]),
.Y(_123_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _501_ (.A(la_data_out_mprj[30]),
.Y(_125_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _502_ (.A(la_data_out_mprj[31]),
.Y(_126_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _503_ (.A(la_data_out_mprj[32]),
.Y(_127_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _504_ (.A(la_data_out_mprj[33]),
.Y(_128_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _505_ (.A(la_data_out_mprj[34]),
.Y(_129_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _506_ (.A(la_data_out_mprj[35]),
.Y(_130_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _507_ (.A(la_data_out_mprj[36]),
.Y(_131_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _508_ (.A(la_data_out_mprj[37]),
.Y(_132_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _509_ (.A(la_data_out_mprj[38]),
.Y(_133_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _510_ (.A(la_data_out_mprj[39]),
.Y(_134_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _511_ (.A(la_data_out_mprj[40]),
.Y(_136_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _512_ (.A(la_data_out_mprj[41]),
.Y(_137_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _513_ (.A(la_data_out_mprj[42]),
.Y(_138_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _514_ (.A(la_data_out_mprj[43]),
.Y(_139_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _515_ (.A(la_data_out_mprj[44]),
.Y(_140_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _516_ (.A(la_data_out_mprj[45]),
.Y(_141_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _517_ (.A(la_data_out_mprj[46]),
.Y(_142_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _518_ (.A(la_data_out_mprj[47]),
.Y(_143_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _519_ (.A(la_data_out_mprj[48]),
.Y(_144_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _520_ (.A(la_data_out_mprj[49]),
.Y(_145_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _521_ (.A(la_data_out_mprj[50]),
.Y(_147_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _522_ (.A(la_data_out_mprj[51]),
.Y(_148_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _523_ (.A(la_data_out_mprj[52]),
.Y(_149_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _524_ (.A(la_data_out_mprj[53]),
.Y(_150_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _525_ (.A(la_data_out_mprj[54]),
.Y(_151_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _526_ (.A(la_data_out_mprj[55]),
.Y(_152_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _527_ (.A(la_data_out_mprj[56]),
.Y(_153_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _528_ (.A(la_data_out_mprj[57]),
.Y(_154_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _529_ (.A(la_data_out_mprj[58]),
.Y(_155_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _530_ (.A(la_data_out_mprj[59]),
.Y(_156_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _531_ (.A(la_data_out_mprj[60]),
.Y(_158_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _532_ (.A(la_data_out_mprj[61]),
.Y(_159_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _533_ (.A(la_data_out_mprj[62]),
.Y(_160_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _534_ (.A(la_data_out_mprj[63]),
.Y(_161_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _535_ (.A(la_data_out_mprj[64]),
.Y(_162_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _536_ (.A(la_data_out_mprj[65]),
.Y(_163_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _537_ (.A(la_data_out_mprj[66]),
.Y(_164_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _538_ (.A(la_data_out_mprj[67]),
.Y(_165_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _539_ (.A(la_data_out_mprj[68]),
.Y(_166_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _540_ (.A(la_data_out_mprj[69]),
.Y(_167_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _541_ (.A(la_data_out_mprj[70]),
.Y(_169_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _542_ (.A(la_data_out_mprj[71]),
.Y(_170_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _543_ (.A(la_data_out_mprj[72]),
.Y(_171_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _544_ (.A(la_data_out_mprj[73]),
.Y(_172_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _545_ (.A(la_data_out_mprj[74]),
.Y(_173_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _546_ (.A(la_data_out_mprj[75]),
.Y(_174_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _547_ (.A(la_data_out_mprj[76]),
.Y(_175_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _548_ (.A(la_data_out_mprj[77]),
.Y(_176_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _549_ (.A(la_data_out_mprj[78]),
.Y(_177_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _550_ (.A(la_data_out_mprj[79]),
.Y(_178_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _551_ (.A(la_data_out_mprj[80]),
.Y(_180_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _552_ (.A(la_data_out_mprj[81]),
.Y(_181_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _553_ (.A(la_data_out_mprj[82]),
.Y(_182_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _554_ (.A(la_data_out_mprj[83]),
.Y(_183_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _555_ (.A(la_data_out_mprj[84]),
.Y(_184_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _556_ (.A(la_data_out_mprj[85]),
.Y(_185_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _557_ (.A(la_data_out_mprj[86]),
.Y(_186_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _558_ (.A(la_data_out_mprj[87]),
.Y(_187_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _559_ (.A(la_data_out_mprj[88]),
.Y(_188_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _560_ (.A(la_data_out_mprj[89]),
.Y(_189_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _561_ (.A(la_data_out_mprj[90]),
.Y(_191_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _562_ (.A(la_data_out_mprj[91]),
.Y(_192_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _563_ (.A(la_data_out_mprj[92]),
.Y(_193_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _564_ (.A(la_data_out_mprj[93]),
.Y(_194_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _565_ (.A(la_data_out_mprj[94]),
.Y(_195_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _566_ (.A(la_data_out_mprj[95]),
.Y(_196_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _567_ (.A(la_data_out_mprj[96]),
.Y(_197_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _568_ (.A(la_data_out_mprj[97]),
.Y(_198_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _569_ (.A(la_data_out_mprj[98]),
.Y(_199_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _570_ (.A(la_data_out_mprj[99]),
.Y(_200_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _571_ (.A(la_data_out_mprj[100]),
.Y(_075_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _572_ (.A(la_data_out_mprj[101]),
.Y(_076_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _573_ (.A(la_data_out_mprj[102]),
.Y(_077_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _574_ (.A(la_data_out_mprj[103]),
.Y(_078_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _575_ (.A(la_data_out_mprj[104]),
.Y(_079_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _576_ (.A(la_data_out_mprj[105]),
.Y(_080_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _577_ (.A(la_data_out_mprj[106]),
.Y(_081_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _578_ (.A(la_data_out_mprj[107]),
.Y(_082_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _579_ (.A(la_data_out_mprj[108]),
.Y(_083_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _580_ (.A(la_data_out_mprj[109]),
.Y(_084_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _581_ (.A(la_data_out_mprj[110]),
.Y(_086_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _582_ (.A(la_data_out_mprj[111]),
.Y(_087_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _583_ (.A(la_data_out_mprj[112]),
.Y(_088_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _584_ (.A(la_data_out_mprj[113]),
.Y(_089_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _585_ (.A(la_data_out_mprj[114]),
.Y(_090_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _586_ (.A(la_data_out_mprj[115]),
.Y(_091_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _587_ (.A(la_data_out_mprj[116]),
.Y(_092_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _588_ (.A(la_data_out_mprj[117]),
.Y(_093_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _589_ (.A(la_data_out_mprj[118]),
.Y(_094_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _590_ (.A(la_data_out_mprj[119]),
.Y(_095_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _591_ (.A(la_data_out_mprj[120]),
.Y(_097_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _592_ (.A(la_data_out_mprj[121]),
.Y(_098_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _593_ (.A(la_data_out_mprj[122]),
.Y(_099_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _594_ (.A(la_data_out_mprj[123]),
.Y(_100_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _595_ (.A(la_data_out_mprj[124]),
.Y(_101_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _596_ (.A(la_data_out_mprj[125]),
.Y(_102_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _597_ (.A(la_data_out_mprj[126]),
.Y(_103_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _598_ (.A(la_data_out_mprj[127]),
.Y(_104_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _599_ (.A(la_oen_mprj[0]),
.Y(_202_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _600_ (.A(la_oen_mprj[1]),
.Y(_241_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _601_ (.A(la_oen_mprj[2]),
.Y(_252_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _602_ (.A(la_oen_mprj[3]),
.Y(_263_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _603_ (.A(la_oen_mprj[4]),
.Y(_274_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _604_ (.A(la_oen_mprj[5]),
.Y(_285_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _605_ (.A(la_oen_mprj[6]),
.Y(_296_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _606_ (.A(la_oen_mprj[7]),
.Y(_307_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _607_ (.A(la_oen_mprj[8]),
.Y(_318_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _608_ (.A(la_oen_mprj[9]),
.Y(_329_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _609_ (.A(la_oen_mprj[10]),
.Y(_213_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _610_ (.A(la_oen_mprj[11]),
.Y(_224_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _611_ (.A(la_oen_mprj[12]),
.Y(_233_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _612_ (.A(la_oen_mprj[13]),
.Y(_234_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _613_ (.A(la_oen_mprj[14]),
.Y(_235_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _614_ (.A(la_oen_mprj[15]),
.Y(_236_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _615_ (.A(la_oen_mprj[16]),
.Y(_237_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _616_ (.A(la_oen_mprj[17]),
.Y(_238_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _617_ (.A(la_oen_mprj[18]),
.Y(_239_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _618_ (.A(la_oen_mprj[19]),
.Y(_240_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _619_ (.A(la_oen_mprj[20]),
.Y(_242_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _620_ (.A(la_oen_mprj[21]),
.Y(_243_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _621_ (.A(la_oen_mprj[22]),
.Y(_244_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _622_ (.A(la_oen_mprj[23]),
.Y(_245_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _623_ (.A(la_oen_mprj[24]),
.Y(_246_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _624_ (.A(la_oen_mprj[25]),
.Y(_247_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _625_ (.A(la_oen_mprj[26]),
.Y(_248_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _626_ (.A(la_oen_mprj[27]),
.Y(_249_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _627_ (.A(la_oen_mprj[28]),
.Y(_250_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _628_ (.A(la_oen_mprj[29]),
.Y(_251_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _629_ (.A(la_oen_mprj[30]),
.Y(_253_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _630_ (.A(la_oen_mprj[31]),
.Y(_254_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _631_ (.A(la_oen_mprj[32]),
.Y(_255_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _632_ (.A(la_oen_mprj[33]),
.Y(_256_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _633_ (.A(la_oen_mprj[34]),
.Y(_257_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _634_ (.A(la_oen_mprj[35]),
.Y(_258_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _635_ (.A(la_oen_mprj[36]),
.Y(_259_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _636_ (.A(la_oen_mprj[37]),
.Y(_260_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _637_ (.A(la_oen_mprj[38]),
.Y(_261_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _638_ (.A(la_oen_mprj[39]),
.Y(_262_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _639_ (.A(la_oen_mprj[40]),
.Y(_264_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _640_ (.A(la_oen_mprj[41]),
.Y(_265_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _641_ (.A(la_oen_mprj[42]),
.Y(_266_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _642_ (.A(la_oen_mprj[43]),
.Y(_267_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _643_ (.A(la_oen_mprj[44]),
.Y(_268_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _644_ (.A(la_oen_mprj[45]),
.Y(_269_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _645_ (.A(la_oen_mprj[46]),
.Y(_270_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _646_ (.A(la_oen_mprj[47]),
.Y(_271_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _647_ (.A(la_oen_mprj[48]),
.Y(_272_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _648_ (.A(la_oen_mprj[49]),
.Y(_273_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _649_ (.A(la_oen_mprj[50]),
.Y(_275_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _650_ (.A(la_oen_mprj[51]),
.Y(_276_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _651_ (.A(la_oen_mprj[52]),
.Y(_277_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _652_ (.A(la_oen_mprj[53]),
.Y(_278_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _653_ (.A(la_oen_mprj[54]),
.Y(_279_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _654_ (.A(la_oen_mprj[55]),
.Y(_280_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _655_ (.A(la_oen_mprj[56]),
.Y(_281_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _656_ (.A(la_oen_mprj[57]),
.Y(_282_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _657_ (.A(la_oen_mprj[58]),
.Y(_283_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _658_ (.A(la_oen_mprj[59]),
.Y(_284_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _659_ (.A(la_oen_mprj[60]),
.Y(_286_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_2 _660_ (.A(la_oen_mprj[61]),
.Y(_287_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[0] (.A(_074_),
.TE(\mprj_logic1[74] ),
.Z(la_data_in_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[100] (.A(_075_),
.TE(\mprj_logic1[174] ),
.Z(la_data_in_core[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[101] (.A(_076_),
.TE(\mprj_logic1[175] ),
.Z(la_data_in_core[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[102] (.A(_077_),
.TE(\mprj_logic1[176] ),
.Z(la_data_in_core[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[103] (.A(_078_),
.TE(\mprj_logic1[177] ),
.Z(la_data_in_core[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[104] (.A(_079_),
.TE(\mprj_logic1[178] ),
.Z(la_data_in_core[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[105] (.A(_080_),
.TE(\mprj_logic1[179] ),
.Z(la_data_in_core[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[106] (.A(_081_),
.TE(\mprj_logic1[180] ),
.Z(la_data_in_core[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[107] (.A(_082_),
.TE(\mprj_logic1[181] ),
.Z(la_data_in_core[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[108] (.A(_083_),
.TE(\mprj_logic1[182] ),
.Z(la_data_in_core[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[109] (.A(_084_),
.TE(\mprj_logic1[183] ),
.Z(la_data_in_core[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[10] (.A(_085_),
.TE(\mprj_logic1[84] ),
.Z(la_data_in_core[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[110] (.A(_086_),
.TE(\mprj_logic1[184] ),
.Z(la_data_in_core[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[111] (.A(_087_),
.TE(\mprj_logic1[185] ),
.Z(la_data_in_core[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[112] (.A(_088_),
.TE(\mprj_logic1[186] ),
.Z(la_data_in_core[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[113] (.A(_089_),
.TE(\mprj_logic1[187] ),
.Z(la_data_in_core[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[114] (.A(_090_),
.TE(\mprj_logic1[188] ),
.Z(la_data_in_core[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[115] (.A(_091_),
.TE(\mprj_logic1[189] ),
.Z(la_data_in_core[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[116] (.A(_092_),
.TE(\mprj_logic1[190] ),
.Z(la_data_in_core[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[117] (.A(_093_),
.TE(\mprj_logic1[191] ),
.Z(la_data_in_core[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[118] (.A(_094_),
.TE(\mprj_logic1[192] ),
.Z(la_data_in_core[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[119] (.A(_095_),
.TE(\mprj_logic1[193] ),
.Z(la_data_in_core[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[11] (.A(_096_),
.TE(\mprj_logic1[85] ),
.Z(la_data_in_core[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[120] (.A(_097_),
.TE(\mprj_logic1[194] ),
.Z(la_data_in_core[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[121] (.A(_098_),
.TE(\mprj_logic1[195] ),
.Z(la_data_in_core[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[122] (.A(_099_),
.TE(\mprj_logic1[196] ),
.Z(la_data_in_core[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[123] (.A(_100_),
.TE(\mprj_logic1[197] ),
.Z(la_data_in_core[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[124] (.A(_101_),
.TE(\mprj_logic1[198] ),
.Z(la_data_in_core[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[125] (.A(_102_),
.TE(\mprj_logic1[199] ),
.Z(la_data_in_core[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[126] (.A(_103_),
.TE(\mprj_logic1[200] ),
.Z(la_data_in_core[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[127] (.A(_104_),
.TE(\mprj_logic1[201] ),
.Z(la_data_in_core[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[12] (.A(_105_),
.TE(\mprj_logic1[86] ),
.Z(la_data_in_core[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[13] (.A(_106_),
.TE(\mprj_logic1[87] ),
.Z(la_data_in_core[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[14] (.A(_107_),
.TE(\mprj_logic1[88] ),
.Z(la_data_in_core[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[15] (.A(_108_),
.TE(\mprj_logic1[89] ),
.Z(la_data_in_core[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[16] (.A(_109_),
.TE(\mprj_logic1[90] ),
.Z(la_data_in_core[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[17] (.A(_110_),
.TE(\mprj_logic1[91] ),
.Z(la_data_in_core[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[18] (.A(_111_),
.TE(\mprj_logic1[92] ),
.Z(la_data_in_core[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[19] (.A(_112_),
.TE(\mprj_logic1[93] ),
.Z(la_data_in_core[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[1] (.A(_113_),
.TE(\mprj_logic1[75] ),
.Z(la_data_in_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[20] (.A(_114_),
.TE(\mprj_logic1[94] ),
.Z(la_data_in_core[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[21] (.A(_115_),
.TE(\mprj_logic1[95] ),
.Z(la_data_in_core[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[22] (.A(_116_),
.TE(\mprj_logic1[96] ),
.Z(la_data_in_core[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[23] (.A(_117_),
.TE(\mprj_logic1[97] ),
.Z(la_data_in_core[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[24] (.A(_118_),
.TE(\mprj_logic1[98] ),
.Z(la_data_in_core[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[25] (.A(_119_),
.TE(\mprj_logic1[99] ),
.Z(la_data_in_core[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[26] (.A(_120_),
.TE(\mprj_logic1[100] ),
.Z(la_data_in_core[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[27] (.A(_121_),
.TE(\mprj_logic1[101] ),
.Z(la_data_in_core[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[28] (.A(_122_),
.TE(\mprj_logic1[102] ),
.Z(la_data_in_core[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[29] (.A(_123_),
.TE(\mprj_logic1[103] ),
.Z(la_data_in_core[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[2] (.A(_124_),
.TE(\mprj_logic1[76] ),
.Z(la_data_in_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[30] (.A(_125_),
.TE(\mprj_logic1[104] ),
.Z(la_data_in_core[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[31] (.A(_126_),
.TE(\mprj_logic1[105] ),
.Z(la_data_in_core[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[32] (.A(_127_),
.TE(\mprj_logic1[106] ),
.Z(la_data_in_core[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[33] (.A(_128_),
.TE(\mprj_logic1[107] ),
.Z(la_data_in_core[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[34] (.A(_129_),
.TE(\mprj_logic1[108] ),
.Z(la_data_in_core[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[35] (.A(_130_),
.TE(\mprj_logic1[109] ),
.Z(la_data_in_core[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[36] (.A(_131_),
.TE(\mprj_logic1[110] ),
.Z(la_data_in_core[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[37] (.A(_132_),
.TE(\mprj_logic1[111] ),
.Z(la_data_in_core[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[38] (.A(_133_),
.TE(\mprj_logic1[112] ),
.Z(la_data_in_core[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[39] (.A(_134_),
.TE(\mprj_logic1[113] ),
.Z(la_data_in_core[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[3] (.A(_135_),
.TE(\mprj_logic1[77] ),
.Z(la_data_in_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[40] (.A(_136_),
.TE(\mprj_logic1[114] ),
.Z(la_data_in_core[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[41] (.A(_137_),
.TE(\mprj_logic1[115] ),
.Z(la_data_in_core[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[42] (.A(_138_),
.TE(\mprj_logic1[116] ),
.Z(la_data_in_core[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[43] (.A(_139_),
.TE(\mprj_logic1[117] ),
.Z(la_data_in_core[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[44] (.A(_140_),
.TE(\mprj_logic1[118] ),
.Z(la_data_in_core[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[45] (.A(_141_),
.TE(\mprj_logic1[119] ),
.Z(la_data_in_core[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[46] (.A(_142_),
.TE(\mprj_logic1[120] ),
.Z(la_data_in_core[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[47] (.A(_143_),
.TE(\mprj_logic1[121] ),
.Z(la_data_in_core[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[48] (.A(_144_),
.TE(\mprj_logic1[122] ),
.Z(la_data_in_core[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[49] (.A(_145_),
.TE(\mprj_logic1[123] ),
.Z(la_data_in_core[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[4] (.A(_146_),
.TE(\mprj_logic1[78] ),
.Z(la_data_in_core[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[50] (.A(_147_),
.TE(\mprj_logic1[124] ),
.Z(la_data_in_core[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[51] (.A(_148_),
.TE(\mprj_logic1[125] ),
.Z(la_data_in_core[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[52] (.A(_149_),
.TE(\mprj_logic1[126] ),
.Z(la_data_in_core[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[53] (.A(_150_),
.TE(\mprj_logic1[127] ),
.Z(la_data_in_core[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[54] (.A(_151_),
.TE(\mprj_logic1[128] ),
.Z(la_data_in_core[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[55] (.A(_152_),
.TE(\mprj_logic1[129] ),
.Z(la_data_in_core[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[56] (.A(_153_),
.TE(\mprj_logic1[130] ),
.Z(la_data_in_core[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[57] (.A(_154_),
.TE(\mprj_logic1[131] ),
.Z(la_data_in_core[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[58] (.A(_155_),
.TE(\mprj_logic1[132] ),
.Z(la_data_in_core[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[59] (.A(_156_),
.TE(\mprj_logic1[133] ),
.Z(la_data_in_core[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[5] (.A(_157_),
.TE(\mprj_logic1[79] ),
.Z(la_data_in_core[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[60] (.A(_158_),
.TE(\mprj_logic1[134] ),
.Z(la_data_in_core[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[61] (.A(_159_),
.TE(\mprj_logic1[135] ),
.Z(la_data_in_core[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[62] (.A(_160_),
.TE(\mprj_logic1[136] ),
.Z(la_data_in_core[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[63] (.A(_161_),
.TE(\mprj_logic1[137] ),
.Z(la_data_in_core[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[64] (.A(_162_),
.TE(\mprj_logic1[138] ),
.Z(la_data_in_core[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[65] (.A(_163_),
.TE(\mprj_logic1[139] ),
.Z(la_data_in_core[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[66] (.A(_164_),
.TE(\mprj_logic1[140] ),
.Z(la_data_in_core[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[67] (.A(_165_),
.TE(\mprj_logic1[141] ),
.Z(la_data_in_core[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[68] (.A(_166_),
.TE(\mprj_logic1[142] ),
.Z(la_data_in_core[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[69] (.A(_167_),
.TE(\mprj_logic1[143] ),
.Z(la_data_in_core[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[6] (.A(_168_),
.TE(\mprj_logic1[80] ),
.Z(la_data_in_core[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[70] (.A(_169_),
.TE(\mprj_logic1[144] ),
.Z(la_data_in_core[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[71] (.A(_170_),
.TE(\mprj_logic1[145] ),
.Z(la_data_in_core[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[72] (.A(_171_),
.TE(\mprj_logic1[146] ),
.Z(la_data_in_core[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[73] (.A(_172_),
.TE(\mprj_logic1[147] ),
.Z(la_data_in_core[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[74] (.A(_173_),
.TE(\mprj_logic1[148] ),
.Z(la_data_in_core[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[75] (.A(_174_),
.TE(\mprj_logic1[149] ),
.Z(la_data_in_core[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[76] (.A(_175_),
.TE(\mprj_logic1[150] ),
.Z(la_data_in_core[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[77] (.A(_176_),
.TE(\mprj_logic1[151] ),
.Z(la_data_in_core[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[78] (.A(_177_),
.TE(\mprj_logic1[152] ),
.Z(la_data_in_core[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[79] (.A(_178_),
.TE(\mprj_logic1[153] ),
.Z(la_data_in_core[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[7] (.A(_179_),
.TE(\mprj_logic1[81] ),
.Z(la_data_in_core[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[80] (.A(_180_),
.TE(\mprj_logic1[154] ),
.Z(la_data_in_core[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[81] (.A(_181_),
.TE(\mprj_logic1[155] ),
.Z(la_data_in_core[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[82] (.A(_182_),
.TE(\mprj_logic1[156] ),
.Z(la_data_in_core[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[83] (.A(_183_),
.TE(\mprj_logic1[157] ),
.Z(la_data_in_core[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[84] (.A(_184_),
.TE(\mprj_logic1[158] ),
.Z(la_data_in_core[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[85] (.A(_185_),
.TE(\mprj_logic1[159] ),
.Z(la_data_in_core[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[86] (.A(_186_),
.TE(\mprj_logic1[160] ),
.Z(la_data_in_core[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[87] (.A(_187_),
.TE(\mprj_logic1[161] ),
.Z(la_data_in_core[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[88] (.A(_188_),
.TE(\mprj_logic1[162] ),
.Z(la_data_in_core[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[89] (.A(_189_),
.TE(\mprj_logic1[163] ),
.Z(la_data_in_core[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[8] (.A(_190_),
.TE(\mprj_logic1[82] ),
.Z(la_data_in_core[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[90] (.A(_191_),
.TE(\mprj_logic1[164] ),
.Z(la_data_in_core[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[91] (.A(_192_),
.TE(\mprj_logic1[165] ),
.Z(la_data_in_core[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[92] (.A(_193_),
.TE(\mprj_logic1[166] ),
.Z(la_data_in_core[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[93] (.A(_194_),
.TE(\mprj_logic1[167] ),
.Z(la_data_in_core[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[94] (.A(_195_),
.TE(\mprj_logic1[168] ),
.Z(la_data_in_core[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[95] (.A(_196_),
.TE(\mprj_logic1[169] ),
.Z(la_data_in_core[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[96] (.A(_197_),
.TE(\mprj_logic1[170] ),
.Z(la_data_in_core[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[97] (.A(_198_),
.TE(\mprj_logic1[171] ),
.Z(la_data_in_core[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[98] (.A(_199_),
.TE(\mprj_logic1[172] ),
.Z(la_data_in_core[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[99] (.A(_200_),
.TE(\mprj_logic1[173] ),
.Z(la_data_in_core[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \la_buf[9] (.A(_201_),
.TE(\mprj_logic1[83] ),
.Z(la_data_in_core[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__buf_8 mprj2_pwrgood (.A(mprj2_vdd_logic1),
.X(user2_vcc_powergood),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__buf_8 mprj2_vdd_pwrgood (.A(mprj2_vdd_logic1),
.X(user2_vdd_powergood),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[0] (.A(_010_),
.TE(\mprj_logic1[10] ),
.Z(mprj_adr_o_user[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[10] (.A(_011_),
.TE(\mprj_logic1[20] ),
.Z(mprj_adr_o_user[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[11] (.A(_012_),
.TE(\mprj_logic1[21] ),
.Z(mprj_adr_o_user[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[12] (.A(_013_),
.TE(\mprj_logic1[22] ),
.Z(mprj_adr_o_user[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[13] (.A(_014_),
.TE(\mprj_logic1[23] ),
.Z(mprj_adr_o_user[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[14] (.A(_015_),
.TE(\mprj_logic1[24] ),
.Z(mprj_adr_o_user[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[15] (.A(_016_),
.TE(\mprj_logic1[25] ),
.Z(mprj_adr_o_user[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[16] (.A(_017_),
.TE(\mprj_logic1[26] ),
.Z(mprj_adr_o_user[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[17] (.A(_018_),
.TE(\mprj_logic1[27] ),
.Z(mprj_adr_o_user[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[18] (.A(_019_),
.TE(\mprj_logic1[28] ),
.Z(mprj_adr_o_user[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[19] (.A(_020_),
.TE(\mprj_logic1[29] ),
.Z(mprj_adr_o_user[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[1] (.A(_021_),
.TE(\mprj_logic1[11] ),
.Z(mprj_adr_o_user[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[20] (.A(_022_),
.TE(\mprj_logic1[30] ),
.Z(mprj_adr_o_user[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[21] (.A(_023_),
.TE(\mprj_logic1[31] ),
.Z(mprj_adr_o_user[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[22] (.A(_024_),
.TE(\mprj_logic1[32] ),
.Z(mprj_adr_o_user[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[23] (.A(_025_),
.TE(\mprj_logic1[33] ),
.Z(mprj_adr_o_user[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[24] (.A(_026_),
.TE(\mprj_logic1[34] ),
.Z(mprj_adr_o_user[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[25] (.A(_027_),
.TE(\mprj_logic1[35] ),
.Z(mprj_adr_o_user[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[26] (.A(_028_),
.TE(\mprj_logic1[36] ),
.Z(mprj_adr_o_user[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[27] (.A(_029_),
.TE(\mprj_logic1[37] ),
.Z(mprj_adr_o_user[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[28] (.A(_030_),
.TE(\mprj_logic1[38] ),
.Z(mprj_adr_o_user[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[29] (.A(_031_),
.TE(\mprj_logic1[39] ),
.Z(mprj_adr_o_user[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[2] (.A(_032_),
.TE(\mprj_logic1[12] ),
.Z(mprj_adr_o_user[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[30] (.A(_033_),
.TE(\mprj_logic1[40] ),
.Z(mprj_adr_o_user[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[31] (.A(_034_),
.TE(\mprj_logic1[41] ),
.Z(mprj_adr_o_user[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[3] (.A(_035_),
.TE(\mprj_logic1[13] ),
.Z(mprj_adr_o_user[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[4] (.A(_036_),
.TE(\mprj_logic1[14] ),
.Z(mprj_adr_o_user[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[5] (.A(_037_),
.TE(\mprj_logic1[15] ),
.Z(mprj_adr_o_user[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[6] (.A(_038_),
.TE(\mprj_logic1[16] ),
.Z(mprj_adr_o_user[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[7] (.A(_039_),
.TE(\mprj_logic1[17] ),
.Z(mprj_adr_o_user[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[8] (.A(_040_),
.TE(\mprj_logic1[18] ),
.Z(mprj_adr_o_user[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[9] (.A(_041_),
.TE(\mprj_logic1[19] ),
.Z(mprj_adr_o_user[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_clk2_buf (.A(_002_),
.TE(\mprj_logic1[2] ),
.Z(user_clock2),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_clk_buf (.A(_001_),
.TE(\mprj_logic1[1] ),
.Z(user_clock),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_cyc_buf (.A(_003_),
.TE(\mprj_logic1[3] ),
.Z(mprj_cyc_o_user),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[0] (.A(_042_),
.TE(\mprj_logic1[42] ),
.Z(mprj_dat_o_user[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[10] (.A(_043_),
.TE(\mprj_logic1[52] ),
.Z(mprj_dat_o_user[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[11] (.A(_044_),
.TE(\mprj_logic1[53] ),
.Z(mprj_dat_o_user[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[12] (.A(_045_),
.TE(\mprj_logic1[54] ),
.Z(mprj_dat_o_user[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[13] (.A(_046_),
.TE(\mprj_logic1[55] ),
.Z(mprj_dat_o_user[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[14] (.A(_047_),
.TE(\mprj_logic1[56] ),
.Z(mprj_dat_o_user[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[15] (.A(_048_),
.TE(\mprj_logic1[57] ),
.Z(mprj_dat_o_user[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[16] (.A(_049_),
.TE(\mprj_logic1[58] ),
.Z(mprj_dat_o_user[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[17] (.A(_050_),
.TE(\mprj_logic1[59] ),
.Z(mprj_dat_o_user[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[18] (.A(_051_),
.TE(\mprj_logic1[60] ),
.Z(mprj_dat_o_user[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[19] (.A(_052_),
.TE(\mprj_logic1[61] ),
.Z(mprj_dat_o_user[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[1] (.A(_053_),
.TE(\mprj_logic1[43] ),
.Z(mprj_dat_o_user[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[20] (.A(_054_),
.TE(\mprj_logic1[62] ),
.Z(mprj_dat_o_user[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[21] (.A(_055_),
.TE(\mprj_logic1[63] ),
.Z(mprj_dat_o_user[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[22] (.A(_056_),
.TE(\mprj_logic1[64] ),
.Z(mprj_dat_o_user[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[23] (.A(_057_),
.TE(\mprj_logic1[65] ),
.Z(mprj_dat_o_user[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[24] (.A(_058_),
.TE(\mprj_logic1[66] ),
.Z(mprj_dat_o_user[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[25] (.A(_059_),
.TE(\mprj_logic1[67] ),
.Z(mprj_dat_o_user[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[26] (.A(_060_),
.TE(\mprj_logic1[68] ),
.Z(mprj_dat_o_user[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[27] (.A(_061_),
.TE(\mprj_logic1[69] ),
.Z(mprj_dat_o_user[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[28] (.A(_062_),
.TE(\mprj_logic1[70] ),
.Z(mprj_dat_o_user[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[29] (.A(_063_),
.TE(\mprj_logic1[71] ),
.Z(mprj_dat_o_user[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[2] (.A(_064_),
.TE(\mprj_logic1[44] ),
.Z(mprj_dat_o_user[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[30] (.A(_065_),
.TE(\mprj_logic1[72] ),
.Z(mprj_dat_o_user[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[31] (.A(_066_),
.TE(\mprj_logic1[73] ),
.Z(mprj_dat_o_user[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[3] (.A(_067_),
.TE(\mprj_logic1[45] ),
.Z(mprj_dat_o_user[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[4] (.A(_068_),
.TE(\mprj_logic1[46] ),
.Z(mprj_dat_o_user[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[5] (.A(_069_),
.TE(\mprj_logic1[47] ),
.Z(mprj_dat_o_user[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[6] (.A(_070_),
.TE(\mprj_logic1[48] ),
.Z(mprj_dat_o_user[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[7] (.A(_071_),
.TE(\mprj_logic1[49] ),
.Z(mprj_dat_o_user[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[8] (.A(_072_),
.TE(\mprj_logic1[50] ),
.Z(mprj_dat_o_user[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[9] (.A(_073_),
.TE(\mprj_logic1[51] ),
.Z(mprj_dat_o_user[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[0] (.HI(\mprj_logic1[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[100] (.HI(\mprj_logic1[100] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[101] (.HI(\mprj_logic1[101] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[102] (.HI(\mprj_logic1[102] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[103] (.HI(\mprj_logic1[103] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[104] (.HI(\mprj_logic1[104] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[105] (.HI(\mprj_logic1[105] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[106] (.HI(\mprj_logic1[106] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[107] (.HI(\mprj_logic1[107] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[108] (.HI(\mprj_logic1[108] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[109] (.HI(\mprj_logic1[109] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[10] (.HI(\mprj_logic1[10] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[110] (.HI(\mprj_logic1[110] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[111] (.HI(\mprj_logic1[111] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[112] (.HI(\mprj_logic1[112] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[113] (.HI(\mprj_logic1[113] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[114] (.HI(\mprj_logic1[114] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[115] (.HI(\mprj_logic1[115] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[116] (.HI(\mprj_logic1[116] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[117] (.HI(\mprj_logic1[117] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[118] (.HI(\mprj_logic1[118] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[119] (.HI(\mprj_logic1[119] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[11] (.HI(\mprj_logic1[11] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[120] (.HI(\mprj_logic1[120] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[121] (.HI(\mprj_logic1[121] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[122] (.HI(\mprj_logic1[122] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[123] (.HI(\mprj_logic1[123] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[124] (.HI(\mprj_logic1[124] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[125] (.HI(\mprj_logic1[125] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[126] (.HI(\mprj_logic1[126] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[127] (.HI(\mprj_logic1[127] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[128] (.HI(\mprj_logic1[128] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[129] (.HI(\mprj_logic1[129] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[12] (.HI(\mprj_logic1[12] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[130] (.HI(\mprj_logic1[130] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[131] (.HI(\mprj_logic1[131] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[132] (.HI(\mprj_logic1[132] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[133] (.HI(\mprj_logic1[133] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[134] (.HI(\mprj_logic1[134] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[135] (.HI(\mprj_logic1[135] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[136] (.HI(\mprj_logic1[136] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[137] (.HI(\mprj_logic1[137] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[138] (.HI(\mprj_logic1[138] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[139] (.HI(\mprj_logic1[139] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[13] (.HI(\mprj_logic1[13] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[140] (.HI(\mprj_logic1[140] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[141] (.HI(\mprj_logic1[141] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[142] (.HI(\mprj_logic1[142] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[143] (.HI(\mprj_logic1[143] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[144] (.HI(\mprj_logic1[144] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[145] (.HI(\mprj_logic1[145] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[146] (.HI(\mprj_logic1[146] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[147] (.HI(\mprj_logic1[147] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[148] (.HI(\mprj_logic1[148] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[149] (.HI(\mprj_logic1[149] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[14] (.HI(\mprj_logic1[14] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[150] (.HI(\mprj_logic1[150] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[151] (.HI(\mprj_logic1[151] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[152] (.HI(\mprj_logic1[152] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[153] (.HI(\mprj_logic1[153] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[154] (.HI(\mprj_logic1[154] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[155] (.HI(\mprj_logic1[155] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[156] (.HI(\mprj_logic1[156] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[157] (.HI(\mprj_logic1[157] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[158] (.HI(\mprj_logic1[158] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[159] (.HI(\mprj_logic1[159] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[15] (.HI(\mprj_logic1[15] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[160] (.HI(\mprj_logic1[160] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[161] (.HI(\mprj_logic1[161] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[162] (.HI(\mprj_logic1[162] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[163] (.HI(\mprj_logic1[163] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[164] (.HI(\mprj_logic1[164] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[165] (.HI(\mprj_logic1[165] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[166] (.HI(\mprj_logic1[166] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[167] (.HI(\mprj_logic1[167] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[168] (.HI(\mprj_logic1[168] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[169] (.HI(\mprj_logic1[169] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[16] (.HI(\mprj_logic1[16] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[170] (.HI(\mprj_logic1[170] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[171] (.HI(\mprj_logic1[171] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[172] (.HI(\mprj_logic1[172] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[173] (.HI(\mprj_logic1[173] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[174] (.HI(\mprj_logic1[174] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[175] (.HI(\mprj_logic1[175] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[176] (.HI(\mprj_logic1[176] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[177] (.HI(\mprj_logic1[177] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[178] (.HI(\mprj_logic1[178] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[179] (.HI(\mprj_logic1[179] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[17] (.HI(\mprj_logic1[17] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[180] (.HI(\mprj_logic1[180] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[181] (.HI(\mprj_logic1[181] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[182] (.HI(\mprj_logic1[182] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[183] (.HI(\mprj_logic1[183] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[184] (.HI(\mprj_logic1[184] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[185] (.HI(\mprj_logic1[185] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[186] (.HI(\mprj_logic1[186] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[187] (.HI(\mprj_logic1[187] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[188] (.HI(\mprj_logic1[188] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[189] (.HI(\mprj_logic1[189] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[18] (.HI(\mprj_logic1[18] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[190] (.HI(\mprj_logic1[190] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[191] (.HI(\mprj_logic1[191] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[192] (.HI(\mprj_logic1[192] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[193] (.HI(\mprj_logic1[193] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[194] (.HI(\mprj_logic1[194] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[195] (.HI(\mprj_logic1[195] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[196] (.HI(\mprj_logic1[196] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[197] (.HI(\mprj_logic1[197] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[198] (.HI(\mprj_logic1[198] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[199] (.HI(\mprj_logic1[199] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[19] (.HI(\mprj_logic1[19] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[1] (.HI(\mprj_logic1[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[200] (.HI(\mprj_logic1[200] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[201] (.HI(\mprj_logic1[201] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[202] (.HI(\mprj_logic1[202] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[203] (.HI(\mprj_logic1[203] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[204] (.HI(\mprj_logic1[204] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[205] (.HI(\mprj_logic1[205] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[206] (.HI(\mprj_logic1[206] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[207] (.HI(\mprj_logic1[207] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[208] (.HI(\mprj_logic1[208] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[209] (.HI(\mprj_logic1[209] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[20] (.HI(\mprj_logic1[20] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[210] (.HI(\mprj_logic1[210] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[211] (.HI(\mprj_logic1[211] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[212] (.HI(\mprj_logic1[212] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[213] (.HI(\mprj_logic1[213] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[214] (.HI(\mprj_logic1[214] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[215] (.HI(\mprj_logic1[215] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[216] (.HI(\mprj_logic1[216] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[217] (.HI(\mprj_logic1[217] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[218] (.HI(\mprj_logic1[218] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[219] (.HI(\mprj_logic1[219] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[21] (.HI(\mprj_logic1[21] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[220] (.HI(\mprj_logic1[220] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[221] (.HI(\mprj_logic1[221] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[222] (.HI(\mprj_logic1[222] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[223] (.HI(\mprj_logic1[223] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[224] (.HI(\mprj_logic1[224] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[225] (.HI(\mprj_logic1[225] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[226] (.HI(\mprj_logic1[226] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[227] (.HI(\mprj_logic1[227] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[228] (.HI(\mprj_logic1[228] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[229] (.HI(\mprj_logic1[229] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[22] (.HI(\mprj_logic1[22] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[230] (.HI(\mprj_logic1[230] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[231] (.HI(\mprj_logic1[231] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[232] (.HI(\mprj_logic1[232] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[233] (.HI(\mprj_logic1[233] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[234] (.HI(\mprj_logic1[234] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[235] (.HI(\mprj_logic1[235] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[236] (.HI(\mprj_logic1[236] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[237] (.HI(\mprj_logic1[237] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[238] (.HI(\mprj_logic1[238] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[239] (.HI(\mprj_logic1[239] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[23] (.HI(\mprj_logic1[23] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[240] (.HI(\mprj_logic1[240] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[241] (.HI(\mprj_logic1[241] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[242] (.HI(\mprj_logic1[242] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[243] (.HI(\mprj_logic1[243] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[244] (.HI(\mprj_logic1[244] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[245] (.HI(\mprj_logic1[245] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[246] (.HI(\mprj_logic1[246] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[247] (.HI(\mprj_logic1[247] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[248] (.HI(\mprj_logic1[248] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[249] (.HI(\mprj_logic1[249] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[24] (.HI(\mprj_logic1[24] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[250] (.HI(\mprj_logic1[250] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[251] (.HI(\mprj_logic1[251] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[252] (.HI(\mprj_logic1[252] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[253] (.HI(\mprj_logic1[253] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[254] (.HI(\mprj_logic1[254] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[255] (.HI(\mprj_logic1[255] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[256] (.HI(\mprj_logic1[256] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[257] (.HI(\mprj_logic1[257] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[258] (.HI(\mprj_logic1[258] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[259] (.HI(\mprj_logic1[259] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[25] (.HI(\mprj_logic1[25] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[260] (.HI(\mprj_logic1[260] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[261] (.HI(\mprj_logic1[261] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[262] (.HI(\mprj_logic1[262] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[263] (.HI(\mprj_logic1[263] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[264] (.HI(\mprj_logic1[264] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[265] (.HI(\mprj_logic1[265] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[266] (.HI(\mprj_logic1[266] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[267] (.HI(\mprj_logic1[267] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[268] (.HI(\mprj_logic1[268] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[269] (.HI(\mprj_logic1[269] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[26] (.HI(\mprj_logic1[26] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[270] (.HI(\mprj_logic1[270] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[271] (.HI(\mprj_logic1[271] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[272] (.HI(\mprj_logic1[272] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[273] (.HI(\mprj_logic1[273] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[274] (.HI(\mprj_logic1[274] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[275] (.HI(\mprj_logic1[275] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[276] (.HI(\mprj_logic1[276] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[277] (.HI(\mprj_logic1[277] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[278] (.HI(\mprj_logic1[278] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[279] (.HI(\mprj_logic1[279] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[27] (.HI(\mprj_logic1[27] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[280] (.HI(\mprj_logic1[280] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[281] (.HI(\mprj_logic1[281] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[282] (.HI(\mprj_logic1[282] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[283] (.HI(\mprj_logic1[283] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[284] (.HI(\mprj_logic1[284] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[285] (.HI(\mprj_logic1[285] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[286] (.HI(\mprj_logic1[286] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[287] (.HI(\mprj_logic1[287] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[288] (.HI(\mprj_logic1[288] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[289] (.HI(\mprj_logic1[289] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[28] (.HI(\mprj_logic1[28] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[290] (.HI(\mprj_logic1[290] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[291] (.HI(\mprj_logic1[291] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[292] (.HI(\mprj_logic1[292] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[293] (.HI(\mprj_logic1[293] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[294] (.HI(\mprj_logic1[294] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[295] (.HI(\mprj_logic1[295] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[296] (.HI(\mprj_logic1[296] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[297] (.HI(\mprj_logic1[297] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[298] (.HI(\mprj_logic1[298] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[299] (.HI(\mprj_logic1[299] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[29] (.HI(\mprj_logic1[29] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[2] (.HI(\mprj_logic1[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[300] (.HI(\mprj_logic1[300] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[301] (.HI(\mprj_logic1[301] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[302] (.HI(\mprj_logic1[302] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[303] (.HI(\mprj_logic1[303] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[304] (.HI(\mprj_logic1[304] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[305] (.HI(\mprj_logic1[305] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[306] (.HI(\mprj_logic1[306] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[307] (.HI(\mprj_logic1[307] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[308] (.HI(\mprj_logic1[308] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[309] (.HI(\mprj_logic1[309] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[30] (.HI(\mprj_logic1[30] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[310] (.HI(\mprj_logic1[310] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[311] (.HI(\mprj_logic1[311] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[312] (.HI(\mprj_logic1[312] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[313] (.HI(\mprj_logic1[313] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[314] (.HI(\mprj_logic1[314] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[315] (.HI(\mprj_logic1[315] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[316] (.HI(\mprj_logic1[316] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[317] (.HI(\mprj_logic1[317] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[318] (.HI(\mprj_logic1[318] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[319] (.HI(\mprj_logic1[319] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[31] (.HI(\mprj_logic1[31] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[320] (.HI(\mprj_logic1[320] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[321] (.HI(\mprj_logic1[321] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[322] (.HI(\mprj_logic1[322] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[323] (.HI(\mprj_logic1[323] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[324] (.HI(\mprj_logic1[324] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[325] (.HI(\mprj_logic1[325] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[326] (.HI(\mprj_logic1[326] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[327] (.HI(\mprj_logic1[327] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[328] (.HI(\mprj_logic1[328] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[329] (.HI(\mprj_logic1[329] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[32] (.HI(\mprj_logic1[32] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[330] (.HI(\mprj_logic1[330] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[331] (.HI(\mprj_logic1[331] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[332] (.HI(\mprj_logic1[332] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[333] (.HI(\mprj_logic1[333] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[334] (.HI(\mprj_logic1[334] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[335] (.HI(\mprj_logic1[335] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[336] (.HI(\mprj_logic1[336] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[337] (.HI(\mprj_logic1[337] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[338] (.HI(\mprj_logic1[338] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[339] (.HI(\mprj_logic1[339] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[33] (.HI(\mprj_logic1[33] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[340] (.HI(\mprj_logic1[340] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[341] (.HI(\mprj_logic1[341] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[342] (.HI(\mprj_logic1[342] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[343] (.HI(\mprj_logic1[343] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[344] (.HI(\mprj_logic1[344] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[345] (.HI(\mprj_logic1[345] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[346] (.HI(\mprj_logic1[346] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[347] (.HI(\mprj_logic1[347] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[348] (.HI(\mprj_logic1[348] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[349] (.HI(\mprj_logic1[349] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[34] (.HI(\mprj_logic1[34] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[350] (.HI(\mprj_logic1[350] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[351] (.HI(\mprj_logic1[351] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[352] (.HI(\mprj_logic1[352] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[353] (.HI(\mprj_logic1[353] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[354] (.HI(\mprj_logic1[354] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[355] (.HI(\mprj_logic1[355] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[356] (.HI(\mprj_logic1[356] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[357] (.HI(\mprj_logic1[357] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[358] (.HI(\mprj_logic1[358] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[359] (.HI(\mprj_logic1[359] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[35] (.HI(\mprj_logic1[35] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[360] (.HI(\mprj_logic1[360] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[361] (.HI(\mprj_logic1[361] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[362] (.HI(\mprj_logic1[362] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[363] (.HI(\mprj_logic1[363] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[364] (.HI(\mprj_logic1[364] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[365] (.HI(\mprj_logic1[365] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[366] (.HI(\mprj_logic1[366] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[367] (.HI(\mprj_logic1[367] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[368] (.HI(\mprj_logic1[368] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[369] (.HI(\mprj_logic1[369] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[36] (.HI(\mprj_logic1[36] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[370] (.HI(\mprj_logic1[370] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[371] (.HI(\mprj_logic1[371] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[372] (.HI(\mprj_logic1[372] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[373] (.HI(\mprj_logic1[373] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[374] (.HI(\mprj_logic1[374] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[375] (.HI(\mprj_logic1[375] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[376] (.HI(\mprj_logic1[376] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[377] (.HI(\mprj_logic1[377] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[378] (.HI(\mprj_logic1[378] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[379] (.HI(\mprj_logic1[379] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[37] (.HI(\mprj_logic1[37] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[380] (.HI(\mprj_logic1[380] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[381] (.HI(\mprj_logic1[381] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[382] (.HI(\mprj_logic1[382] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[383] (.HI(\mprj_logic1[383] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[384] (.HI(\mprj_logic1[384] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[385] (.HI(\mprj_logic1[385] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[386] (.HI(\mprj_logic1[386] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[387] (.HI(\mprj_logic1[387] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[388] (.HI(\mprj_logic1[388] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[389] (.HI(\mprj_logic1[389] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[38] (.HI(\mprj_logic1[38] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[390] (.HI(\mprj_logic1[390] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[391] (.HI(\mprj_logic1[391] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[392] (.HI(\mprj_logic1[392] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[393] (.HI(\mprj_logic1[393] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[394] (.HI(\mprj_logic1[394] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[395] (.HI(\mprj_logic1[395] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[396] (.HI(\mprj_logic1[396] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[397] (.HI(\mprj_logic1[397] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[398] (.HI(\mprj_logic1[398] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[399] (.HI(\mprj_logic1[399] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[39] (.HI(\mprj_logic1[39] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[3] (.HI(\mprj_logic1[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[400] (.HI(\mprj_logic1[400] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[401] (.HI(\mprj_logic1[401] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[402] (.HI(\mprj_logic1[402] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[403] (.HI(\mprj_logic1[403] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[404] (.HI(\mprj_logic1[404] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[405] (.HI(\mprj_logic1[405] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[406] (.HI(\mprj_logic1[406] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[407] (.HI(\mprj_logic1[407] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[408] (.HI(\mprj_logic1[408] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[409] (.HI(\mprj_logic1[409] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[40] (.HI(\mprj_logic1[40] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[410] (.HI(\mprj_logic1[410] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[411] (.HI(\mprj_logic1[411] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[412] (.HI(\mprj_logic1[412] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[413] (.HI(\mprj_logic1[413] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[414] (.HI(\mprj_logic1[414] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[415] (.HI(\mprj_logic1[415] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[416] (.HI(\mprj_logic1[416] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[417] (.HI(\mprj_logic1[417] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[418] (.HI(\mprj_logic1[418] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[419] (.HI(\mprj_logic1[419] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[41] (.HI(\mprj_logic1[41] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[420] (.HI(\mprj_logic1[420] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[421] (.HI(\mprj_logic1[421] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[422] (.HI(\mprj_logic1[422] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[423] (.HI(\mprj_logic1[423] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[424] (.HI(\mprj_logic1[424] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[425] (.HI(\mprj_logic1[425] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[426] (.HI(\mprj_logic1[426] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[427] (.HI(\mprj_logic1[427] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[428] (.HI(\mprj_logic1[428] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[429] (.HI(\mprj_logic1[429] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[42] (.HI(\mprj_logic1[42] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[430] (.HI(\mprj_logic1[430] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[431] (.HI(\mprj_logic1[431] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[432] (.HI(\mprj_logic1[432] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[433] (.HI(\mprj_logic1[433] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[434] (.HI(\mprj_logic1[434] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[435] (.HI(\mprj_logic1[435] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[436] (.HI(\mprj_logic1[436] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[437] (.HI(\mprj_logic1[437] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[438] (.HI(\mprj_logic1[438] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[439] (.HI(\mprj_logic1[439] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[43] (.HI(\mprj_logic1[43] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[440] (.HI(\mprj_logic1[440] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[441] (.HI(\mprj_logic1[441] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[442] (.HI(\mprj_logic1[442] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[443] (.HI(\mprj_logic1[443] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[444] (.HI(\mprj_logic1[444] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[445] (.HI(\mprj_logic1[445] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[446] (.HI(\mprj_logic1[446] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[447] (.HI(\mprj_logic1[447] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[448] (.HI(\mprj_logic1[448] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[449] (.HI(\mprj_logic1[449] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[44] (.HI(\mprj_logic1[44] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[450] (.HI(\mprj_logic1[450] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[451] (.HI(\mprj_logic1[451] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[452] (.HI(\mprj_logic1[452] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[453] (.HI(\mprj_logic1[453] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[454] (.HI(\mprj_logic1[454] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[455] (.HI(\mprj_logic1[455] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[456] (.HI(\mprj_logic1[456] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[457] (.HI(\mprj_logic1[457] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[458] (.HI(\mprj_logic1[458] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[45] (.HI(\mprj_logic1[45] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[46] (.HI(\mprj_logic1[46] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[47] (.HI(\mprj_logic1[47] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[48] (.HI(\mprj_logic1[48] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[49] (.HI(\mprj_logic1[49] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[4] (.HI(\mprj_logic1[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[50] (.HI(\mprj_logic1[50] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[51] (.HI(\mprj_logic1[51] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[52] (.HI(\mprj_logic1[52] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[53] (.HI(\mprj_logic1[53] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[54] (.HI(\mprj_logic1[54] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[55] (.HI(\mprj_logic1[55] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[56] (.HI(\mprj_logic1[56] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[57] (.HI(\mprj_logic1[57] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[58] (.HI(\mprj_logic1[58] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[59] (.HI(\mprj_logic1[59] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[5] (.HI(\mprj_logic1[5] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[60] (.HI(\mprj_logic1[60] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[61] (.HI(\mprj_logic1[61] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[62] (.HI(\mprj_logic1[62] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[63] (.HI(\mprj_logic1[63] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[64] (.HI(\mprj_logic1[64] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[65] (.HI(\mprj_logic1[65] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[66] (.HI(\mprj_logic1[66] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[67] (.HI(\mprj_logic1[67] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[68] (.HI(\mprj_logic1[68] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[69] (.HI(\mprj_logic1[69] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[6] (.HI(\mprj_logic1[6] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[70] (.HI(\mprj_logic1[70] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[71] (.HI(\mprj_logic1[71] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[72] (.HI(\mprj_logic1[72] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[73] (.HI(\mprj_logic1[73] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[74] (.HI(\mprj_logic1[74] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[75] (.HI(\mprj_logic1[75] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[76] (.HI(\mprj_logic1[76] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[77] (.HI(\mprj_logic1[77] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[78] (.HI(\mprj_logic1[78] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[79] (.HI(\mprj_logic1[79] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[7] (.HI(\mprj_logic1[7] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[80] (.HI(\mprj_logic1[80] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[81] (.HI(\mprj_logic1[81] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[82] (.HI(\mprj_logic1[82] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[83] (.HI(\mprj_logic1[83] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[84] (.HI(\mprj_logic1[84] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[85] (.HI(\mprj_logic1[85] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[86] (.HI(\mprj_logic1[86] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[87] (.HI(\mprj_logic1[87] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[88] (.HI(\mprj_logic1[88] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[89] (.HI(\mprj_logic1[89] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[8] (.HI(\mprj_logic1[8] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[90] (.HI(\mprj_logic1[90] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[91] (.HI(\mprj_logic1[91] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[92] (.HI(\mprj_logic1[92] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[93] (.HI(\mprj_logic1[93] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[94] (.HI(\mprj_logic1[94] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[95] (.HI(\mprj_logic1[95] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[96] (.HI(\mprj_logic1[96] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[97] (.HI(\mprj_logic1[97] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[98] (.HI(\mprj_logic1[98] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[99] (.HI(\mprj_logic1[99] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__conb_1 \mprj_logic_high[9] (.HI(\mprj_logic1[9] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__buf_8 mprj_pwrgood (.A(\mprj_logic1[458] ),
.X(user1_vcc_powergood),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_rstn_buf (.A(_000_),
.TE(\mprj_logic1[0] ),
.Z(user_resetn),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[0] (.A(_006_),
.TE(\mprj_logic1[6] ),
.Z(mprj_sel_o_user[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[1] (.A(_007_),
.TE(\mprj_logic1[7] ),
.Z(mprj_sel_o_user[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[2] (.A(_008_),
.TE(\mprj_logic1[8] ),
.Z(mprj_sel_o_user[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[3] (.A(_009_),
.TE(\mprj_logic1[9] ),
.Z(mprj_sel_o_user[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_stb_buf (.A(_004_),
.TE(\mprj_logic1[4] ),
.Z(mprj_stb_o_user),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__buf_8 mprj_vdd_pwrgood (.A(mprj_vdd_logic1),
.X(user1_vdd_powergood),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 mprj_we_buf (.A(_005_),
.TE(\mprj_logic1[5] ),
.Z(mprj_we_o_user),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
mgmt_protect_hv powergood_check (.mprj2_vdd_logic1(mprj2_vdd_logic1),
.mprj_vdd_logic1(mprj_vdd_logic1),
.VPWR(VPWR),
.VGND(VGND));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[0] (.A(\la_data_in_mprj_bar[0] ),
.Y(la_data_in_mprj[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[100] (.A(\la_data_in_mprj_bar[100] ),
.Y(la_data_in_mprj[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[101] (.A(\la_data_in_mprj_bar[101] ),
.Y(la_data_in_mprj[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[102] (.A(\la_data_in_mprj_bar[102] ),
.Y(la_data_in_mprj[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[103] (.A(\la_data_in_mprj_bar[103] ),
.Y(la_data_in_mprj[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[104] (.A(\la_data_in_mprj_bar[104] ),
.Y(la_data_in_mprj[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[105] (.A(\la_data_in_mprj_bar[105] ),
.Y(la_data_in_mprj[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[106] (.A(\la_data_in_mprj_bar[106] ),
.Y(la_data_in_mprj[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[107] (.A(\la_data_in_mprj_bar[107] ),
.Y(la_data_in_mprj[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[108] (.A(\la_data_in_mprj_bar[108] ),
.Y(la_data_in_mprj[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[109] (.A(\la_data_in_mprj_bar[109] ),
.Y(la_data_in_mprj[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[10] (.A(\la_data_in_mprj_bar[10] ),
.Y(la_data_in_mprj[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[110] (.A(\la_data_in_mprj_bar[110] ),
.Y(la_data_in_mprj[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[111] (.A(\la_data_in_mprj_bar[111] ),
.Y(la_data_in_mprj[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[112] (.A(\la_data_in_mprj_bar[112] ),
.Y(la_data_in_mprj[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[113] (.A(\la_data_in_mprj_bar[113] ),
.Y(la_data_in_mprj[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[114] (.A(\la_data_in_mprj_bar[114] ),
.Y(la_data_in_mprj[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[115] (.A(\la_data_in_mprj_bar[115] ),
.Y(la_data_in_mprj[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[116] (.A(\la_data_in_mprj_bar[116] ),
.Y(la_data_in_mprj[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[117] (.A(\la_data_in_mprj_bar[117] ),
.Y(la_data_in_mprj[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[118] (.A(\la_data_in_mprj_bar[118] ),
.Y(la_data_in_mprj[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[119] (.A(\la_data_in_mprj_bar[119] ),
.Y(la_data_in_mprj[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[11] (.A(\la_data_in_mprj_bar[11] ),
.Y(la_data_in_mprj[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[120] (.A(\la_data_in_mprj_bar[120] ),
.Y(la_data_in_mprj[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[121] (.A(\la_data_in_mprj_bar[121] ),
.Y(la_data_in_mprj[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[122] (.A(\la_data_in_mprj_bar[122] ),
.Y(la_data_in_mprj[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[123] (.A(\la_data_in_mprj_bar[123] ),
.Y(la_data_in_mprj[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[124] (.A(\la_data_in_mprj_bar[124] ),
.Y(la_data_in_mprj[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[125] (.A(\la_data_in_mprj_bar[125] ),
.Y(la_data_in_mprj[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[126] (.A(\la_data_in_mprj_bar[126] ),
.Y(la_data_in_mprj[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[127] (.A(\la_data_in_mprj_bar[127] ),
.Y(la_data_in_mprj[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[12] (.A(\la_data_in_mprj_bar[12] ),
.Y(la_data_in_mprj[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[13] (.A(\la_data_in_mprj_bar[13] ),
.Y(la_data_in_mprj[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[14] (.A(\la_data_in_mprj_bar[14] ),
.Y(la_data_in_mprj[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[15] (.A(\la_data_in_mprj_bar[15] ),
.Y(la_data_in_mprj[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[16] (.A(\la_data_in_mprj_bar[16] ),
.Y(la_data_in_mprj[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[17] (.A(\la_data_in_mprj_bar[17] ),
.Y(la_data_in_mprj[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[18] (.A(\la_data_in_mprj_bar[18] ),
.Y(la_data_in_mprj[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[19] (.A(\la_data_in_mprj_bar[19] ),
.Y(la_data_in_mprj[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[1] (.A(\la_data_in_mprj_bar[1] ),
.Y(la_data_in_mprj[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[20] (.A(\la_data_in_mprj_bar[20] ),
.Y(la_data_in_mprj[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[21] (.A(\la_data_in_mprj_bar[21] ),
.Y(la_data_in_mprj[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[22] (.A(\la_data_in_mprj_bar[22] ),
.Y(la_data_in_mprj[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[23] (.A(\la_data_in_mprj_bar[23] ),
.Y(la_data_in_mprj[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[24] (.A(\la_data_in_mprj_bar[24] ),
.Y(la_data_in_mprj[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[25] (.A(\la_data_in_mprj_bar[25] ),
.Y(la_data_in_mprj[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[26] (.A(\la_data_in_mprj_bar[26] ),
.Y(la_data_in_mprj[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[27] (.A(\la_data_in_mprj_bar[27] ),
.Y(la_data_in_mprj[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[28] (.A(\la_data_in_mprj_bar[28] ),
.Y(la_data_in_mprj[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[29] (.A(\la_data_in_mprj_bar[29] ),
.Y(la_data_in_mprj[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[2] (.A(\la_data_in_mprj_bar[2] ),
.Y(la_data_in_mprj[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[30] (.A(\la_data_in_mprj_bar[30] ),
.Y(la_data_in_mprj[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[31] (.A(\la_data_in_mprj_bar[31] ),
.Y(la_data_in_mprj[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[32] (.A(\la_data_in_mprj_bar[32] ),
.Y(la_data_in_mprj[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[33] (.A(\la_data_in_mprj_bar[33] ),
.Y(la_data_in_mprj[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[34] (.A(\la_data_in_mprj_bar[34] ),
.Y(la_data_in_mprj[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[35] (.A(\la_data_in_mprj_bar[35] ),
.Y(la_data_in_mprj[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[36] (.A(\la_data_in_mprj_bar[36] ),
.Y(la_data_in_mprj[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[37] (.A(\la_data_in_mprj_bar[37] ),
.Y(la_data_in_mprj[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[38] (.A(\la_data_in_mprj_bar[38] ),
.Y(la_data_in_mprj[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[39] (.A(\la_data_in_mprj_bar[39] ),
.Y(la_data_in_mprj[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[3] (.A(\la_data_in_mprj_bar[3] ),
.Y(la_data_in_mprj[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[40] (.A(\la_data_in_mprj_bar[40] ),
.Y(la_data_in_mprj[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[41] (.A(\la_data_in_mprj_bar[41] ),
.Y(la_data_in_mprj[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[42] (.A(\la_data_in_mprj_bar[42] ),
.Y(la_data_in_mprj[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[43] (.A(\la_data_in_mprj_bar[43] ),
.Y(la_data_in_mprj[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[44] (.A(\la_data_in_mprj_bar[44] ),
.Y(la_data_in_mprj[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[45] (.A(\la_data_in_mprj_bar[45] ),
.Y(la_data_in_mprj[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[46] (.A(\la_data_in_mprj_bar[46] ),
.Y(la_data_in_mprj[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[47] (.A(\la_data_in_mprj_bar[47] ),
.Y(la_data_in_mprj[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[48] (.A(\la_data_in_mprj_bar[48] ),
.Y(la_data_in_mprj[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[49] (.A(\la_data_in_mprj_bar[49] ),
.Y(la_data_in_mprj[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[4] (.A(\la_data_in_mprj_bar[4] ),
.Y(la_data_in_mprj[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[50] (.A(\la_data_in_mprj_bar[50] ),
.Y(la_data_in_mprj[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[51] (.A(\la_data_in_mprj_bar[51] ),
.Y(la_data_in_mprj[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[52] (.A(\la_data_in_mprj_bar[52] ),
.Y(la_data_in_mprj[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[53] (.A(\la_data_in_mprj_bar[53] ),
.Y(la_data_in_mprj[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[54] (.A(\la_data_in_mprj_bar[54] ),
.Y(la_data_in_mprj[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[55] (.A(\la_data_in_mprj_bar[55] ),
.Y(la_data_in_mprj[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[56] (.A(\la_data_in_mprj_bar[56] ),
.Y(la_data_in_mprj[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[57] (.A(\la_data_in_mprj_bar[57] ),
.Y(la_data_in_mprj[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[58] (.A(\la_data_in_mprj_bar[58] ),
.Y(la_data_in_mprj[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[59] (.A(\la_data_in_mprj_bar[59] ),
.Y(la_data_in_mprj[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[5] (.A(\la_data_in_mprj_bar[5] ),
.Y(la_data_in_mprj[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[60] (.A(\la_data_in_mprj_bar[60] ),
.Y(la_data_in_mprj[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[61] (.A(\la_data_in_mprj_bar[61] ),
.Y(la_data_in_mprj[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[62] (.A(\la_data_in_mprj_bar[62] ),
.Y(la_data_in_mprj[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[63] (.A(\la_data_in_mprj_bar[63] ),
.Y(la_data_in_mprj[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[64] (.A(\la_data_in_mprj_bar[64] ),
.Y(la_data_in_mprj[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[65] (.A(\la_data_in_mprj_bar[65] ),
.Y(la_data_in_mprj[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[66] (.A(\la_data_in_mprj_bar[66] ),
.Y(la_data_in_mprj[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[67] (.A(\la_data_in_mprj_bar[67] ),
.Y(la_data_in_mprj[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[68] (.A(\la_data_in_mprj_bar[68] ),
.Y(la_data_in_mprj[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[69] (.A(\la_data_in_mprj_bar[69] ),
.Y(la_data_in_mprj[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[6] (.A(\la_data_in_mprj_bar[6] ),
.Y(la_data_in_mprj[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[70] (.A(\la_data_in_mprj_bar[70] ),
.Y(la_data_in_mprj[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[71] (.A(\la_data_in_mprj_bar[71] ),
.Y(la_data_in_mprj[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[72] (.A(\la_data_in_mprj_bar[72] ),
.Y(la_data_in_mprj[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[73] (.A(\la_data_in_mprj_bar[73] ),
.Y(la_data_in_mprj[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[74] (.A(\la_data_in_mprj_bar[74] ),
.Y(la_data_in_mprj[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[75] (.A(\la_data_in_mprj_bar[75] ),
.Y(la_data_in_mprj[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[76] (.A(\la_data_in_mprj_bar[76] ),
.Y(la_data_in_mprj[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[77] (.A(\la_data_in_mprj_bar[77] ),
.Y(la_data_in_mprj[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[78] (.A(\la_data_in_mprj_bar[78] ),
.Y(la_data_in_mprj[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[79] (.A(\la_data_in_mprj_bar[79] ),
.Y(la_data_in_mprj[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[7] (.A(\la_data_in_mprj_bar[7] ),
.Y(la_data_in_mprj[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[80] (.A(\la_data_in_mprj_bar[80] ),
.Y(la_data_in_mprj[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[81] (.A(\la_data_in_mprj_bar[81] ),
.Y(la_data_in_mprj[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[82] (.A(\la_data_in_mprj_bar[82] ),
.Y(la_data_in_mprj[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[83] (.A(\la_data_in_mprj_bar[83] ),
.Y(la_data_in_mprj[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[84] (.A(\la_data_in_mprj_bar[84] ),
.Y(la_data_in_mprj[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[85] (.A(\la_data_in_mprj_bar[85] ),
.Y(la_data_in_mprj[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[86] (.A(\la_data_in_mprj_bar[86] ),
.Y(la_data_in_mprj[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[87] (.A(\la_data_in_mprj_bar[87] ),
.Y(la_data_in_mprj[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[88] (.A(\la_data_in_mprj_bar[88] ),
.Y(la_data_in_mprj[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[89] (.A(\la_data_in_mprj_bar[89] ),
.Y(la_data_in_mprj[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[8] (.A(\la_data_in_mprj_bar[8] ),
.Y(la_data_in_mprj[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[90] (.A(\la_data_in_mprj_bar[90] ),
.Y(la_data_in_mprj[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[91] (.A(\la_data_in_mprj_bar[91] ),
.Y(la_data_in_mprj[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[92] (.A(\la_data_in_mprj_bar[92] ),
.Y(la_data_in_mprj[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[93] (.A(\la_data_in_mprj_bar[93] ),
.Y(la_data_in_mprj[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[94] (.A(\la_data_in_mprj_bar[94] ),
.Y(la_data_in_mprj[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[95] (.A(\la_data_in_mprj_bar[95] ),
.Y(la_data_in_mprj[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[96] (.A(\la_data_in_mprj_bar[96] ),
.Y(la_data_in_mprj[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[97] (.A(\la_data_in_mprj_bar[97] ),
.Y(la_data_in_mprj[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[98] (.A(\la_data_in_mprj_bar[98] ),
.Y(la_data_in_mprj[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[99] (.A(\la_data_in_mprj_bar[99] ),
.Y(la_data_in_mprj[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__inv_8 \user_to_mprj_in_buffers[9] (.A(\la_data_in_mprj_bar[9] ),
.Y(la_data_in_mprj[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[0] (.A(la_data_out_core[0]),
.B(\mprj_logic1[330] ),
.Y(\la_data_in_mprj_bar[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[100] (.A(la_data_out_core[100]),
.B(\mprj_logic1[430] ),
.Y(\la_data_in_mprj_bar[100] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[101] (.A(la_data_out_core[101]),
.B(\mprj_logic1[431] ),
.Y(\la_data_in_mprj_bar[101] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[102] (.A(la_data_out_core[102]),
.B(\mprj_logic1[432] ),
.Y(\la_data_in_mprj_bar[102] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[103] (.A(la_data_out_core[103]),
.B(\mprj_logic1[433] ),
.Y(\la_data_in_mprj_bar[103] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[104] (.A(la_data_out_core[104]),
.B(\mprj_logic1[434] ),
.Y(\la_data_in_mprj_bar[104] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[105] (.A(la_data_out_core[105]),
.B(\mprj_logic1[435] ),
.Y(\la_data_in_mprj_bar[105] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[106] (.A(la_data_out_core[106]),
.B(\mprj_logic1[436] ),
.Y(\la_data_in_mprj_bar[106] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[107] (.A(la_data_out_core[107]),
.B(\mprj_logic1[437] ),
.Y(\la_data_in_mprj_bar[107] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[108] (.A(la_data_out_core[108]),
.B(\mprj_logic1[438] ),
.Y(\la_data_in_mprj_bar[108] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[109] (.A(la_data_out_core[109]),
.B(\mprj_logic1[439] ),
.Y(\la_data_in_mprj_bar[109] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[10] (.A(la_data_out_core[10]),
.B(\mprj_logic1[340] ),
.Y(\la_data_in_mprj_bar[10] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[110] (.A(la_data_out_core[110]),
.B(\mprj_logic1[440] ),
.Y(\la_data_in_mprj_bar[110] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[111] (.A(la_data_out_core[111]),
.B(\mprj_logic1[441] ),
.Y(\la_data_in_mprj_bar[111] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[112] (.A(la_data_out_core[112]),
.B(\mprj_logic1[442] ),
.Y(\la_data_in_mprj_bar[112] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[113] (.A(la_data_out_core[113]),
.B(\mprj_logic1[443] ),
.Y(\la_data_in_mprj_bar[113] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[114] (.A(la_data_out_core[114]),
.B(\mprj_logic1[444] ),
.Y(\la_data_in_mprj_bar[114] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[115] (.A(la_data_out_core[115]),
.B(\mprj_logic1[445] ),
.Y(\la_data_in_mprj_bar[115] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[116] (.A(la_data_out_core[116]),
.B(\mprj_logic1[446] ),
.Y(\la_data_in_mprj_bar[116] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[117] (.A(la_data_out_core[117]),
.B(\mprj_logic1[447] ),
.Y(\la_data_in_mprj_bar[117] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[118] (.A(la_data_out_core[118]),
.B(\mprj_logic1[448] ),
.Y(\la_data_in_mprj_bar[118] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[119] (.A(la_data_out_core[119]),
.B(\mprj_logic1[449] ),
.Y(\la_data_in_mprj_bar[119] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[11] (.A(la_data_out_core[11]),
.B(\mprj_logic1[341] ),
.Y(\la_data_in_mprj_bar[11] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[120] (.A(la_data_out_core[120]),
.B(\mprj_logic1[450] ),
.Y(\la_data_in_mprj_bar[120] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[121] (.A(la_data_out_core[121]),
.B(\mprj_logic1[451] ),
.Y(\la_data_in_mprj_bar[121] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[122] (.A(la_data_out_core[122]),
.B(\mprj_logic1[452] ),
.Y(\la_data_in_mprj_bar[122] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[123] (.A(la_data_out_core[123]),
.B(\mprj_logic1[453] ),
.Y(\la_data_in_mprj_bar[123] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[124] (.A(la_data_out_core[124]),
.B(\mprj_logic1[454] ),
.Y(\la_data_in_mprj_bar[124] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[125] (.A(la_data_out_core[125]),
.B(\mprj_logic1[455] ),
.Y(\la_data_in_mprj_bar[125] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[126] (.A(la_data_out_core[126]),
.B(\mprj_logic1[456] ),
.Y(\la_data_in_mprj_bar[126] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[127] (.A(la_data_out_core[127]),
.B(\mprj_logic1[457] ),
.Y(\la_data_in_mprj_bar[127] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[12] (.A(la_data_out_core[12]),
.B(\mprj_logic1[342] ),
.Y(\la_data_in_mprj_bar[12] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[13] (.A(la_data_out_core[13]),
.B(\mprj_logic1[343] ),
.Y(\la_data_in_mprj_bar[13] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[14] (.A(la_data_out_core[14]),
.B(\mprj_logic1[344] ),
.Y(\la_data_in_mprj_bar[14] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[15] (.A(la_data_out_core[15]),
.B(\mprj_logic1[345] ),
.Y(\la_data_in_mprj_bar[15] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[16] (.A(la_data_out_core[16]),
.B(\mprj_logic1[346] ),
.Y(\la_data_in_mprj_bar[16] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[17] (.A(la_data_out_core[17]),
.B(\mprj_logic1[347] ),
.Y(\la_data_in_mprj_bar[17] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[18] (.A(la_data_out_core[18]),
.B(\mprj_logic1[348] ),
.Y(\la_data_in_mprj_bar[18] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[19] (.A(la_data_out_core[19]),
.B(\mprj_logic1[349] ),
.Y(\la_data_in_mprj_bar[19] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[1] (.A(la_data_out_core[1]),
.B(\mprj_logic1[331] ),
.Y(\la_data_in_mprj_bar[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[20] (.A(la_data_out_core[20]),
.B(\mprj_logic1[350] ),
.Y(\la_data_in_mprj_bar[20] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[21] (.A(la_data_out_core[21]),
.B(\mprj_logic1[351] ),
.Y(\la_data_in_mprj_bar[21] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[22] (.A(la_data_out_core[22]),
.B(\mprj_logic1[352] ),
.Y(\la_data_in_mprj_bar[22] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[23] (.A(la_data_out_core[23]),
.B(\mprj_logic1[353] ),
.Y(\la_data_in_mprj_bar[23] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[24] (.A(la_data_out_core[24]),
.B(\mprj_logic1[354] ),
.Y(\la_data_in_mprj_bar[24] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[25] (.A(la_data_out_core[25]),
.B(\mprj_logic1[355] ),
.Y(\la_data_in_mprj_bar[25] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[26] (.A(la_data_out_core[26]),
.B(\mprj_logic1[356] ),
.Y(\la_data_in_mprj_bar[26] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[27] (.A(la_data_out_core[27]),
.B(\mprj_logic1[357] ),
.Y(\la_data_in_mprj_bar[27] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[28] (.A(la_data_out_core[28]),
.B(\mprj_logic1[358] ),
.Y(\la_data_in_mprj_bar[28] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[29] (.A(la_data_out_core[29]),
.B(\mprj_logic1[359] ),
.Y(\la_data_in_mprj_bar[29] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[2] (.A(la_data_out_core[2]),
.B(\mprj_logic1[332] ),
.Y(\la_data_in_mprj_bar[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[30] (.A(la_data_out_core[30]),
.B(\mprj_logic1[360] ),
.Y(\la_data_in_mprj_bar[30] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[31] (.A(la_data_out_core[31]),
.B(\mprj_logic1[361] ),
.Y(\la_data_in_mprj_bar[31] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[32] (.A(la_data_out_core[32]),
.B(\mprj_logic1[362] ),
.Y(\la_data_in_mprj_bar[32] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[33] (.A(la_data_out_core[33]),
.B(\mprj_logic1[363] ),
.Y(\la_data_in_mprj_bar[33] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[34] (.A(la_data_out_core[34]),
.B(\mprj_logic1[364] ),
.Y(\la_data_in_mprj_bar[34] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[35] (.A(la_data_out_core[35]),
.B(\mprj_logic1[365] ),
.Y(\la_data_in_mprj_bar[35] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[36] (.A(la_data_out_core[36]),
.B(\mprj_logic1[366] ),
.Y(\la_data_in_mprj_bar[36] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[37] (.A(la_data_out_core[37]),
.B(\mprj_logic1[367] ),
.Y(\la_data_in_mprj_bar[37] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[38] (.A(la_data_out_core[38]),
.B(\mprj_logic1[368] ),
.Y(\la_data_in_mprj_bar[38] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[39] (.A(la_data_out_core[39]),
.B(\mprj_logic1[369] ),
.Y(\la_data_in_mprj_bar[39] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[3] (.A(la_data_out_core[3]),
.B(\mprj_logic1[333] ),
.Y(\la_data_in_mprj_bar[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[40] (.A(la_data_out_core[40]),
.B(\mprj_logic1[370] ),
.Y(\la_data_in_mprj_bar[40] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[41] (.A(la_data_out_core[41]),
.B(\mprj_logic1[371] ),
.Y(\la_data_in_mprj_bar[41] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[42] (.A(la_data_out_core[42]),
.B(\mprj_logic1[372] ),
.Y(\la_data_in_mprj_bar[42] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[43] (.A(la_data_out_core[43]),
.B(\mprj_logic1[373] ),
.Y(\la_data_in_mprj_bar[43] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[44] (.A(la_data_out_core[44]),
.B(\mprj_logic1[374] ),
.Y(\la_data_in_mprj_bar[44] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[45] (.A(la_data_out_core[45]),
.B(\mprj_logic1[375] ),
.Y(\la_data_in_mprj_bar[45] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[46] (.A(la_data_out_core[46]),
.B(\mprj_logic1[376] ),
.Y(\la_data_in_mprj_bar[46] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[47] (.A(la_data_out_core[47]),
.B(\mprj_logic1[377] ),
.Y(\la_data_in_mprj_bar[47] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[48] (.A(la_data_out_core[48]),
.B(\mprj_logic1[378] ),
.Y(\la_data_in_mprj_bar[48] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[49] (.A(la_data_out_core[49]),
.B(\mprj_logic1[379] ),
.Y(\la_data_in_mprj_bar[49] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[4] (.A(la_data_out_core[4]),
.B(\mprj_logic1[334] ),
.Y(\la_data_in_mprj_bar[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[50] (.A(la_data_out_core[50]),
.B(\mprj_logic1[380] ),
.Y(\la_data_in_mprj_bar[50] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[51] (.A(la_data_out_core[51]),
.B(\mprj_logic1[381] ),
.Y(\la_data_in_mprj_bar[51] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[52] (.A(la_data_out_core[52]),
.B(\mprj_logic1[382] ),
.Y(\la_data_in_mprj_bar[52] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[53] (.A(la_data_out_core[53]),
.B(\mprj_logic1[383] ),
.Y(\la_data_in_mprj_bar[53] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[54] (.A(la_data_out_core[54]),
.B(\mprj_logic1[384] ),
.Y(\la_data_in_mprj_bar[54] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[55] (.A(la_data_out_core[55]),
.B(\mprj_logic1[385] ),
.Y(\la_data_in_mprj_bar[55] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[56] (.A(la_data_out_core[56]),
.B(\mprj_logic1[386] ),
.Y(\la_data_in_mprj_bar[56] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[57] (.A(la_data_out_core[57]),
.B(\mprj_logic1[387] ),
.Y(\la_data_in_mprj_bar[57] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[58] (.A(la_data_out_core[58]),
.B(\mprj_logic1[388] ),
.Y(\la_data_in_mprj_bar[58] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[59] (.A(la_data_out_core[59]),
.B(\mprj_logic1[389] ),
.Y(\la_data_in_mprj_bar[59] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[5] (.A(la_data_out_core[5]),
.B(\mprj_logic1[335] ),
.Y(\la_data_in_mprj_bar[5] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[60] (.A(la_data_out_core[60]),
.B(\mprj_logic1[390] ),
.Y(\la_data_in_mprj_bar[60] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[61] (.A(la_data_out_core[61]),
.B(\mprj_logic1[391] ),
.Y(\la_data_in_mprj_bar[61] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[62] (.A(la_data_out_core[62]),
.B(\mprj_logic1[392] ),
.Y(\la_data_in_mprj_bar[62] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[63] (.A(la_data_out_core[63]),
.B(\mprj_logic1[393] ),
.Y(\la_data_in_mprj_bar[63] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[64] (.A(la_data_out_core[64]),
.B(\mprj_logic1[394] ),
.Y(\la_data_in_mprj_bar[64] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[65] (.A(la_data_out_core[65]),
.B(\mprj_logic1[395] ),
.Y(\la_data_in_mprj_bar[65] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[66] (.A(la_data_out_core[66]),
.B(\mprj_logic1[396] ),
.Y(\la_data_in_mprj_bar[66] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[67] (.A(la_data_out_core[67]),
.B(\mprj_logic1[397] ),
.Y(\la_data_in_mprj_bar[67] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[68] (.A(la_data_out_core[68]),
.B(\mprj_logic1[398] ),
.Y(\la_data_in_mprj_bar[68] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[69] (.A(la_data_out_core[69]),
.B(\mprj_logic1[399] ),
.Y(\la_data_in_mprj_bar[69] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[6] (.A(la_data_out_core[6]),
.B(\mprj_logic1[336] ),
.Y(\la_data_in_mprj_bar[6] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[70] (.A(la_data_out_core[70]),
.B(\mprj_logic1[400] ),
.Y(\la_data_in_mprj_bar[70] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[71] (.A(la_data_out_core[71]),
.B(\mprj_logic1[401] ),
.Y(\la_data_in_mprj_bar[71] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[72] (.A(la_data_out_core[72]),
.B(\mprj_logic1[402] ),
.Y(\la_data_in_mprj_bar[72] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[73] (.A(la_data_out_core[73]),
.B(\mprj_logic1[403] ),
.Y(\la_data_in_mprj_bar[73] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[74] (.A(la_data_out_core[74]),
.B(\mprj_logic1[404] ),
.Y(\la_data_in_mprj_bar[74] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[75] (.A(la_data_out_core[75]),
.B(\mprj_logic1[405] ),
.Y(\la_data_in_mprj_bar[75] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[76] (.A(la_data_out_core[76]),
.B(\mprj_logic1[406] ),
.Y(\la_data_in_mprj_bar[76] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[77] (.A(la_data_out_core[77]),
.B(\mprj_logic1[407] ),
.Y(\la_data_in_mprj_bar[77] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[78] (.A(la_data_out_core[78]),
.B(\mprj_logic1[408] ),
.Y(\la_data_in_mprj_bar[78] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[79] (.A(la_data_out_core[79]),
.B(\mprj_logic1[409] ),
.Y(\la_data_in_mprj_bar[79] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[7] (.A(la_data_out_core[7]),
.B(\mprj_logic1[337] ),
.Y(\la_data_in_mprj_bar[7] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[80] (.A(la_data_out_core[80]),
.B(\mprj_logic1[410] ),
.Y(\la_data_in_mprj_bar[80] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[81] (.A(la_data_out_core[81]),
.B(\mprj_logic1[411] ),
.Y(\la_data_in_mprj_bar[81] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[82] (.A(la_data_out_core[82]),
.B(\mprj_logic1[412] ),
.Y(\la_data_in_mprj_bar[82] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[83] (.A(la_data_out_core[83]),
.B(\mprj_logic1[413] ),
.Y(\la_data_in_mprj_bar[83] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[84] (.A(la_data_out_core[84]),
.B(\mprj_logic1[414] ),
.Y(\la_data_in_mprj_bar[84] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[85] (.A(la_data_out_core[85]),
.B(\mprj_logic1[415] ),
.Y(\la_data_in_mprj_bar[85] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[86] (.A(la_data_out_core[86]),
.B(\mprj_logic1[416] ),
.Y(\la_data_in_mprj_bar[86] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[87] (.A(la_data_out_core[87]),
.B(\mprj_logic1[417] ),
.Y(\la_data_in_mprj_bar[87] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[88] (.A(la_data_out_core[88]),
.B(\mprj_logic1[418] ),
.Y(\la_data_in_mprj_bar[88] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[89] (.A(la_data_out_core[89]),
.B(\mprj_logic1[419] ),
.Y(\la_data_in_mprj_bar[89] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[8] (.A(la_data_out_core[8]),
.B(\mprj_logic1[338] ),
.Y(\la_data_in_mprj_bar[8] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[90] (.A(la_data_out_core[90]),
.B(\mprj_logic1[420] ),
.Y(\la_data_in_mprj_bar[90] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[91] (.A(la_data_out_core[91]),
.B(\mprj_logic1[421] ),
.Y(\la_data_in_mprj_bar[91] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[92] (.A(la_data_out_core[92]),
.B(\mprj_logic1[422] ),
.Y(\la_data_in_mprj_bar[92] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[93] (.A(la_data_out_core[93]),
.B(\mprj_logic1[423] ),
.Y(\la_data_in_mprj_bar[93] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[94] (.A(la_data_out_core[94]),
.B(\mprj_logic1[424] ),
.Y(\la_data_in_mprj_bar[94] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[95] (.A(la_data_out_core[95]),
.B(\mprj_logic1[425] ),
.Y(\la_data_in_mprj_bar[95] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[96] (.A(la_data_out_core[96]),
.B(\mprj_logic1[426] ),
.Y(\la_data_in_mprj_bar[96] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[97] (.A(la_data_out_core[97]),
.B(\mprj_logic1[427] ),
.Y(\la_data_in_mprj_bar[97] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[98] (.A(la_data_out_core[98]),
.B(\mprj_logic1[428] ),
.Y(\la_data_in_mprj_bar[98] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[99] (.A(la_data_out_core[99]),
.B(\mprj_logic1[429] ),
.Y(\la_data_in_mprj_bar[99] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__nand2_4 \user_to_mprj_in_gates[9] (.A(la_data_out_core[9]),
.B(\mprj_logic1[339] ),
.Y(\la_data_in_mprj_bar[9] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[0] (.A(_202_),
.TE(\mprj_logic1[202] ),
.Z(la_oen_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[100] (.A(_203_),
.TE(\mprj_logic1[302] ),
.Z(la_oen_core[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[101] (.A(_204_),
.TE(\mprj_logic1[303] ),
.Z(la_oen_core[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[102] (.A(_205_),
.TE(\mprj_logic1[304] ),
.Z(la_oen_core[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[103] (.A(_206_),
.TE(\mprj_logic1[305] ),
.Z(la_oen_core[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[104] (.A(_207_),
.TE(\mprj_logic1[306] ),
.Z(la_oen_core[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[105] (.A(_208_),
.TE(\mprj_logic1[307] ),
.Z(la_oen_core[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[106] (.A(_209_),
.TE(\mprj_logic1[308] ),
.Z(la_oen_core[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[107] (.A(_210_),
.TE(\mprj_logic1[309] ),
.Z(la_oen_core[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[108] (.A(_211_),
.TE(\mprj_logic1[310] ),
.Z(la_oen_core[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[109] (.A(_212_),
.TE(\mprj_logic1[311] ),
.Z(la_oen_core[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[10] (.A(_213_),
.TE(\mprj_logic1[212] ),
.Z(la_oen_core[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[110] (.A(_214_),
.TE(\mprj_logic1[312] ),
.Z(la_oen_core[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[111] (.A(_215_),
.TE(\mprj_logic1[313] ),
.Z(la_oen_core[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[112] (.A(_216_),
.TE(\mprj_logic1[314] ),
.Z(la_oen_core[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[113] (.A(_217_),
.TE(\mprj_logic1[315] ),
.Z(la_oen_core[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[114] (.A(_218_),
.TE(\mprj_logic1[316] ),
.Z(la_oen_core[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[115] (.A(_219_),
.TE(\mprj_logic1[317] ),
.Z(la_oen_core[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[116] (.A(_220_),
.TE(\mprj_logic1[318] ),
.Z(la_oen_core[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[117] (.A(_221_),
.TE(\mprj_logic1[319] ),
.Z(la_oen_core[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[118] (.A(_222_),
.TE(\mprj_logic1[320] ),
.Z(la_oen_core[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[119] (.A(_223_),
.TE(\mprj_logic1[321] ),
.Z(la_oen_core[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[11] (.A(_224_),
.TE(\mprj_logic1[213] ),
.Z(la_oen_core[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[120] (.A(_225_),
.TE(\mprj_logic1[322] ),
.Z(la_oen_core[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[121] (.A(_226_),
.TE(\mprj_logic1[323] ),
.Z(la_oen_core[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[122] (.A(_227_),
.TE(\mprj_logic1[324] ),
.Z(la_oen_core[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[123] (.A(_228_),
.TE(\mprj_logic1[325] ),
.Z(la_oen_core[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[124] (.A(_229_),
.TE(\mprj_logic1[326] ),
.Z(la_oen_core[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[125] (.A(_230_),
.TE(\mprj_logic1[327] ),
.Z(la_oen_core[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[126] (.A(_231_),
.TE(\mprj_logic1[328] ),
.Z(la_oen_core[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[127] (.A(_232_),
.TE(\mprj_logic1[329] ),
.Z(la_oen_core[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[12] (.A(_233_),
.TE(\mprj_logic1[214] ),
.Z(la_oen_core[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[13] (.A(_234_),
.TE(\mprj_logic1[215] ),
.Z(la_oen_core[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[14] (.A(_235_),
.TE(\mprj_logic1[216] ),
.Z(la_oen_core[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[15] (.A(_236_),
.TE(\mprj_logic1[217] ),
.Z(la_oen_core[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[16] (.A(_237_),
.TE(\mprj_logic1[218] ),
.Z(la_oen_core[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[17] (.A(_238_),
.TE(\mprj_logic1[219] ),
.Z(la_oen_core[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[18] (.A(_239_),
.TE(\mprj_logic1[220] ),
.Z(la_oen_core[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[19] (.A(_240_),
.TE(\mprj_logic1[221] ),
.Z(la_oen_core[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[1] (.A(_241_),
.TE(\mprj_logic1[203] ),
.Z(la_oen_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[20] (.A(_242_),
.TE(\mprj_logic1[222] ),
.Z(la_oen_core[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[21] (.A(_243_),
.TE(\mprj_logic1[223] ),
.Z(la_oen_core[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[22] (.A(_244_),
.TE(\mprj_logic1[224] ),
.Z(la_oen_core[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[23] (.A(_245_),
.TE(\mprj_logic1[225] ),
.Z(la_oen_core[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[24] (.A(_246_),
.TE(\mprj_logic1[226] ),
.Z(la_oen_core[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[25] (.A(_247_),
.TE(\mprj_logic1[227] ),
.Z(la_oen_core[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[26] (.A(_248_),
.TE(\mprj_logic1[228] ),
.Z(la_oen_core[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[27] (.A(_249_),
.TE(\mprj_logic1[229] ),
.Z(la_oen_core[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[28] (.A(_250_),
.TE(\mprj_logic1[230] ),
.Z(la_oen_core[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[29] (.A(_251_),
.TE(\mprj_logic1[231] ),
.Z(la_oen_core[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[2] (.A(_252_),
.TE(\mprj_logic1[204] ),
.Z(la_oen_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[30] (.A(_253_),
.TE(\mprj_logic1[232] ),
.Z(la_oen_core[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[31] (.A(_254_),
.TE(\mprj_logic1[233] ),
.Z(la_oen_core[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[32] (.A(_255_),
.TE(\mprj_logic1[234] ),
.Z(la_oen_core[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[33] (.A(_256_),
.TE(\mprj_logic1[235] ),
.Z(la_oen_core[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[34] (.A(_257_),
.TE(\mprj_logic1[236] ),
.Z(la_oen_core[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[35] (.A(_258_),
.TE(\mprj_logic1[237] ),
.Z(la_oen_core[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[36] (.A(_259_),
.TE(\mprj_logic1[238] ),
.Z(la_oen_core[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[37] (.A(_260_),
.TE(\mprj_logic1[239] ),
.Z(la_oen_core[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[38] (.A(_261_),
.TE(\mprj_logic1[240] ),
.Z(la_oen_core[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[39] (.A(_262_),
.TE(\mprj_logic1[241] ),
.Z(la_oen_core[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[3] (.A(_263_),
.TE(\mprj_logic1[205] ),
.Z(la_oen_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[40] (.A(_264_),
.TE(\mprj_logic1[242] ),
.Z(la_oen_core[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[41] (.A(_265_),
.TE(\mprj_logic1[243] ),
.Z(la_oen_core[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[42] (.A(_266_),
.TE(\mprj_logic1[244] ),
.Z(la_oen_core[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[43] (.A(_267_),
.TE(\mprj_logic1[245] ),
.Z(la_oen_core[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[44] (.A(_268_),
.TE(\mprj_logic1[246] ),
.Z(la_oen_core[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[45] (.A(_269_),
.TE(\mprj_logic1[247] ),
.Z(la_oen_core[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[46] (.A(_270_),
.TE(\mprj_logic1[248] ),
.Z(la_oen_core[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[47] (.A(_271_),
.TE(\mprj_logic1[249] ),
.Z(la_oen_core[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[48] (.A(_272_),
.TE(\mprj_logic1[250] ),
.Z(la_oen_core[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[49] (.A(_273_),
.TE(\mprj_logic1[251] ),
.Z(la_oen_core[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[4] (.A(_274_),
.TE(\mprj_logic1[206] ),
.Z(la_oen_core[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[50] (.A(_275_),
.TE(\mprj_logic1[252] ),
.Z(la_oen_core[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[51] (.A(_276_),
.TE(\mprj_logic1[253] ),
.Z(la_oen_core[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[52] (.A(_277_),
.TE(\mprj_logic1[254] ),
.Z(la_oen_core[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[53] (.A(_278_),
.TE(\mprj_logic1[255] ),
.Z(la_oen_core[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[54] (.A(_279_),
.TE(\mprj_logic1[256] ),
.Z(la_oen_core[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[55] (.A(_280_),
.TE(\mprj_logic1[257] ),
.Z(la_oen_core[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[56] (.A(_281_),
.TE(\mprj_logic1[258] ),
.Z(la_oen_core[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[57] (.A(_282_),
.TE(\mprj_logic1[259] ),
.Z(la_oen_core[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[58] (.A(_283_),
.TE(\mprj_logic1[260] ),
.Z(la_oen_core[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[59] (.A(_284_),
.TE(\mprj_logic1[261] ),
.Z(la_oen_core[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[5] (.A(_285_),
.TE(\mprj_logic1[207] ),
.Z(la_oen_core[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[60] (.A(_286_),
.TE(\mprj_logic1[262] ),
.Z(la_oen_core[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[61] (.A(_287_),
.TE(\mprj_logic1[263] ),
.Z(la_oen_core[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[62] (.A(_288_),
.TE(\mprj_logic1[264] ),
.Z(la_oen_core[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[63] (.A(_289_),
.TE(\mprj_logic1[265] ),
.Z(la_oen_core[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[64] (.A(_290_),
.TE(\mprj_logic1[266] ),
.Z(la_oen_core[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[65] (.A(_291_),
.TE(\mprj_logic1[267] ),
.Z(la_oen_core[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[66] (.A(_292_),
.TE(\mprj_logic1[268] ),
.Z(la_oen_core[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[67] (.A(_293_),
.TE(\mprj_logic1[269] ),
.Z(la_oen_core[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[68] (.A(_294_),
.TE(\mprj_logic1[270] ),
.Z(la_oen_core[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[69] (.A(_295_),
.TE(\mprj_logic1[271] ),
.Z(la_oen_core[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[6] (.A(_296_),
.TE(\mprj_logic1[208] ),
.Z(la_oen_core[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[70] (.A(_297_),
.TE(\mprj_logic1[272] ),
.Z(la_oen_core[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[71] (.A(_298_),
.TE(\mprj_logic1[273] ),
.Z(la_oen_core[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[72] (.A(_299_),
.TE(\mprj_logic1[274] ),
.Z(la_oen_core[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[73] (.A(_300_),
.TE(\mprj_logic1[275] ),
.Z(la_oen_core[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[74] (.A(_301_),
.TE(\mprj_logic1[276] ),
.Z(la_oen_core[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[75] (.A(_302_),
.TE(\mprj_logic1[277] ),
.Z(la_oen_core[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[76] (.A(_303_),
.TE(\mprj_logic1[278] ),
.Z(la_oen_core[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[77] (.A(_304_),
.TE(\mprj_logic1[279] ),
.Z(la_oen_core[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[78] (.A(_305_),
.TE(\mprj_logic1[280] ),
.Z(la_oen_core[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[79] (.A(_306_),
.TE(\mprj_logic1[281] ),
.Z(la_oen_core[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[7] (.A(_307_),
.TE(\mprj_logic1[209] ),
.Z(la_oen_core[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[80] (.A(_308_),
.TE(\mprj_logic1[282] ),
.Z(la_oen_core[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[81] (.A(_309_),
.TE(\mprj_logic1[283] ),
.Z(la_oen_core[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[82] (.A(_310_),
.TE(\mprj_logic1[284] ),
.Z(la_oen_core[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[83] (.A(_311_),
.TE(\mprj_logic1[285] ),
.Z(la_oen_core[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[84] (.A(_312_),
.TE(\mprj_logic1[286] ),
.Z(la_oen_core[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[85] (.A(_313_),
.TE(\mprj_logic1[287] ),
.Z(la_oen_core[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[86] (.A(_314_),
.TE(\mprj_logic1[288] ),
.Z(la_oen_core[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[87] (.A(_315_),
.TE(\mprj_logic1[289] ),
.Z(la_oen_core[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[88] (.A(_316_),
.TE(\mprj_logic1[290] ),
.Z(la_oen_core[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[89] (.A(_317_),
.TE(\mprj_logic1[291] ),
.Z(la_oen_core[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[8] (.A(_318_),
.TE(\mprj_logic1[210] ),
.Z(la_oen_core[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[90] (.A(_319_),
.TE(\mprj_logic1[292] ),
.Z(la_oen_core[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[91] (.A(_320_),
.TE(\mprj_logic1[293] ),
.Z(la_oen_core[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[92] (.A(_321_),
.TE(\mprj_logic1[294] ),
.Z(la_oen_core[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[93] (.A(_322_),
.TE(\mprj_logic1[295] ),
.Z(la_oen_core[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[94] (.A(_323_),
.TE(\mprj_logic1[296] ),
.Z(la_oen_core[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[95] (.A(_324_),
.TE(\mprj_logic1[297] ),
.Z(la_oen_core[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[96] (.A(_325_),
.TE(\mprj_logic1[298] ),
.Z(la_oen_core[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[97] (.A(_326_),
.TE(\mprj_logic1[299] ),
.Z(la_oen_core[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[98] (.A(_327_),
.TE(\mprj_logic1[300] ),
.Z(la_oen_core[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[99] (.A(_328_),
.TE(\mprj_logic1[301] ),
.Z(la_oen_core[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__einvp_8 \user_to_mprj_oen_buffers[9] (.A(_329_),
.TE(\mprj_logic1[211] ),
.Z(la_oen_core[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_21 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_22 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_23 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_24 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_25 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_26 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_27 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_28 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_29 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_31 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_32 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_33 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_34 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_35 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_36 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_37 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_38 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_39 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_40 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_41 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_42 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_43 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_44 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_45 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_46 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_47 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_48 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_49 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_50 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_51 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_52 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_53 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_54 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_55 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_56 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_57 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_58 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_59 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_60 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_61 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_62 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_63 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_64 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_65 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_66 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_67 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_68 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_69 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_73 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_74 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_75 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_76 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_77 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_78 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_79 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_80 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_81 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_82 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_83 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_84 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_85 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_113 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_114 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_115 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_116 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_117 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_118 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_119 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_120 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_121 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_122 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_123 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_124 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_125 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_126 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_127 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_128 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_129 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_130 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_131 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_132 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_133 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_134 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_135 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_136 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_137 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_138 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_139 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_140 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_141 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_142 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_143 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_144 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_145 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_146 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_147 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_148 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_149 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_150 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_151 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_152 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_153 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_154 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_155 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_156 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_157 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_158 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_159 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_160 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_161 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_162 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_163 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_164 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_165 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_166 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_167 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_168 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_169 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_170 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_171 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_172 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_173 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_174 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_175 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_176 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_177 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_178 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_179 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_180 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_181 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_182 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_183 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_184 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_185 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_186 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_187 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_188 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_189 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_190 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_191 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_192 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_193 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_194 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_195 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_196 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_197 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_198 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_199 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_200 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_201 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_202 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_203 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_204 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_205 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_206 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_207 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_208 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_209 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_210 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_211 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_212 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_213 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_214 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_215 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_216 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_217 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_218 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_219 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_220 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_221 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_222 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_223 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_224 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_225 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_226 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_227 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_228 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_229 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_230 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_231 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_232 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_233 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_234 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_235 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_236 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_237 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_238 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_239 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_240 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_241 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_242 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_243 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_244 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_245 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_246 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_247 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_248 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_249 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_250 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_251 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_252 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_253 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_254 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_255 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_256 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_257 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_258 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_259 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_260 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_261 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_262 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_263 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_264 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_265 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_266 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_267 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_268 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_269 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_270 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_271 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_272 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_273 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_274 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_275 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_276 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_277 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_278 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_279 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_280 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_281 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_282 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_283 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_284 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_285 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_286 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_287 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_288 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_289 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_290 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_291 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_292 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_293 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_294 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_295 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_296 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_297 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_298 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_299 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_300 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_301 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_302 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_303 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_304 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_305 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_306 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_307 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_308 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_309 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_310 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_311 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_312 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_313 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_314 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_315 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_316 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_317 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_318 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_319 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_320 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_321 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_322 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_323 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_324 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_325 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_326 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_327 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_328 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_329 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_330 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_331 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_332 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_333 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_334 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_335 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_336 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_337 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_338 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_339 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_340 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_341 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_342 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_343 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_344 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_345 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_346 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_347 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_348 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_349 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_350 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_351 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_352 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_353 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_354 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_355 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_356 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_357 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_358 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_359 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_360 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_361 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_362 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_363 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_364 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_365 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_366 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_367 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_368 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_369 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_370 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_371 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_372 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_373 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_374 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_375 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_376 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_377 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_378 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_379 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_380 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_381 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_382 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_383 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_384 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_385 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_386 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_387 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_388 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_389 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_390 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_391 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_392 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_393 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_394 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_395 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_396 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_397 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_398 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_399 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_400 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_401 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_402 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_403 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_404 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_405 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_406 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_407 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_408 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_409 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_410 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_411 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_412 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_413 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_414 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_415 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_416 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_417 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_418 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_419 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_420 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_421 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_422 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_423 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_424 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_425 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_426 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_427 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_428 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_429 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_430 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_431 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_432 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_433 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_434 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_435 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_436 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_437 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_438 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_439 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_440 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_441 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_442 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_443 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_444 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_445 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_446 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_447 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_448 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_449 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_450 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_451 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_452 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_453 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_454 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_455 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_456 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_457 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_458 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_459 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_460 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_461 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_462 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_463 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_464 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_465 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_466 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_467 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_468 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_469 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_470 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_471 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_472 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_473 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_474 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_475 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_476 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_477 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_478 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_479 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_480 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_481 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_482 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_483 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_484 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_485 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_486 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_487 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_488 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_489 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_490 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_491 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_492 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_493 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_494 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_495 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_496 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_497 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_498 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_499 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_500 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_501 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_502 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_503 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_504 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_505 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_506 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_507 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_508 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_509 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_510 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_511 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_512 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_513 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_514 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_515 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_516 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_517 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_518 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_519 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_520 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_521 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_522 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_523 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_524 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_525 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_526 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_527 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_528 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_529 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_530 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_531 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_532 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_533 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_534 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_535 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_536 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_537 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_538 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_539 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_540 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_541 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_542 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_543 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_544 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_545 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_546 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_547 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_548 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_549 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_550 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_551 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_552 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_553 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_554 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_555 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_556 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_557 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_558 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_559 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_560 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_561 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_562 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_563 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_564 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_565 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_566 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_567 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_568 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_569 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_570 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_571 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_572 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_573 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_574 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_575 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_576 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_577 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_578 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_579 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_580 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_581 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_582 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_583 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_584 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_585 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_586 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_587 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_588 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_589 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_590 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_591 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_592 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_593 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_594 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_595 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_596 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_597 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_598 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_599 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_600 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_601 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_602 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_603 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_604 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_605 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_606 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_607 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_608 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_609 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_610 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_611 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_612 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_613 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_614 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_615 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_616 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_617 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_618 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_619 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_620 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_621 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_622 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_623 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_624 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_625 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_626 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_627 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_628 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_629 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_630 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_631 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_632 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_633 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_634 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_635 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_636 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_637 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_638 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_639 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_640 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_641 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_642 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_643 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_644 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_645 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_646 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_647 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_648 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_649 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_650 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_651 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_652 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_653 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_654 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_655 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_656 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_657 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_658 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_659 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_660 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_661 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_662 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_663 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_664 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_665 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_666 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_667 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_668 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_669 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_670 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_671 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_672 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_673 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_674 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_675 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_676 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_677 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_678 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_679 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_680 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_681 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_682 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_683 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_684 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_685 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_686 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_687 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_688 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_689 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_690 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_691 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_692 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_693 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_694 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_695 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_696 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_697 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_698 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_699 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_700 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_701 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_702 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_703 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_704 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_705 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_706 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_707 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_708 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_709 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_710 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_711 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_712 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_713 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_714 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_715 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_716 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_717 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_718 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_719 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_720 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_721 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__398__A (.DIODE(caravel_clk),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__399__A (.DIODE(caravel_clk2),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__396__A (.DIODE(caravel_rstn),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[0]_A (.DIODE(la_data_out_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[100]_A (.DIODE(la_data_out_core[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[101]_A (.DIODE(la_data_out_core[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[102]_A (.DIODE(la_data_out_core[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[103]_A (.DIODE(la_data_out_core[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[104]_A (.DIODE(la_data_out_core[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[105]_A (.DIODE(la_data_out_core[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[106]_A (.DIODE(la_data_out_core[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[107]_A (.DIODE(la_data_out_core[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[108]_A (.DIODE(la_data_out_core[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[109]_A (.DIODE(la_data_out_core[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[10]_A (.DIODE(la_data_out_core[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[110]_A (.DIODE(la_data_out_core[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[111]_A (.DIODE(la_data_out_core[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[112]_A (.DIODE(la_data_out_core[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[113]_A (.DIODE(la_data_out_core[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[114]_A (.DIODE(la_data_out_core[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[115]_A (.DIODE(la_data_out_core[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[116]_A (.DIODE(la_data_out_core[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[117]_A (.DIODE(la_data_out_core[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[118]_A (.DIODE(la_data_out_core[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[119]_A (.DIODE(la_data_out_core[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[11]_A (.DIODE(la_data_out_core[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[120]_A (.DIODE(la_data_out_core[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[121]_A (.DIODE(la_data_out_core[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[122]_A (.DIODE(la_data_out_core[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[123]_A (.DIODE(la_data_out_core[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[124]_A (.DIODE(la_data_out_core[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[125]_A (.DIODE(la_data_out_core[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[126]_A (.DIODE(la_data_out_core[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[127]_A (.DIODE(la_data_out_core[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[12]_A (.DIODE(la_data_out_core[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[13]_A (.DIODE(la_data_out_core[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[14]_A (.DIODE(la_data_out_core[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[15]_A (.DIODE(la_data_out_core[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[16]_A (.DIODE(la_data_out_core[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[17]_A (.DIODE(la_data_out_core[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[18]_A (.DIODE(la_data_out_core[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[19]_A (.DIODE(la_data_out_core[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[1]_A (.DIODE(la_data_out_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[20]_A (.DIODE(la_data_out_core[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[21]_A (.DIODE(la_data_out_core[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[22]_A (.DIODE(la_data_out_core[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[23]_A (.DIODE(la_data_out_core[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[24]_A (.DIODE(la_data_out_core[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[25]_A (.DIODE(la_data_out_core[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[26]_A (.DIODE(la_data_out_core[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[27]_A (.DIODE(la_data_out_core[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[28]_A (.DIODE(la_data_out_core[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[29]_A (.DIODE(la_data_out_core[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[2]_A (.DIODE(la_data_out_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[30]_A (.DIODE(la_data_out_core[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[31]_A (.DIODE(la_data_out_core[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[32]_A (.DIODE(la_data_out_core[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[33]_A (.DIODE(la_data_out_core[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[34]_A (.DIODE(la_data_out_core[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[35]_A (.DIODE(la_data_out_core[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[36]_A (.DIODE(la_data_out_core[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[37]_A (.DIODE(la_data_out_core[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[38]_A (.DIODE(la_data_out_core[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[39]_A (.DIODE(la_data_out_core[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[3]_A (.DIODE(la_data_out_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[40]_A (.DIODE(la_data_out_core[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[41]_A (.DIODE(la_data_out_core[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[42]_A (.DIODE(la_data_out_core[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[43]_A (.DIODE(la_data_out_core[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[44]_A (.DIODE(la_data_out_core[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[45]_A (.DIODE(la_data_out_core[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[46]_A (.DIODE(la_data_out_core[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[47]_A (.DIODE(la_data_out_core[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[48]_A (.DIODE(la_data_out_core[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[49]_A (.DIODE(la_data_out_core[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[4]_A (.DIODE(la_data_out_core[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[50]_A (.DIODE(la_data_out_core[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[51]_A (.DIODE(la_data_out_core[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[52]_A (.DIODE(la_data_out_core[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[53]_A (.DIODE(la_data_out_core[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[54]_A (.DIODE(la_data_out_core[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[55]_A (.DIODE(la_data_out_core[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[56]_A (.DIODE(la_data_out_core[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[57]_A (.DIODE(la_data_out_core[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[58]_A (.DIODE(la_data_out_core[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[59]_A (.DIODE(la_data_out_core[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[5]_A (.DIODE(la_data_out_core[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[60]_A (.DIODE(la_data_out_core[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[61]_A (.DIODE(la_data_out_core[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[62]_A (.DIODE(la_data_out_core[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[63]_A (.DIODE(la_data_out_core[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[64]_A (.DIODE(la_data_out_core[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[65]_A (.DIODE(la_data_out_core[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[66]_A (.DIODE(la_data_out_core[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[67]_A (.DIODE(la_data_out_core[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[68]_A (.DIODE(la_data_out_core[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[69]_A (.DIODE(la_data_out_core[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[6]_A (.DIODE(la_data_out_core[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[70]_A (.DIODE(la_data_out_core[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[71]_A (.DIODE(la_data_out_core[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[72]_A (.DIODE(la_data_out_core[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[73]_A (.DIODE(la_data_out_core[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[74]_A (.DIODE(la_data_out_core[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[75]_A (.DIODE(la_data_out_core[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[76]_A (.DIODE(la_data_out_core[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[77]_A (.DIODE(la_data_out_core[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[78]_A (.DIODE(la_data_out_core[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[79]_A (.DIODE(la_data_out_core[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[7]_A (.DIODE(la_data_out_core[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[80]_A (.DIODE(la_data_out_core[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[81]_A (.DIODE(la_data_out_core[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[82]_A (.DIODE(la_data_out_core[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[83]_A (.DIODE(la_data_out_core[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[84]_A (.DIODE(la_data_out_core[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[85]_A (.DIODE(la_data_out_core[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[86]_A (.DIODE(la_data_out_core[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[87]_A (.DIODE(la_data_out_core[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[88]_A (.DIODE(la_data_out_core[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[89]_A (.DIODE(la_data_out_core[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[8]_A (.DIODE(la_data_out_core[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[90]_A (.DIODE(la_data_out_core[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[91]_A (.DIODE(la_data_out_core[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[92]_A (.DIODE(la_data_out_core[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[93]_A (.DIODE(la_data_out_core[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[94]_A (.DIODE(la_data_out_core[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[95]_A (.DIODE(la_data_out_core[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[96]_A (.DIODE(la_data_out_core[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[97]_A (.DIODE(la_data_out_core[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[98]_A (.DIODE(la_data_out_core[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[99]_A (.DIODE(la_data_out_core[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[9]_A (.DIODE(la_data_out_core[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__471__A (.DIODE(la_data_out_mprj[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__571__A (.DIODE(la_data_out_mprj[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__572__A (.DIODE(la_data_out_mprj[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__573__A (.DIODE(la_data_out_mprj[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__574__A (.DIODE(la_data_out_mprj[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__575__A (.DIODE(la_data_out_mprj[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__576__A (.DIODE(la_data_out_mprj[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__577__A (.DIODE(la_data_out_mprj[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__578__A (.DIODE(la_data_out_mprj[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__579__A (.DIODE(la_data_out_mprj[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__580__A (.DIODE(la_data_out_mprj[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__481__A (.DIODE(la_data_out_mprj[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__581__A (.DIODE(la_data_out_mprj[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__582__A (.DIODE(la_data_out_mprj[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__583__A (.DIODE(la_data_out_mprj[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__584__A (.DIODE(la_data_out_mprj[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__585__A (.DIODE(la_data_out_mprj[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__586__A (.DIODE(la_data_out_mprj[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__587__A (.DIODE(la_data_out_mprj[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__588__A (.DIODE(la_data_out_mprj[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__589__A (.DIODE(la_data_out_mprj[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__590__A (.DIODE(la_data_out_mprj[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__482__A (.DIODE(la_data_out_mprj[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__591__A (.DIODE(la_data_out_mprj[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__592__A (.DIODE(la_data_out_mprj[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__593__A (.DIODE(la_data_out_mprj[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__594__A (.DIODE(la_data_out_mprj[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__595__A (.DIODE(la_data_out_mprj[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__596__A (.DIODE(la_data_out_mprj[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__597__A (.DIODE(la_data_out_mprj[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__598__A (.DIODE(la_data_out_mprj[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__483__A (.DIODE(la_data_out_mprj[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__484__A (.DIODE(la_data_out_mprj[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__485__A (.DIODE(la_data_out_mprj[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__486__A (.DIODE(la_data_out_mprj[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__487__A (.DIODE(la_data_out_mprj[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__488__A (.DIODE(la_data_out_mprj[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__489__A (.DIODE(la_data_out_mprj[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__490__A (.DIODE(la_data_out_mprj[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__472__A (.DIODE(la_data_out_mprj[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__491__A (.DIODE(la_data_out_mprj[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__492__A (.DIODE(la_data_out_mprj[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__493__A (.DIODE(la_data_out_mprj[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__494__A (.DIODE(la_data_out_mprj[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__495__A (.DIODE(la_data_out_mprj[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__496__A (.DIODE(la_data_out_mprj[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__497__A (.DIODE(la_data_out_mprj[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__498__A (.DIODE(la_data_out_mprj[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__499__A (.DIODE(la_data_out_mprj[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__500__A (.DIODE(la_data_out_mprj[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__473__A (.DIODE(la_data_out_mprj[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__501__A (.DIODE(la_data_out_mprj[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__502__A (.DIODE(la_data_out_mprj[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__503__A (.DIODE(la_data_out_mprj[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__504__A (.DIODE(la_data_out_mprj[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__505__A (.DIODE(la_data_out_mprj[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__506__A (.DIODE(la_data_out_mprj[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__507__A (.DIODE(la_data_out_mprj[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__508__A (.DIODE(la_data_out_mprj[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__509__A (.DIODE(la_data_out_mprj[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__510__A (.DIODE(la_data_out_mprj[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__474__A (.DIODE(la_data_out_mprj[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__511__A (.DIODE(la_data_out_mprj[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__512__A (.DIODE(la_data_out_mprj[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__513__A (.DIODE(la_data_out_mprj[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__514__A (.DIODE(la_data_out_mprj[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__515__A (.DIODE(la_data_out_mprj[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__516__A (.DIODE(la_data_out_mprj[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__517__A (.DIODE(la_data_out_mprj[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__518__A (.DIODE(la_data_out_mprj[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__519__A (.DIODE(la_data_out_mprj[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__520__A (.DIODE(la_data_out_mprj[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__475__A (.DIODE(la_data_out_mprj[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__521__A (.DIODE(la_data_out_mprj[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__522__A (.DIODE(la_data_out_mprj[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__523__A (.DIODE(la_data_out_mprj[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__524__A (.DIODE(la_data_out_mprj[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__525__A (.DIODE(la_data_out_mprj[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__526__A (.DIODE(la_data_out_mprj[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__527__A (.DIODE(la_data_out_mprj[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__528__A (.DIODE(la_data_out_mprj[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__529__A (.DIODE(la_data_out_mprj[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__530__A (.DIODE(la_data_out_mprj[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__476__A (.DIODE(la_data_out_mprj[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__531__A (.DIODE(la_data_out_mprj[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__532__A (.DIODE(la_data_out_mprj[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__533__A (.DIODE(la_data_out_mprj[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__534__A (.DIODE(la_data_out_mprj[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__535__A (.DIODE(la_data_out_mprj[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__536__A (.DIODE(la_data_out_mprj[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__537__A (.DIODE(la_data_out_mprj[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__538__A (.DIODE(la_data_out_mprj[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__539__A (.DIODE(la_data_out_mprj[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__540__A (.DIODE(la_data_out_mprj[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__477__A (.DIODE(la_data_out_mprj[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__541__A (.DIODE(la_data_out_mprj[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__542__A (.DIODE(la_data_out_mprj[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__543__A (.DIODE(la_data_out_mprj[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__544__A (.DIODE(la_data_out_mprj[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__545__A (.DIODE(la_data_out_mprj[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__546__A (.DIODE(la_data_out_mprj[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__547__A (.DIODE(la_data_out_mprj[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__548__A (.DIODE(la_data_out_mprj[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__549__A (.DIODE(la_data_out_mprj[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__550__A (.DIODE(la_data_out_mprj[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__478__A (.DIODE(la_data_out_mprj[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__551__A (.DIODE(la_data_out_mprj[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__552__A (.DIODE(la_data_out_mprj[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__553__A (.DIODE(la_data_out_mprj[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__554__A (.DIODE(la_data_out_mprj[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__555__A (.DIODE(la_data_out_mprj[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__556__A (.DIODE(la_data_out_mprj[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__557__A (.DIODE(la_data_out_mprj[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__558__A (.DIODE(la_data_out_mprj[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__559__A (.DIODE(la_data_out_mprj[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__560__A (.DIODE(la_data_out_mprj[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__479__A (.DIODE(la_data_out_mprj[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__561__A (.DIODE(la_data_out_mprj[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__562__A (.DIODE(la_data_out_mprj[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__563__A (.DIODE(la_data_out_mprj[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__564__A (.DIODE(la_data_out_mprj[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__565__A (.DIODE(la_data_out_mprj[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__566__A (.DIODE(la_data_out_mprj[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__567__A (.DIODE(la_data_out_mprj[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__568__A (.DIODE(la_data_out_mprj[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__569__A (.DIODE(la_data_out_mprj[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__570__A (.DIODE(la_data_out_mprj[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__480__A (.DIODE(la_data_out_mprj[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__599__A (.DIODE(la_oen_mprj[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__368__A (.DIODE(la_oen_mprj[100]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__369__A (.DIODE(la_oen_mprj[101]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__370__A (.DIODE(la_oen_mprj[102]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__371__A (.DIODE(la_oen_mprj[103]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__372__A (.DIODE(la_oen_mprj[104]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__373__A (.DIODE(la_oen_mprj[105]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__374__A (.DIODE(la_oen_mprj[106]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__375__A (.DIODE(la_oen_mprj[107]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__376__A (.DIODE(la_oen_mprj[108]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__377__A (.DIODE(la_oen_mprj[109]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__609__A (.DIODE(la_oen_mprj[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__378__A (.DIODE(la_oen_mprj[110]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__379__A (.DIODE(la_oen_mprj[111]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__380__A (.DIODE(la_oen_mprj[112]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__381__A (.DIODE(la_oen_mprj[113]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__382__A (.DIODE(la_oen_mprj[114]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__383__A (.DIODE(la_oen_mprj[115]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__384__A (.DIODE(la_oen_mprj[116]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__385__A (.DIODE(la_oen_mprj[117]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__386__A (.DIODE(la_oen_mprj[118]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__387__A (.DIODE(la_oen_mprj[119]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__610__A (.DIODE(la_oen_mprj[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__388__A (.DIODE(la_oen_mprj[120]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__389__A (.DIODE(la_oen_mprj[121]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__390__A (.DIODE(la_oen_mprj[122]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__391__A (.DIODE(la_oen_mprj[123]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__392__A (.DIODE(la_oen_mprj[124]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__393__A (.DIODE(la_oen_mprj[125]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__394__A (.DIODE(la_oen_mprj[126]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__395__A (.DIODE(la_oen_mprj[127]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__611__A (.DIODE(la_oen_mprj[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__612__A (.DIODE(la_oen_mprj[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__613__A (.DIODE(la_oen_mprj[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__614__A (.DIODE(la_oen_mprj[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__615__A (.DIODE(la_oen_mprj[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__616__A (.DIODE(la_oen_mprj[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__617__A (.DIODE(la_oen_mprj[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__618__A (.DIODE(la_oen_mprj[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__600__A (.DIODE(la_oen_mprj[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__619__A (.DIODE(la_oen_mprj[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__620__A (.DIODE(la_oen_mprj[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__621__A (.DIODE(la_oen_mprj[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__622__A (.DIODE(la_oen_mprj[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__623__A (.DIODE(la_oen_mprj[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__624__A (.DIODE(la_oen_mprj[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__625__A (.DIODE(la_oen_mprj[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__626__A (.DIODE(la_oen_mprj[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__627__A (.DIODE(la_oen_mprj[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__628__A (.DIODE(la_oen_mprj[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__601__A (.DIODE(la_oen_mprj[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__629__A (.DIODE(la_oen_mprj[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__630__A (.DIODE(la_oen_mprj[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__631__A (.DIODE(la_oen_mprj[32]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__632__A (.DIODE(la_oen_mprj[33]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__633__A (.DIODE(la_oen_mprj[34]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__634__A (.DIODE(la_oen_mprj[35]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__635__A (.DIODE(la_oen_mprj[36]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__636__A (.DIODE(la_oen_mprj[37]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__637__A (.DIODE(la_oen_mprj[38]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__638__A (.DIODE(la_oen_mprj[39]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__602__A (.DIODE(la_oen_mprj[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__639__A (.DIODE(la_oen_mprj[40]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__640__A (.DIODE(la_oen_mprj[41]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__641__A (.DIODE(la_oen_mprj[42]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__642__A (.DIODE(la_oen_mprj[43]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__643__A (.DIODE(la_oen_mprj[44]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__644__A (.DIODE(la_oen_mprj[45]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__645__A (.DIODE(la_oen_mprj[46]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__646__A (.DIODE(la_oen_mprj[47]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__647__A (.DIODE(la_oen_mprj[48]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__648__A (.DIODE(la_oen_mprj[49]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__603__A (.DIODE(la_oen_mprj[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__649__A (.DIODE(la_oen_mprj[50]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__650__A (.DIODE(la_oen_mprj[51]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__651__A (.DIODE(la_oen_mprj[52]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__652__A (.DIODE(la_oen_mprj[53]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__653__A (.DIODE(la_oen_mprj[54]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__654__A (.DIODE(la_oen_mprj[55]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__655__A (.DIODE(la_oen_mprj[56]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__656__A (.DIODE(la_oen_mprj[57]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__657__A (.DIODE(la_oen_mprj[58]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__658__A (.DIODE(la_oen_mprj[59]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__604__A (.DIODE(la_oen_mprj[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__659__A (.DIODE(la_oen_mprj[60]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__660__A (.DIODE(la_oen_mprj[61]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__330__A (.DIODE(la_oen_mprj[62]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__331__A (.DIODE(la_oen_mprj[63]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__332__A (.DIODE(la_oen_mprj[64]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__333__A (.DIODE(la_oen_mprj[65]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__334__A (.DIODE(la_oen_mprj[66]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__335__A (.DIODE(la_oen_mprj[67]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__336__A (.DIODE(la_oen_mprj[68]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__337__A (.DIODE(la_oen_mprj[69]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__605__A (.DIODE(la_oen_mprj[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__338__A (.DIODE(la_oen_mprj[70]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__339__A (.DIODE(la_oen_mprj[71]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__340__A (.DIODE(la_oen_mprj[72]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__341__A (.DIODE(la_oen_mprj[73]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__342__A (.DIODE(la_oen_mprj[74]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__343__A (.DIODE(la_oen_mprj[75]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__344__A (.DIODE(la_oen_mprj[76]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__345__A (.DIODE(la_oen_mprj[77]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__346__A (.DIODE(la_oen_mprj[78]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__347__A (.DIODE(la_oen_mprj[79]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__606__A (.DIODE(la_oen_mprj[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__348__A (.DIODE(la_oen_mprj[80]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__349__A (.DIODE(la_oen_mprj[81]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__350__A (.DIODE(la_oen_mprj[82]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__351__A (.DIODE(la_oen_mprj[83]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__352__A (.DIODE(la_oen_mprj[84]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__353__A (.DIODE(la_oen_mprj[85]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__354__A (.DIODE(la_oen_mprj[86]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__355__A (.DIODE(la_oen_mprj[87]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__356__A (.DIODE(la_oen_mprj[88]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__357__A (.DIODE(la_oen_mprj[89]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__607__A (.DIODE(la_oen_mprj[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__358__A (.DIODE(la_oen_mprj[90]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__359__A (.DIODE(la_oen_mprj[91]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__360__A (.DIODE(la_oen_mprj[92]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__361__A (.DIODE(la_oen_mprj[93]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__362__A (.DIODE(la_oen_mprj[94]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__363__A (.DIODE(la_oen_mprj[95]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__364__A (.DIODE(la_oen_mprj[96]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__365__A (.DIODE(la_oen_mprj[97]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__366__A (.DIODE(la_oen_mprj[98]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__367__A (.DIODE(la_oen_mprj[99]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__608__A (.DIODE(la_oen_mprj[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__407__A (.DIODE(mprj_adr_o_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__417__A (.DIODE(mprj_adr_o_core[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__418__A (.DIODE(mprj_adr_o_core[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__419__A (.DIODE(mprj_adr_o_core[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__420__A (.DIODE(mprj_adr_o_core[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__421__A (.DIODE(mprj_adr_o_core[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__422__A (.DIODE(mprj_adr_o_core[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__423__A (.DIODE(mprj_adr_o_core[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__424__A (.DIODE(mprj_adr_o_core[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__425__A (.DIODE(mprj_adr_o_core[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__426__A (.DIODE(mprj_adr_o_core[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__408__A (.DIODE(mprj_adr_o_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__427__A (.DIODE(mprj_adr_o_core[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__428__A (.DIODE(mprj_adr_o_core[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__429__A (.DIODE(mprj_adr_o_core[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__430__A (.DIODE(mprj_adr_o_core[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__431__A (.DIODE(mprj_adr_o_core[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__432__A (.DIODE(mprj_adr_o_core[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__433__A (.DIODE(mprj_adr_o_core[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__434__A (.DIODE(mprj_adr_o_core[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__435__A (.DIODE(mprj_adr_o_core[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__436__A (.DIODE(mprj_adr_o_core[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__409__A (.DIODE(mprj_adr_o_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__437__A (.DIODE(mprj_adr_o_core[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__438__A (.DIODE(mprj_adr_o_core[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__410__A (.DIODE(mprj_adr_o_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__411__A (.DIODE(mprj_adr_o_core[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__412__A (.DIODE(mprj_adr_o_core[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__413__A (.DIODE(mprj_adr_o_core[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__414__A (.DIODE(mprj_adr_o_core[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__415__A (.DIODE(mprj_adr_o_core[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__416__A (.DIODE(mprj_adr_o_core[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__400__A (.DIODE(mprj_cyc_o_core),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__439__A (.DIODE(mprj_dat_o_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__449__A (.DIODE(mprj_dat_o_core[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__450__A (.DIODE(mprj_dat_o_core[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__451__A (.DIODE(mprj_dat_o_core[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__452__A (.DIODE(mprj_dat_o_core[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__453__A (.DIODE(mprj_dat_o_core[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__454__A (.DIODE(mprj_dat_o_core[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__455__A (.DIODE(mprj_dat_o_core[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__456__A (.DIODE(mprj_dat_o_core[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__457__A (.DIODE(mprj_dat_o_core[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__458__A (.DIODE(mprj_dat_o_core[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__440__A (.DIODE(mprj_dat_o_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__459__A (.DIODE(mprj_dat_o_core[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__460__A (.DIODE(mprj_dat_o_core[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__461__A (.DIODE(mprj_dat_o_core[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__462__A (.DIODE(mprj_dat_o_core[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__463__A (.DIODE(mprj_dat_o_core[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__464__A (.DIODE(mprj_dat_o_core[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__465__A (.DIODE(mprj_dat_o_core[26]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__466__A (.DIODE(mprj_dat_o_core[27]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__467__A (.DIODE(mprj_dat_o_core[28]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__468__A (.DIODE(mprj_dat_o_core[29]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__441__A (.DIODE(mprj_dat_o_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__469__A (.DIODE(mprj_dat_o_core[30]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__470__A (.DIODE(mprj_dat_o_core[31]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__442__A (.DIODE(mprj_dat_o_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__443__A (.DIODE(mprj_dat_o_core[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__444__A (.DIODE(mprj_dat_o_core[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__445__A (.DIODE(mprj_dat_o_core[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__446__A (.DIODE(mprj_dat_o_core[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__447__A (.DIODE(mprj_dat_o_core[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__448__A (.DIODE(mprj_dat_o_core[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__403__A (.DIODE(mprj_sel_o_core[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__404__A (.DIODE(mprj_sel_o_core[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__405__A (.DIODE(mprj_sel_o_core[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__406__A (.DIODE(mprj_sel_o_core[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__401__A (.DIODE(mprj_stb_o_core),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__402__A (.DIODE(mprj_we_o_core),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA__397__A (.DIODE(user_resetn),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_rstn_buf_A (.DIODE(_000_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_clk_buf_A (.DIODE(_001_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_clk2_buf_A (.DIODE(_002_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_cyc_buf_A (.DIODE(_003_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_stb_buf_A (.DIODE(_004_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_we_buf_A (.DIODE(_005_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[0]_A (.DIODE(_006_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[1]_A (.DIODE(_007_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[2]_A (.DIODE(_008_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[3]_A (.DIODE(_009_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[0]_A (.DIODE(_010_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[10]_A (.DIODE(_011_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[11]_A (.DIODE(_012_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[12]_A (.DIODE(_013_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[13]_A (.DIODE(_014_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[14]_A (.DIODE(_015_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[15]_A (.DIODE(_016_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[16]_A (.DIODE(_017_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[17]_A (.DIODE(_018_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[18]_A (.DIODE(_019_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[19]_A (.DIODE(_020_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[1]_A (.DIODE(_021_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[20]_A (.DIODE(_022_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[21]_A (.DIODE(_023_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[22]_A (.DIODE(_024_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[23]_A (.DIODE(_025_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[24]_A (.DIODE(_026_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[25]_A (.DIODE(_027_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[26]_A (.DIODE(_028_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[27]_A (.DIODE(_029_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[28]_A (.DIODE(_030_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[29]_A (.DIODE(_031_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[2]_A (.DIODE(_032_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[30]_A (.DIODE(_033_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[31]_A (.DIODE(_034_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[3]_A (.DIODE(_035_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[4]_A (.DIODE(_036_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[5]_A (.DIODE(_037_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[6]_A (.DIODE(_038_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[7]_A (.DIODE(_039_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[8]_A (.DIODE(_040_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[9]_A (.DIODE(_041_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[0]_A (.DIODE(_042_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[10]_A (.DIODE(_043_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[11]_A (.DIODE(_044_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[12]_A (.DIODE(_045_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[13]_A (.DIODE(_046_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[14]_A (.DIODE(_047_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[15]_A (.DIODE(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[16]_A (.DIODE(_049_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[17]_A (.DIODE(_050_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[18]_A (.DIODE(_051_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[19]_A (.DIODE(_052_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[1]_A (.DIODE(_053_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[20]_A (.DIODE(_054_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[21]_A (.DIODE(_055_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[22]_A (.DIODE(_056_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[23]_A (.DIODE(_057_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[24]_A (.DIODE(_058_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[25]_A (.DIODE(_059_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[26]_A (.DIODE(_060_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[27]_A (.DIODE(_061_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[28]_A (.DIODE(_062_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[29]_A (.DIODE(_063_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[2]_A (.DIODE(_064_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[30]_A (.DIODE(_065_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[31]_A (.DIODE(_066_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[3]_A (.DIODE(_067_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[4]_A (.DIODE(_068_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[5]_A (.DIODE(_069_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[6]_A (.DIODE(_070_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[7]_A (.DIODE(_071_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[8]_A (.DIODE(_072_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[9]_A (.DIODE(_073_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[0]_A (.DIODE(_074_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[100]_A (.DIODE(_075_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[101]_A (.DIODE(_076_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[102]_A (.DIODE(_077_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[103]_A (.DIODE(_078_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[104]_A (.DIODE(_079_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[105]_A (.DIODE(_080_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[106]_A (.DIODE(_081_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[107]_A (.DIODE(_082_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[108]_A (.DIODE(_083_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[109]_A (.DIODE(_084_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[10]_A (.DIODE(_085_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[110]_A (.DIODE(_086_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[111]_A (.DIODE(_087_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[112]_A (.DIODE(_088_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[113]_A (.DIODE(_089_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[114]_A (.DIODE(_090_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[115]_A (.DIODE(_091_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[116]_A (.DIODE(_092_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[117]_A (.DIODE(_093_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[118]_A (.DIODE(_094_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[119]_A (.DIODE(_095_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[11]_A (.DIODE(_096_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[120]_A (.DIODE(_097_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[121]_A (.DIODE(_098_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[122]_A (.DIODE(_099_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[123]_A (.DIODE(_100_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[124]_A (.DIODE(_101_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[125]_A (.DIODE(_102_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[126]_A (.DIODE(_103_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[127]_A (.DIODE(_104_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[12]_A (.DIODE(_105_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[13]_A (.DIODE(_106_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[14]_A (.DIODE(_107_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[15]_A (.DIODE(_108_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[16]_A (.DIODE(_109_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[17]_A (.DIODE(_110_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[18]_A (.DIODE(_111_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[19]_A (.DIODE(_112_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[1]_A (.DIODE(_113_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[20]_A (.DIODE(_114_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[21]_A (.DIODE(_115_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[22]_A (.DIODE(_116_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[23]_A (.DIODE(_117_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[24]_A (.DIODE(_118_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[25]_A (.DIODE(_119_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[26]_A (.DIODE(_120_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[27]_A (.DIODE(_121_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[28]_A (.DIODE(_122_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[29]_A (.DIODE(_123_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[2]_A (.DIODE(_124_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[30]_A (.DIODE(_125_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[31]_A (.DIODE(_126_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[32]_A (.DIODE(_127_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[33]_A (.DIODE(_128_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[34]_A (.DIODE(_129_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[35]_A (.DIODE(_130_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[36]_A (.DIODE(_131_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[37]_A (.DIODE(_132_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[38]_A (.DIODE(_133_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[39]_A (.DIODE(_134_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[3]_A (.DIODE(_135_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[40]_A (.DIODE(_136_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[41]_A (.DIODE(_137_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[42]_A (.DIODE(_138_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[43]_A (.DIODE(_139_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[44]_A (.DIODE(_140_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[45]_A (.DIODE(_141_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[46]_A (.DIODE(_142_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[47]_A (.DIODE(_143_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[48]_A (.DIODE(_144_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[49]_A (.DIODE(_145_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[4]_A (.DIODE(_146_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[50]_A (.DIODE(_147_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[51]_A (.DIODE(_148_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[52]_A (.DIODE(_149_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[53]_A (.DIODE(_150_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[54]_A (.DIODE(_151_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[55]_A (.DIODE(_152_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[56]_A (.DIODE(_153_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[57]_A (.DIODE(_154_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[58]_A (.DIODE(_155_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[59]_A (.DIODE(_156_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[5]_A (.DIODE(_157_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[60]_A (.DIODE(_158_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[61]_A (.DIODE(_159_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[62]_A (.DIODE(_160_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[63]_A (.DIODE(_161_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[64]_A (.DIODE(_162_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[65]_A (.DIODE(_163_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[66]_A (.DIODE(_164_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[67]_A (.DIODE(_165_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[68]_A (.DIODE(_166_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[69]_A (.DIODE(_167_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[6]_A (.DIODE(_168_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[70]_A (.DIODE(_169_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[71]_A (.DIODE(_170_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[72]_A (.DIODE(_171_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[73]_A (.DIODE(_172_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[74]_A (.DIODE(_173_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[75]_A (.DIODE(_174_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[76]_A (.DIODE(_175_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[77]_A (.DIODE(_176_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[78]_A (.DIODE(_177_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[79]_A (.DIODE(_178_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[7]_A (.DIODE(_179_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[80]_A (.DIODE(_180_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[81]_A (.DIODE(_181_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[82]_A (.DIODE(_182_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[83]_A (.DIODE(_183_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[84]_A (.DIODE(_184_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[85]_A (.DIODE(_185_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[86]_A (.DIODE(_186_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[87]_A (.DIODE(_187_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[88]_A (.DIODE(_188_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[89]_A (.DIODE(_189_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[8]_A (.DIODE(_190_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[90]_A (.DIODE(_191_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[91]_A (.DIODE(_192_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[92]_A (.DIODE(_193_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[93]_A (.DIODE(_194_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[94]_A (.DIODE(_195_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[95]_A (.DIODE(_196_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[96]_A (.DIODE(_197_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[97]_A (.DIODE(_198_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[98]_A (.DIODE(_199_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[99]_A (.DIODE(_200_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[9]_A (.DIODE(_201_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[0]_A (.DIODE(_202_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[100]_A (.DIODE(_203_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[101]_A (.DIODE(_204_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[102]_A (.DIODE(_205_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[103]_A (.DIODE(_206_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[104]_A (.DIODE(_207_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[105]_A (.DIODE(_208_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[106]_A (.DIODE(_209_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[107]_A (.DIODE(_210_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[108]_A (.DIODE(_211_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[109]_A (.DIODE(_212_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[10]_A (.DIODE(_213_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[110]_A (.DIODE(_214_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[111]_A (.DIODE(_215_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[112]_A (.DIODE(_216_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[113]_A (.DIODE(_217_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[114]_A (.DIODE(_218_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[115]_A (.DIODE(_219_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[116]_A (.DIODE(_220_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[117]_A (.DIODE(_221_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[118]_A (.DIODE(_222_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[119]_A (.DIODE(_223_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[11]_A (.DIODE(_224_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[120]_A (.DIODE(_225_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[121]_A (.DIODE(_226_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[122]_A (.DIODE(_227_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[123]_A (.DIODE(_228_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[124]_A (.DIODE(_229_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[125]_A (.DIODE(_230_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[126]_A (.DIODE(_231_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[127]_A (.DIODE(_232_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[12]_A (.DIODE(_233_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[13]_A (.DIODE(_234_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[14]_A (.DIODE(_235_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[15]_A (.DIODE(_236_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[16]_A (.DIODE(_237_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[17]_A (.DIODE(_238_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[18]_A (.DIODE(_239_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[19]_A (.DIODE(_240_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[1]_A (.DIODE(_241_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[20]_A (.DIODE(_242_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[21]_A (.DIODE(_243_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[22]_A (.DIODE(_244_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[23]_A (.DIODE(_245_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[24]_A (.DIODE(_246_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[25]_A (.DIODE(_247_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[26]_A (.DIODE(_248_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[27]_A (.DIODE(_249_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[28]_A (.DIODE(_250_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[29]_A (.DIODE(_251_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[2]_A (.DIODE(_252_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[30]_A (.DIODE(_253_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[31]_A (.DIODE(_254_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[32]_A (.DIODE(_255_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[33]_A (.DIODE(_256_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[34]_A (.DIODE(_257_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[35]_A (.DIODE(_258_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[36]_A (.DIODE(_259_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[37]_A (.DIODE(_260_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[38]_A (.DIODE(_261_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[39]_A (.DIODE(_262_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[3]_A (.DIODE(_263_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[40]_A (.DIODE(_264_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[41]_A (.DIODE(_265_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[42]_A (.DIODE(_266_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[43]_A (.DIODE(_267_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[44]_A (.DIODE(_268_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[45]_A (.DIODE(_269_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[46]_A (.DIODE(_270_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[47]_A (.DIODE(_271_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[48]_A (.DIODE(_272_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[49]_A (.DIODE(_273_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[4]_A (.DIODE(_274_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[50]_A (.DIODE(_275_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[51]_A (.DIODE(_276_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[52]_A (.DIODE(_277_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[53]_A (.DIODE(_278_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[54]_A (.DIODE(_279_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[55]_A (.DIODE(_280_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[56]_A (.DIODE(_281_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[57]_A (.DIODE(_282_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[58]_A (.DIODE(_283_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[59]_A (.DIODE(_284_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[5]_A (.DIODE(_285_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[60]_A (.DIODE(_286_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[61]_A (.DIODE(_287_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[62]_A (.DIODE(_288_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[63]_A (.DIODE(_289_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[64]_A (.DIODE(_290_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[65]_A (.DIODE(_291_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[66]_A (.DIODE(_292_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[67]_A (.DIODE(_293_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[68]_A (.DIODE(_294_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[69]_A (.DIODE(_295_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[6]_A (.DIODE(_296_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[70]_A (.DIODE(_297_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[71]_A (.DIODE(_298_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[72]_A (.DIODE(_299_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[73]_A (.DIODE(_300_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[74]_A (.DIODE(_301_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[75]_A (.DIODE(_302_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[76]_A (.DIODE(_303_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[77]_A (.DIODE(_304_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[78]_A (.DIODE(_305_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[79]_A (.DIODE(_306_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[7]_A (.DIODE(_307_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[80]_A (.DIODE(_308_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[81]_A (.DIODE(_309_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[82]_A (.DIODE(_310_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[83]_A (.DIODE(_311_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[84]_A (.DIODE(_312_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[85]_A (.DIODE(_313_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[86]_A (.DIODE(_314_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[87]_A (.DIODE(_315_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[88]_A (.DIODE(_316_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[89]_A (.DIODE(_317_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[8]_A (.DIODE(_318_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[90]_A (.DIODE(_319_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[91]_A (.DIODE(_320_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[92]_A (.DIODE(_321_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[93]_A (.DIODE(_322_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[94]_A (.DIODE(_323_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[95]_A (.DIODE(_324_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[96]_A (.DIODE(_325_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[97]_A (.DIODE(_326_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[98]_A (.DIODE(_327_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[99]_A (.DIODE(_328_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[9]_A (.DIODE(_329_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[0]_A (.DIODE(\la_data_in_mprj_bar[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[100]_A (.DIODE(\la_data_in_mprj_bar[100] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[101]_A (.DIODE(\la_data_in_mprj_bar[101] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[102]_A (.DIODE(\la_data_in_mprj_bar[102] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[103]_A (.DIODE(\la_data_in_mprj_bar[103] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[104]_A (.DIODE(\la_data_in_mprj_bar[104] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[105]_A (.DIODE(\la_data_in_mprj_bar[105] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[106]_A (.DIODE(\la_data_in_mprj_bar[106] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[107]_A (.DIODE(\la_data_in_mprj_bar[107] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[108]_A (.DIODE(\la_data_in_mprj_bar[108] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[109]_A (.DIODE(\la_data_in_mprj_bar[109] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[10]_A (.DIODE(\la_data_in_mprj_bar[10] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[110]_A (.DIODE(\la_data_in_mprj_bar[110] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[111]_A (.DIODE(\la_data_in_mprj_bar[111] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[112]_A (.DIODE(\la_data_in_mprj_bar[112] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[113]_A (.DIODE(\la_data_in_mprj_bar[113] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[114]_A (.DIODE(\la_data_in_mprj_bar[114] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[115]_A (.DIODE(\la_data_in_mprj_bar[115] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[116]_A (.DIODE(\la_data_in_mprj_bar[116] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[117]_A (.DIODE(\la_data_in_mprj_bar[117] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[118]_A (.DIODE(\la_data_in_mprj_bar[118] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[119]_A (.DIODE(\la_data_in_mprj_bar[119] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[11]_A (.DIODE(\la_data_in_mprj_bar[11] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[120]_A (.DIODE(\la_data_in_mprj_bar[120] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[121]_A (.DIODE(\la_data_in_mprj_bar[121] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[122]_A (.DIODE(\la_data_in_mprj_bar[122] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[123]_A (.DIODE(\la_data_in_mprj_bar[123] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[124]_A (.DIODE(\la_data_in_mprj_bar[124] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[125]_A (.DIODE(\la_data_in_mprj_bar[125] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[126]_A (.DIODE(\la_data_in_mprj_bar[126] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[127]_A (.DIODE(\la_data_in_mprj_bar[127] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[12]_A (.DIODE(\la_data_in_mprj_bar[12] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[13]_A (.DIODE(\la_data_in_mprj_bar[13] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[14]_A (.DIODE(\la_data_in_mprj_bar[14] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[15]_A (.DIODE(\la_data_in_mprj_bar[15] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[16]_A (.DIODE(\la_data_in_mprj_bar[16] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[17]_A (.DIODE(\la_data_in_mprj_bar[17] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[18]_A (.DIODE(\la_data_in_mprj_bar[18] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[19]_A (.DIODE(\la_data_in_mprj_bar[19] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[1]_A (.DIODE(\la_data_in_mprj_bar[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[20]_A (.DIODE(\la_data_in_mprj_bar[20] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[21]_A (.DIODE(\la_data_in_mprj_bar[21] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[22]_A (.DIODE(\la_data_in_mprj_bar[22] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[23]_A (.DIODE(\la_data_in_mprj_bar[23] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[24]_A (.DIODE(\la_data_in_mprj_bar[24] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[25]_A (.DIODE(\la_data_in_mprj_bar[25] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[26]_A (.DIODE(\la_data_in_mprj_bar[26] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[27]_A (.DIODE(\la_data_in_mprj_bar[27] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[28]_A (.DIODE(\la_data_in_mprj_bar[28] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[29]_A (.DIODE(\la_data_in_mprj_bar[29] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[2]_A (.DIODE(\la_data_in_mprj_bar[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[30]_A (.DIODE(\la_data_in_mprj_bar[30] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[31]_A (.DIODE(\la_data_in_mprj_bar[31] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[32]_A (.DIODE(\la_data_in_mprj_bar[32] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[33]_A (.DIODE(\la_data_in_mprj_bar[33] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[34]_A (.DIODE(\la_data_in_mprj_bar[34] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[35]_A (.DIODE(\la_data_in_mprj_bar[35] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[36]_A (.DIODE(\la_data_in_mprj_bar[36] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[37]_A (.DIODE(\la_data_in_mprj_bar[37] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[38]_A (.DIODE(\la_data_in_mprj_bar[38] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[39]_A (.DIODE(\la_data_in_mprj_bar[39] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[3]_A (.DIODE(\la_data_in_mprj_bar[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[40]_A (.DIODE(\la_data_in_mprj_bar[40] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[41]_A (.DIODE(\la_data_in_mprj_bar[41] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[42]_A (.DIODE(\la_data_in_mprj_bar[42] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[43]_A (.DIODE(\la_data_in_mprj_bar[43] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[44]_A (.DIODE(\la_data_in_mprj_bar[44] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[45]_A (.DIODE(\la_data_in_mprj_bar[45] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[46]_A (.DIODE(\la_data_in_mprj_bar[46] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[47]_A (.DIODE(\la_data_in_mprj_bar[47] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[48]_A (.DIODE(\la_data_in_mprj_bar[48] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[49]_A (.DIODE(\la_data_in_mprj_bar[49] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[4]_A (.DIODE(\la_data_in_mprj_bar[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[50]_A (.DIODE(\la_data_in_mprj_bar[50] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[51]_A (.DIODE(\la_data_in_mprj_bar[51] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[52]_A (.DIODE(\la_data_in_mprj_bar[52] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[53]_A (.DIODE(\la_data_in_mprj_bar[53] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[54]_A (.DIODE(\la_data_in_mprj_bar[54] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[55]_A (.DIODE(\la_data_in_mprj_bar[55] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[56]_A (.DIODE(\la_data_in_mprj_bar[56] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[57]_A (.DIODE(\la_data_in_mprj_bar[57] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[58]_A (.DIODE(\la_data_in_mprj_bar[58] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[59]_A (.DIODE(\la_data_in_mprj_bar[59] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[5]_A (.DIODE(\la_data_in_mprj_bar[5] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[60]_A (.DIODE(\la_data_in_mprj_bar[60] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[61]_A (.DIODE(\la_data_in_mprj_bar[61] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[62]_A (.DIODE(\la_data_in_mprj_bar[62] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[63]_A (.DIODE(\la_data_in_mprj_bar[63] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[64]_A (.DIODE(\la_data_in_mprj_bar[64] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[65]_A (.DIODE(\la_data_in_mprj_bar[65] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[66]_A (.DIODE(\la_data_in_mprj_bar[66] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[67]_A (.DIODE(\la_data_in_mprj_bar[67] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[68]_A (.DIODE(\la_data_in_mprj_bar[68] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[69]_A (.DIODE(\la_data_in_mprj_bar[69] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[6]_A (.DIODE(\la_data_in_mprj_bar[6] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[70]_A (.DIODE(\la_data_in_mprj_bar[70] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[71]_A (.DIODE(\la_data_in_mprj_bar[71] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[72]_A (.DIODE(\la_data_in_mprj_bar[72] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[73]_A (.DIODE(\la_data_in_mprj_bar[73] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[74]_A (.DIODE(\la_data_in_mprj_bar[74] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[75]_A (.DIODE(\la_data_in_mprj_bar[75] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[76]_A (.DIODE(\la_data_in_mprj_bar[76] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[77]_A (.DIODE(\la_data_in_mprj_bar[77] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[78]_A (.DIODE(\la_data_in_mprj_bar[78] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[79]_A (.DIODE(\la_data_in_mprj_bar[79] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[7]_A (.DIODE(\la_data_in_mprj_bar[7] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[80]_A (.DIODE(\la_data_in_mprj_bar[80] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[81]_A (.DIODE(\la_data_in_mprj_bar[81] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[82]_A (.DIODE(\la_data_in_mprj_bar[82] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[83]_A (.DIODE(\la_data_in_mprj_bar[83] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[84]_A (.DIODE(\la_data_in_mprj_bar[84] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[85]_A (.DIODE(\la_data_in_mprj_bar[85] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[86]_A (.DIODE(\la_data_in_mprj_bar[86] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[87]_A (.DIODE(\la_data_in_mprj_bar[87] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[88]_A (.DIODE(\la_data_in_mprj_bar[88] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[89]_A (.DIODE(\la_data_in_mprj_bar[89] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[8]_A (.DIODE(\la_data_in_mprj_bar[8] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[90]_A (.DIODE(\la_data_in_mprj_bar[90] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[91]_A (.DIODE(\la_data_in_mprj_bar[91] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[92]_A (.DIODE(\la_data_in_mprj_bar[92] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[93]_A (.DIODE(\la_data_in_mprj_bar[93] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[94]_A (.DIODE(\la_data_in_mprj_bar[94] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[95]_A (.DIODE(\la_data_in_mprj_bar[95] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[96]_A (.DIODE(\la_data_in_mprj_bar[96] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[97]_A (.DIODE(\la_data_in_mprj_bar[97] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[98]_A (.DIODE(\la_data_in_mprj_bar[98] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[99]_A (.DIODE(\la_data_in_mprj_bar[99] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_buffers[9]_A (.DIODE(\la_data_in_mprj_bar[9] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj2_vdd_pwrgood_A (.DIODE(mprj2_vdd_logic1),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj2_pwrgood_A (.DIODE(mprj2_vdd_logic1),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_rstn_buf_TE (.DIODE(\mprj_logic1[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[26]_TE (.DIODE(\mprj_logic1[100] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[27]_TE (.DIODE(\mprj_logic1[101] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[28]_TE (.DIODE(\mprj_logic1[102] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[29]_TE (.DIODE(\mprj_logic1[103] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[30]_TE (.DIODE(\mprj_logic1[104] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[31]_TE (.DIODE(\mprj_logic1[105] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[32]_TE (.DIODE(\mprj_logic1[106] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[33]_TE (.DIODE(\mprj_logic1[107] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[34]_TE (.DIODE(\mprj_logic1[108] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[35]_TE (.DIODE(\mprj_logic1[109] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[0]_TE (.DIODE(\mprj_logic1[10] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[36]_TE (.DIODE(\mprj_logic1[110] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[37]_TE (.DIODE(\mprj_logic1[111] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[38]_TE (.DIODE(\mprj_logic1[112] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[39]_TE (.DIODE(\mprj_logic1[113] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[40]_TE (.DIODE(\mprj_logic1[114] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[41]_TE (.DIODE(\mprj_logic1[115] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[42]_TE (.DIODE(\mprj_logic1[116] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[43]_TE (.DIODE(\mprj_logic1[117] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[44]_TE (.DIODE(\mprj_logic1[118] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[45]_TE (.DIODE(\mprj_logic1[119] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[1]_TE (.DIODE(\mprj_logic1[11] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[46]_TE (.DIODE(\mprj_logic1[120] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[47]_TE (.DIODE(\mprj_logic1[121] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[48]_TE (.DIODE(\mprj_logic1[122] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[49]_TE (.DIODE(\mprj_logic1[123] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[50]_TE (.DIODE(\mprj_logic1[124] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[51]_TE (.DIODE(\mprj_logic1[125] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[52]_TE (.DIODE(\mprj_logic1[126] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[53]_TE (.DIODE(\mprj_logic1[127] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[54]_TE (.DIODE(\mprj_logic1[128] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[55]_TE (.DIODE(\mprj_logic1[129] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[2]_TE (.DIODE(\mprj_logic1[12] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[56]_TE (.DIODE(\mprj_logic1[130] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[57]_TE (.DIODE(\mprj_logic1[131] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[58]_TE (.DIODE(\mprj_logic1[132] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[59]_TE (.DIODE(\mprj_logic1[133] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[60]_TE (.DIODE(\mprj_logic1[134] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[61]_TE (.DIODE(\mprj_logic1[135] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[62]_TE (.DIODE(\mprj_logic1[136] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[63]_TE (.DIODE(\mprj_logic1[137] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[64]_TE (.DIODE(\mprj_logic1[138] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[65]_TE (.DIODE(\mprj_logic1[139] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[3]_TE (.DIODE(\mprj_logic1[13] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[66]_TE (.DIODE(\mprj_logic1[140] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[67]_TE (.DIODE(\mprj_logic1[141] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[68]_TE (.DIODE(\mprj_logic1[142] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[69]_TE (.DIODE(\mprj_logic1[143] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[70]_TE (.DIODE(\mprj_logic1[144] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[71]_TE (.DIODE(\mprj_logic1[145] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[72]_TE (.DIODE(\mprj_logic1[146] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[73]_TE (.DIODE(\mprj_logic1[147] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[74]_TE (.DIODE(\mprj_logic1[148] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[75]_TE (.DIODE(\mprj_logic1[149] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[4]_TE (.DIODE(\mprj_logic1[14] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[76]_TE (.DIODE(\mprj_logic1[150] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[77]_TE (.DIODE(\mprj_logic1[151] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[78]_TE (.DIODE(\mprj_logic1[152] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[79]_TE (.DIODE(\mprj_logic1[153] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[80]_TE (.DIODE(\mprj_logic1[154] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[81]_TE (.DIODE(\mprj_logic1[155] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[82]_TE (.DIODE(\mprj_logic1[156] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[83]_TE (.DIODE(\mprj_logic1[157] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[84]_TE (.DIODE(\mprj_logic1[158] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[85]_TE (.DIODE(\mprj_logic1[159] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[5]_TE (.DIODE(\mprj_logic1[15] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[86]_TE (.DIODE(\mprj_logic1[160] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[87]_TE (.DIODE(\mprj_logic1[161] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[88]_TE (.DIODE(\mprj_logic1[162] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[89]_TE (.DIODE(\mprj_logic1[163] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[90]_TE (.DIODE(\mprj_logic1[164] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[91]_TE (.DIODE(\mprj_logic1[165] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[92]_TE (.DIODE(\mprj_logic1[166] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[93]_TE (.DIODE(\mprj_logic1[167] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[94]_TE (.DIODE(\mprj_logic1[168] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[95]_TE (.DIODE(\mprj_logic1[169] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[6]_TE (.DIODE(\mprj_logic1[16] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[96]_TE (.DIODE(\mprj_logic1[170] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[97]_TE (.DIODE(\mprj_logic1[171] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[98]_TE (.DIODE(\mprj_logic1[172] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[99]_TE (.DIODE(\mprj_logic1[173] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[100]_TE (.DIODE(\mprj_logic1[174] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[101]_TE (.DIODE(\mprj_logic1[175] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[102]_TE (.DIODE(\mprj_logic1[176] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[103]_TE (.DIODE(\mprj_logic1[177] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[104]_TE (.DIODE(\mprj_logic1[178] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[105]_TE (.DIODE(\mprj_logic1[179] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[7]_TE (.DIODE(\mprj_logic1[17] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[106]_TE (.DIODE(\mprj_logic1[180] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[107]_TE (.DIODE(\mprj_logic1[181] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[108]_TE (.DIODE(\mprj_logic1[182] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[109]_TE (.DIODE(\mprj_logic1[183] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[110]_TE (.DIODE(\mprj_logic1[184] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[111]_TE (.DIODE(\mprj_logic1[185] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[112]_TE (.DIODE(\mprj_logic1[186] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[113]_TE (.DIODE(\mprj_logic1[187] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[114]_TE (.DIODE(\mprj_logic1[188] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[115]_TE (.DIODE(\mprj_logic1[189] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[8]_TE (.DIODE(\mprj_logic1[18] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[116]_TE (.DIODE(\mprj_logic1[190] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[117]_TE (.DIODE(\mprj_logic1[191] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[118]_TE (.DIODE(\mprj_logic1[192] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[119]_TE (.DIODE(\mprj_logic1[193] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[120]_TE (.DIODE(\mprj_logic1[194] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[121]_TE (.DIODE(\mprj_logic1[195] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[122]_TE (.DIODE(\mprj_logic1[196] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[123]_TE (.DIODE(\mprj_logic1[197] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[124]_TE (.DIODE(\mprj_logic1[198] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[125]_TE (.DIODE(\mprj_logic1[199] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[9]_TE (.DIODE(\mprj_logic1[19] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_clk_buf_TE (.DIODE(\mprj_logic1[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[126]_TE (.DIODE(\mprj_logic1[200] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[127]_TE (.DIODE(\mprj_logic1[201] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[0]_TE (.DIODE(\mprj_logic1[202] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[1]_TE (.DIODE(\mprj_logic1[203] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[2]_TE (.DIODE(\mprj_logic1[204] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[3]_TE (.DIODE(\mprj_logic1[205] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[4]_TE (.DIODE(\mprj_logic1[206] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[5]_TE (.DIODE(\mprj_logic1[207] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[6]_TE (.DIODE(\mprj_logic1[208] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[7]_TE (.DIODE(\mprj_logic1[209] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[10]_TE (.DIODE(\mprj_logic1[20] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[8]_TE (.DIODE(\mprj_logic1[210] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[9]_TE (.DIODE(\mprj_logic1[211] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[10]_TE (.DIODE(\mprj_logic1[212] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[11]_TE (.DIODE(\mprj_logic1[213] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[12]_TE (.DIODE(\mprj_logic1[214] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[13]_TE (.DIODE(\mprj_logic1[215] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[14]_TE (.DIODE(\mprj_logic1[216] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[15]_TE (.DIODE(\mprj_logic1[217] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[16]_TE (.DIODE(\mprj_logic1[218] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[17]_TE (.DIODE(\mprj_logic1[219] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[11]_TE (.DIODE(\mprj_logic1[21] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[18]_TE (.DIODE(\mprj_logic1[220] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[19]_TE (.DIODE(\mprj_logic1[221] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[20]_TE (.DIODE(\mprj_logic1[222] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[21]_TE (.DIODE(\mprj_logic1[223] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[22]_TE (.DIODE(\mprj_logic1[224] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[23]_TE (.DIODE(\mprj_logic1[225] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[24]_TE (.DIODE(\mprj_logic1[226] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[25]_TE (.DIODE(\mprj_logic1[227] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[26]_TE (.DIODE(\mprj_logic1[228] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[27]_TE (.DIODE(\mprj_logic1[229] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[12]_TE (.DIODE(\mprj_logic1[22] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[28]_TE (.DIODE(\mprj_logic1[230] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[29]_TE (.DIODE(\mprj_logic1[231] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[30]_TE (.DIODE(\mprj_logic1[232] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[31]_TE (.DIODE(\mprj_logic1[233] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[32]_TE (.DIODE(\mprj_logic1[234] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[33]_TE (.DIODE(\mprj_logic1[235] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[34]_TE (.DIODE(\mprj_logic1[236] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[35]_TE (.DIODE(\mprj_logic1[237] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[36]_TE (.DIODE(\mprj_logic1[238] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[37]_TE (.DIODE(\mprj_logic1[239] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[13]_TE (.DIODE(\mprj_logic1[23] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[38]_TE (.DIODE(\mprj_logic1[240] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[39]_TE (.DIODE(\mprj_logic1[241] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[40]_TE (.DIODE(\mprj_logic1[242] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[41]_TE (.DIODE(\mprj_logic1[243] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[42]_TE (.DIODE(\mprj_logic1[244] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[43]_TE (.DIODE(\mprj_logic1[245] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[44]_TE (.DIODE(\mprj_logic1[246] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[45]_TE (.DIODE(\mprj_logic1[247] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[46]_TE (.DIODE(\mprj_logic1[248] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[47]_TE (.DIODE(\mprj_logic1[249] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[14]_TE (.DIODE(\mprj_logic1[24] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[48]_TE (.DIODE(\mprj_logic1[250] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[49]_TE (.DIODE(\mprj_logic1[251] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[50]_TE (.DIODE(\mprj_logic1[252] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[51]_TE (.DIODE(\mprj_logic1[253] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[52]_TE (.DIODE(\mprj_logic1[254] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[53]_TE (.DIODE(\mprj_logic1[255] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[54]_TE (.DIODE(\mprj_logic1[256] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[55]_TE (.DIODE(\mprj_logic1[257] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[56]_TE (.DIODE(\mprj_logic1[258] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[57]_TE (.DIODE(\mprj_logic1[259] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[15]_TE (.DIODE(\mprj_logic1[25] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[58]_TE (.DIODE(\mprj_logic1[260] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[59]_TE (.DIODE(\mprj_logic1[261] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[60]_TE (.DIODE(\mprj_logic1[262] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[61]_TE (.DIODE(\mprj_logic1[263] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[62]_TE (.DIODE(\mprj_logic1[264] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[63]_TE (.DIODE(\mprj_logic1[265] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[64]_TE (.DIODE(\mprj_logic1[266] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[65]_TE (.DIODE(\mprj_logic1[267] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[66]_TE (.DIODE(\mprj_logic1[268] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[67]_TE (.DIODE(\mprj_logic1[269] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[16]_TE (.DIODE(\mprj_logic1[26] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[68]_TE (.DIODE(\mprj_logic1[270] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[69]_TE (.DIODE(\mprj_logic1[271] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[70]_TE (.DIODE(\mprj_logic1[272] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[71]_TE (.DIODE(\mprj_logic1[273] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[72]_TE (.DIODE(\mprj_logic1[274] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[73]_TE (.DIODE(\mprj_logic1[275] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[74]_TE (.DIODE(\mprj_logic1[276] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[75]_TE (.DIODE(\mprj_logic1[277] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[76]_TE (.DIODE(\mprj_logic1[278] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[77]_TE (.DIODE(\mprj_logic1[279] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[17]_TE (.DIODE(\mprj_logic1[27] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[78]_TE (.DIODE(\mprj_logic1[280] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[79]_TE (.DIODE(\mprj_logic1[281] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[80]_TE (.DIODE(\mprj_logic1[282] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[81]_TE (.DIODE(\mprj_logic1[283] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[82]_TE (.DIODE(\mprj_logic1[284] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[83]_TE (.DIODE(\mprj_logic1[285] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[84]_TE (.DIODE(\mprj_logic1[286] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[85]_TE (.DIODE(\mprj_logic1[287] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[86]_TE (.DIODE(\mprj_logic1[288] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[87]_TE (.DIODE(\mprj_logic1[289] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[18]_TE (.DIODE(\mprj_logic1[28] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[88]_TE (.DIODE(\mprj_logic1[290] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[89]_TE (.DIODE(\mprj_logic1[291] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[90]_TE (.DIODE(\mprj_logic1[292] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[91]_TE (.DIODE(\mprj_logic1[293] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[92]_TE (.DIODE(\mprj_logic1[294] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[93]_TE (.DIODE(\mprj_logic1[295] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[94]_TE (.DIODE(\mprj_logic1[296] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[95]_TE (.DIODE(\mprj_logic1[297] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[96]_TE (.DIODE(\mprj_logic1[298] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[97]_TE (.DIODE(\mprj_logic1[299] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[19]_TE (.DIODE(\mprj_logic1[29] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_clk2_buf_TE (.DIODE(\mprj_logic1[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[98]_TE (.DIODE(\mprj_logic1[300] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[99]_TE (.DIODE(\mprj_logic1[301] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[100]_TE (.DIODE(\mprj_logic1[302] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[101]_TE (.DIODE(\mprj_logic1[303] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[102]_TE (.DIODE(\mprj_logic1[304] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[103]_TE (.DIODE(\mprj_logic1[305] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[104]_TE (.DIODE(\mprj_logic1[306] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[105]_TE (.DIODE(\mprj_logic1[307] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[106]_TE (.DIODE(\mprj_logic1[308] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[107]_TE (.DIODE(\mprj_logic1[309] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[20]_TE (.DIODE(\mprj_logic1[30] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[108]_TE (.DIODE(\mprj_logic1[310] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[109]_TE (.DIODE(\mprj_logic1[311] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[110]_TE (.DIODE(\mprj_logic1[312] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[111]_TE (.DIODE(\mprj_logic1[313] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[112]_TE (.DIODE(\mprj_logic1[314] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[113]_TE (.DIODE(\mprj_logic1[315] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[114]_TE (.DIODE(\mprj_logic1[316] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[115]_TE (.DIODE(\mprj_logic1[317] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[116]_TE (.DIODE(\mprj_logic1[318] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[117]_TE (.DIODE(\mprj_logic1[319] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[21]_TE (.DIODE(\mprj_logic1[31] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[118]_TE (.DIODE(\mprj_logic1[320] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[119]_TE (.DIODE(\mprj_logic1[321] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[120]_TE (.DIODE(\mprj_logic1[322] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[121]_TE (.DIODE(\mprj_logic1[323] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[122]_TE (.DIODE(\mprj_logic1[324] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[123]_TE (.DIODE(\mprj_logic1[325] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[124]_TE (.DIODE(\mprj_logic1[326] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[125]_TE (.DIODE(\mprj_logic1[327] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[126]_TE (.DIODE(\mprj_logic1[328] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_oen_buffers[127]_TE (.DIODE(\mprj_logic1[329] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[22]_TE (.DIODE(\mprj_logic1[32] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[0]_B (.DIODE(\mprj_logic1[330] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[1]_B (.DIODE(\mprj_logic1[331] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[2]_B (.DIODE(\mprj_logic1[332] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[3]_B (.DIODE(\mprj_logic1[333] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[4]_B (.DIODE(\mprj_logic1[334] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[5]_B (.DIODE(\mprj_logic1[335] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[6]_B (.DIODE(\mprj_logic1[336] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[7]_B (.DIODE(\mprj_logic1[337] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[8]_B (.DIODE(\mprj_logic1[338] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[9]_B (.DIODE(\mprj_logic1[339] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[23]_TE (.DIODE(\mprj_logic1[33] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[10]_B (.DIODE(\mprj_logic1[340] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[11]_B (.DIODE(\mprj_logic1[341] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[12]_B (.DIODE(\mprj_logic1[342] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[13]_B (.DIODE(\mprj_logic1[343] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[14]_B (.DIODE(\mprj_logic1[344] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[15]_B (.DIODE(\mprj_logic1[345] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[16]_B (.DIODE(\mprj_logic1[346] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[17]_B (.DIODE(\mprj_logic1[347] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[18]_B (.DIODE(\mprj_logic1[348] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[19]_B (.DIODE(\mprj_logic1[349] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[24]_TE (.DIODE(\mprj_logic1[34] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[20]_B (.DIODE(\mprj_logic1[350] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[21]_B (.DIODE(\mprj_logic1[351] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[22]_B (.DIODE(\mprj_logic1[352] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[23]_B (.DIODE(\mprj_logic1[353] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[24]_B (.DIODE(\mprj_logic1[354] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[25]_B (.DIODE(\mprj_logic1[355] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[26]_B (.DIODE(\mprj_logic1[356] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[27]_B (.DIODE(\mprj_logic1[357] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[28]_B (.DIODE(\mprj_logic1[358] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[29]_B (.DIODE(\mprj_logic1[359] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[25]_TE (.DIODE(\mprj_logic1[35] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[30]_B (.DIODE(\mprj_logic1[360] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[31]_B (.DIODE(\mprj_logic1[361] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[32]_B (.DIODE(\mprj_logic1[362] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[33]_B (.DIODE(\mprj_logic1[363] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[34]_B (.DIODE(\mprj_logic1[364] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[35]_B (.DIODE(\mprj_logic1[365] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[36]_B (.DIODE(\mprj_logic1[366] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[37]_B (.DIODE(\mprj_logic1[367] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[38]_B (.DIODE(\mprj_logic1[368] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[39]_B (.DIODE(\mprj_logic1[369] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[26]_TE (.DIODE(\mprj_logic1[36] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[40]_B (.DIODE(\mprj_logic1[370] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[41]_B (.DIODE(\mprj_logic1[371] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[42]_B (.DIODE(\mprj_logic1[372] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[43]_B (.DIODE(\mprj_logic1[373] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[44]_B (.DIODE(\mprj_logic1[374] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[45]_B (.DIODE(\mprj_logic1[375] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[46]_B (.DIODE(\mprj_logic1[376] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[47]_B (.DIODE(\mprj_logic1[377] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[48]_B (.DIODE(\mprj_logic1[378] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[49]_B (.DIODE(\mprj_logic1[379] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[27]_TE (.DIODE(\mprj_logic1[37] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[50]_B (.DIODE(\mprj_logic1[380] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[51]_B (.DIODE(\mprj_logic1[381] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[52]_B (.DIODE(\mprj_logic1[382] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[53]_B (.DIODE(\mprj_logic1[383] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[54]_B (.DIODE(\mprj_logic1[384] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[55]_B (.DIODE(\mprj_logic1[385] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[56]_B (.DIODE(\mprj_logic1[386] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[57]_B (.DIODE(\mprj_logic1[387] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[58]_B (.DIODE(\mprj_logic1[388] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[59]_B (.DIODE(\mprj_logic1[389] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[28]_TE (.DIODE(\mprj_logic1[38] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[60]_B (.DIODE(\mprj_logic1[390] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[61]_B (.DIODE(\mprj_logic1[391] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[62]_B (.DIODE(\mprj_logic1[392] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[63]_B (.DIODE(\mprj_logic1[393] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[64]_B (.DIODE(\mprj_logic1[394] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[65]_B (.DIODE(\mprj_logic1[395] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[66]_B (.DIODE(\mprj_logic1[396] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[67]_B (.DIODE(\mprj_logic1[397] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[68]_B (.DIODE(\mprj_logic1[398] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[69]_B (.DIODE(\mprj_logic1[399] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[29]_TE (.DIODE(\mprj_logic1[39] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_cyc_buf_TE (.DIODE(\mprj_logic1[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[70]_B (.DIODE(\mprj_logic1[400] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[71]_B (.DIODE(\mprj_logic1[401] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[72]_B (.DIODE(\mprj_logic1[402] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[73]_B (.DIODE(\mprj_logic1[403] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[74]_B (.DIODE(\mprj_logic1[404] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[75]_B (.DIODE(\mprj_logic1[405] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[76]_B (.DIODE(\mprj_logic1[406] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[77]_B (.DIODE(\mprj_logic1[407] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[78]_B (.DIODE(\mprj_logic1[408] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[79]_B (.DIODE(\mprj_logic1[409] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[30]_TE (.DIODE(\mprj_logic1[40] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[80]_B (.DIODE(\mprj_logic1[410] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[81]_B (.DIODE(\mprj_logic1[411] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[82]_B (.DIODE(\mprj_logic1[412] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[83]_B (.DIODE(\mprj_logic1[413] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[84]_B (.DIODE(\mprj_logic1[414] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[85]_B (.DIODE(\mprj_logic1[415] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[86]_B (.DIODE(\mprj_logic1[416] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[87]_B (.DIODE(\mprj_logic1[417] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[88]_B (.DIODE(\mprj_logic1[418] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[89]_B (.DIODE(\mprj_logic1[419] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_adr_buf[31]_TE (.DIODE(\mprj_logic1[41] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[90]_B (.DIODE(\mprj_logic1[420] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[91]_B (.DIODE(\mprj_logic1[421] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[92]_B (.DIODE(\mprj_logic1[422] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[93]_B (.DIODE(\mprj_logic1[423] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[94]_B (.DIODE(\mprj_logic1[424] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[95]_B (.DIODE(\mprj_logic1[425] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[96]_B (.DIODE(\mprj_logic1[426] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[97]_B (.DIODE(\mprj_logic1[427] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[98]_B (.DIODE(\mprj_logic1[428] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[99]_B (.DIODE(\mprj_logic1[429] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[0]_TE (.DIODE(\mprj_logic1[42] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[100]_B (.DIODE(\mprj_logic1[430] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[101]_B (.DIODE(\mprj_logic1[431] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[102]_B (.DIODE(\mprj_logic1[432] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[103]_B (.DIODE(\mprj_logic1[433] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[104]_B (.DIODE(\mprj_logic1[434] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[105]_B (.DIODE(\mprj_logic1[435] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[106]_B (.DIODE(\mprj_logic1[436] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[107]_B (.DIODE(\mprj_logic1[437] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[108]_B (.DIODE(\mprj_logic1[438] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[109]_B (.DIODE(\mprj_logic1[439] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[1]_TE (.DIODE(\mprj_logic1[43] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[110]_B (.DIODE(\mprj_logic1[440] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[111]_B (.DIODE(\mprj_logic1[441] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[112]_B (.DIODE(\mprj_logic1[442] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[113]_B (.DIODE(\mprj_logic1[443] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[114]_B (.DIODE(\mprj_logic1[444] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[115]_B (.DIODE(\mprj_logic1[445] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[116]_B (.DIODE(\mprj_logic1[446] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[117]_B (.DIODE(\mprj_logic1[447] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[118]_B (.DIODE(\mprj_logic1[448] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[119]_B (.DIODE(\mprj_logic1[449] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[2]_TE (.DIODE(\mprj_logic1[44] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[120]_B (.DIODE(\mprj_logic1[450] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[121]_B (.DIODE(\mprj_logic1[451] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[122]_B (.DIODE(\mprj_logic1[452] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[123]_B (.DIODE(\mprj_logic1[453] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[124]_B (.DIODE(\mprj_logic1[454] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[125]_B (.DIODE(\mprj_logic1[455] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[126]_B (.DIODE(\mprj_logic1[456] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_user_to_mprj_in_gates[127]_B (.DIODE(\mprj_logic1[457] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_pwrgood_A (.DIODE(\mprj_logic1[458] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[3]_TE (.DIODE(\mprj_logic1[45] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[4]_TE (.DIODE(\mprj_logic1[46] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[5]_TE (.DIODE(\mprj_logic1[47] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[6]_TE (.DIODE(\mprj_logic1[48] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[7]_TE (.DIODE(\mprj_logic1[49] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_stb_buf_TE (.DIODE(\mprj_logic1[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[8]_TE (.DIODE(\mprj_logic1[50] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[9]_TE (.DIODE(\mprj_logic1[51] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[10]_TE (.DIODE(\mprj_logic1[52] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[11]_TE (.DIODE(\mprj_logic1[53] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[12]_TE (.DIODE(\mprj_logic1[54] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[13]_TE (.DIODE(\mprj_logic1[55] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[14]_TE (.DIODE(\mprj_logic1[56] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[15]_TE (.DIODE(\mprj_logic1[57] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[16]_TE (.DIODE(\mprj_logic1[58] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[17]_TE (.DIODE(\mprj_logic1[59] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_we_buf_TE (.DIODE(\mprj_logic1[5] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[18]_TE (.DIODE(\mprj_logic1[60] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[19]_TE (.DIODE(\mprj_logic1[61] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[20]_TE (.DIODE(\mprj_logic1[62] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[21]_TE (.DIODE(\mprj_logic1[63] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[22]_TE (.DIODE(\mprj_logic1[64] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[23]_TE (.DIODE(\mprj_logic1[65] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[24]_TE (.DIODE(\mprj_logic1[66] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[25]_TE (.DIODE(\mprj_logic1[67] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[26]_TE (.DIODE(\mprj_logic1[68] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[27]_TE (.DIODE(\mprj_logic1[69] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[0]_TE (.DIODE(\mprj_logic1[6] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[28]_TE (.DIODE(\mprj_logic1[70] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[29]_TE (.DIODE(\mprj_logic1[71] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[30]_TE (.DIODE(\mprj_logic1[72] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_dat_buf[31]_TE (.DIODE(\mprj_logic1[73] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[0]_TE (.DIODE(\mprj_logic1[74] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[1]_TE (.DIODE(\mprj_logic1[75] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[2]_TE (.DIODE(\mprj_logic1[76] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[3]_TE (.DIODE(\mprj_logic1[77] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[4]_TE (.DIODE(\mprj_logic1[78] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[5]_TE (.DIODE(\mprj_logic1[79] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[1]_TE (.DIODE(\mprj_logic1[7] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[6]_TE (.DIODE(\mprj_logic1[80] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[7]_TE (.DIODE(\mprj_logic1[81] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[8]_TE (.DIODE(\mprj_logic1[82] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[9]_TE (.DIODE(\mprj_logic1[83] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[10]_TE (.DIODE(\mprj_logic1[84] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[11]_TE (.DIODE(\mprj_logic1[85] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[12]_TE (.DIODE(\mprj_logic1[86] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[13]_TE (.DIODE(\mprj_logic1[87] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[14]_TE (.DIODE(\mprj_logic1[88] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[15]_TE (.DIODE(\mprj_logic1[89] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[2]_TE (.DIODE(\mprj_logic1[8] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[16]_TE (.DIODE(\mprj_logic1[90] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[17]_TE (.DIODE(\mprj_logic1[91] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[18]_TE (.DIODE(\mprj_logic1[92] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[19]_TE (.DIODE(\mprj_logic1[93] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[20]_TE (.DIODE(\mprj_logic1[94] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[21]_TE (.DIODE(\mprj_logic1[95] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[22]_TE (.DIODE(\mprj_logic1[96] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[23]_TE (.DIODE(\mprj_logic1[97] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[24]_TE (.DIODE(\mprj_logic1[98] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_la_buf[25]_TE (.DIODE(\mprj_logic1[99] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 \ANTENNA_mprj_sel_buf[3]_TE (.DIODE(\mprj_logic1[9] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
sky130_fd_sc_hd__diode_2 ANTENNA_mprj_vdd_pwrgood_A (.DIODE(mprj_vdd_logic1),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
endmodule