blob: c98a13cca4ad2f830e7720f757d27b4e9b8b21f7 [file] [log] [blame]
PINS 794 ;
- clock + NET clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- core_clk + NET core_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 571550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- core_rstn + NET core_rstn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1127230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_clk + NET flash_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 4830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_clk_ieb + NET flash_clk_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_clk_oeb + NET flash_clk_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_csb + NET flash_csb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_csb_ieb + NET flash_csb_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 8510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_csb_oeb + NET flash_csb_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io0_di + NET flash_io0_di + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io0_do + NET flash_io0_do + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io0_ieb + NET flash_io0_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io0_oeb + NET flash_io0_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io1_di + NET flash_io1_di + DIRECTION INPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io1_do + NET flash_io1_do + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io1_ieb + NET flash_io1_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 17710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io1_oeb + NET flash_io1_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- gpio_in_pad + NET gpio_in_pad + DIRECTION INPUT + USE SIGNAL + PLACED ( 19550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_inenb_pad + NET gpio_inenb_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 21390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_mode0_pad + NET gpio_mode0_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- gpio_mode1_pad + NET gpio_mode1_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_out_pad + NET gpio_out_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- gpio_outenb_pad + NET gpio_outenb_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- jtag_out + NET jtag_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 337620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- jtag_outenb + NET jtag_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 334900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[0] + NET la_input[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1125390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[100] + NET la_input[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1129070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[101] + NET la_input[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1123550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[102] + NET la_input[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1130910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[103] + NET la_input[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1121710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[104] + NET la_input[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[105] + NET la_input[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1119870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[106] + NET la_input[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1134590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[107] + NET la_input[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1118030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[108] + NET la_input[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1136430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[109] + NET la_input[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1116190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[10] + NET la_input[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1138270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[110] + NET la_input[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[111] + NET la_input[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1140110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[112] + NET la_input[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1112510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[113] + NET la_input[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1141950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[114] + NET la_input[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1110670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[115] + NET la_input[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1143790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[116] + NET la_input[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[117] + NET la_input[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1145630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[118] + NET la_input[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1106990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[119] + NET la_input[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1147470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[11] + NET la_input[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1105150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[120] + NET la_input[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1149310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[121] + NET la_input[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1103310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[122] + NET la_input[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1151150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[123] + NET la_input[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1101470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[124] + NET la_input[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1152990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[125] + NET la_input[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1099630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[126] + NET la_input[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1154830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[127] + NET la_input[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1097790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[12] + NET la_input[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1156670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[13] + NET la_input[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1095950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[14] + NET la_input[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1158510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[15] + NET la_input[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1094110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[16] + NET la_input[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1160350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[17] + NET la_input[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1092270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[18] + NET la_input[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[19] + NET la_input[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[1] + NET la_input[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1164030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[20] + NET la_input[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1088590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[21] + NET la_input[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1165870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[22] + NET la_input[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1086750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[23] + NET la_input[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1167710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[24] + NET la_input[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1084910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[25] + NET la_input[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1169550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[26] + NET la_input[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1083070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[27] + NET la_input[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1171390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[28] + NET la_input[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1081230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[29] + NET la_input[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1173230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[2] + NET la_input[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[30] + NET la_input[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[31] + NET la_input[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1077550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[32] + NET la_input[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1176910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[33] + NET la_input[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1075710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[34] + NET la_input[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1178750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[35] + NET la_input[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[36] + NET la_input[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[37] + NET la_input[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1072030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[38] + NET la_input[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1182430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[39] + NET la_input[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1070190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[3] + NET la_input[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1184270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[40] + NET la_input[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1068350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[41] + NET la_input[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[42] + NET la_input[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1066510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[43] + NET la_input[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1187950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[44] + NET la_input[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1064670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[45] + NET la_input[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1189790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[46] + NET la_input[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1062830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[47] + NET la_input[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1191630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[48] + NET la_input[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1060990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[49] + NET la_input[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1193470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[4] + NET la_input[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1059150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[50] + NET la_input[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1195310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[51] + NET la_input[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1057310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[52] + NET la_input[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1197150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[53] + NET la_input[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[54] + NET la_input[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[55] + NET la_input[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1053630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[56] + NET la_input[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[57] + NET la_input[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1051790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[58] + NET la_input[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1202670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[59] + NET la_input[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1049950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[5] + NET la_input[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[60] + NET la_input[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1048110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[61] + NET la_input[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1206350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[62] + NET la_input[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1046270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[63] + NET la_input[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1208190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[64] + NET la_input[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1044430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[65] + NET la_input[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1210030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[66] + NET la_input[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1042590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[67] + NET la_input[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1211870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[68] + NET la_input[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1040750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[69] + NET la_input[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1213710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[6] + NET la_input[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1038910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[70] + NET la_input[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1215550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[71] + NET la_input[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[72] + NET la_input[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1217390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[73] + NET la_input[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1035230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[74] + NET la_input[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1219230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[75] + NET la_input[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1033390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[76] + NET la_input[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[77] + NET la_input[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1031550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[78] + NET la_input[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1222910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[79] + NET la_input[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1029710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[7] + NET la_input[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1224750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[80] + NET la_input[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1027870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[81] + NET la_input[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1226590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[82] + NET la_input[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1026030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[83] + NET la_input[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1228430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[84] + NET la_input[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1024190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[85] + NET la_input[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1230270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[86] + NET la_input[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1022350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[87] + NET la_input[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1232110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[88] + NET la_input[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1020510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[89] + NET la_input[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[8] + NET la_input[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1018670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[90] + NET la_input[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1235790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[91] + NET la_input[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1016830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[92] + NET la_input[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1237630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[93] + NET la_input[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1014990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[94] + NET la_input[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[95] + NET la_input[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1013150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[96] + NET la_input[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1241310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[97] + NET la_input[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1011310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[98] + NET la_input[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1243150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[99] + NET la_input[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1009470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[9] + NET la_input[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1244990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[0] + NET la_oen[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1007630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[100] + NET la_oen[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1246830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[101] + NET la_oen[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1005790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[102] + NET la_oen[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1248670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[103] + NET la_oen[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1003950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[104] + NET la_oen[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1250510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[105] + NET la_oen[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1002110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[106] + NET la_oen[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1252350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[107] + NET la_oen[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1000270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[108] + NET la_oen[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1254190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[109] + NET la_oen[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 998430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[10] + NET la_oen[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1256030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[110] + NET la_oen[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[111] + NET la_oen[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1257870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[112] + NET la_oen[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 994750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[113] + NET la_oen[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1259710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[114] + NET la_oen[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 992910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[115] + NET la_oen[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1261550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[116] + NET la_oen[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 991070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[117] + NET la_oen[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[118] + NET la_oen[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 989230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[119] + NET la_oen[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1265230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[11] + NET la_oen[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 987390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[120] + NET la_oen[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1267070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[121] + NET la_oen[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 985550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[122] + NET la_oen[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1268910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[123] + NET la_oen[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 983710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[124] + NET la_oen[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1270750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[125] + NET la_oen[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 981870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[126] + NET la_oen[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1272590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[127] + NET la_oen[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 980030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[12] + NET la_oen[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1274430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[13] + NET la_oen[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[14] + NET la_oen[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1276270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[15] + NET la_oen[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 976350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[16] + NET la_oen[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1278110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[17] + NET la_oen[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 974510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[18] + NET la_oen[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1279950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[19] + NET la_oen[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 972670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[1] + NET la_oen[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[20] + NET la_oen[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 970830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[21] + NET la_oen[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1283630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[22] + NET la_oen[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 968990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[23] + NET la_oen[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1285470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[24] + NET la_oen[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 967150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[25] + NET la_oen[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1287310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[26] + NET la_oen[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 965310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[27] + NET la_oen[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1289150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[28] + NET la_oen[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 963470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[29] + NET la_oen[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1290990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[2] + NET la_oen[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 961630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[30] + NET la_oen[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1292830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[31] + NET la_oen[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 959790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[32] + NET la_oen[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1294670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[33] + NET la_oen[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 957950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[34] + NET la_oen[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1296510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[35] + NET la_oen[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 956110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[36] + NET la_oen[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1298350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[37] + NET la_oen[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 954270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[38] + NET la_oen[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1300190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[39] + NET la_oen[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 952430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[3] + NET la_oen[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1302030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[40] + NET la_oen[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 950590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[41] + NET la_oen[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1303870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[42] + NET la_oen[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 948750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[43] + NET la_oen[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1305710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[44] + NET la_oen[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 946910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[45] + NET la_oen[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1307550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[46] + NET la_oen[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 945070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[47] + NET la_oen[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1309390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[48] + NET la_oen[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 943230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[49] + NET la_oen[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1311230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[4] + NET la_oen[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 941390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[50] + NET la_oen[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1313070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[51] + NET la_oen[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 939550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[52] + NET la_oen[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1314910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[53] + NET la_oen[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 937710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[54] + NET la_oen[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1316750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[55] + NET la_oen[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 935870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[56] + NET la_oen[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1318590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[57] + NET la_oen[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 934030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[58] + NET la_oen[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1320430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[59] + NET la_oen[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 932190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[5] + NET la_oen[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1322270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[60] + NET la_oen[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 930350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[61] + NET la_oen[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1324110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[62] + NET la_oen[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 928510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[63] + NET la_oen[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1325950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[64] + NET la_oen[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 926670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[65] + NET la_oen[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1327790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[66] + NET la_oen[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[67] + NET la_oen[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1329630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[68] + NET la_oen[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 922990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[69] + NET la_oen[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1331470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[6] + NET la_oen[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 921150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[70] + NET la_oen[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1333310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[71] + NET la_oen[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 919310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[72] + NET la_oen[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[73] + NET la_oen[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 917470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[74] + NET la_oen[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1336990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[75] + NET la_oen[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 915630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[76] + NET la_oen[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[77] + NET la_oen[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 913790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[78] + NET la_oen[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1340670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[79] + NET la_oen[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 911950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[7] + NET la_oen[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1342510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[80] + NET la_oen[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 910110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[81] + NET la_oen[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1344350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[82] + NET la_oen[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 908270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[83] + NET la_oen[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1346190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[84] + NET la_oen[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[85] + NET la_oen[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1348030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[86] + NET la_oen[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 904590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[87] + NET la_oen[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1349870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[88] + NET la_oen[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 902750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[89] + NET la_oen[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1351710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[8] + NET la_oen[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 900910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[90] + NET la_oen[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1353550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[91] + NET la_oen[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 899070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[92] + NET la_oen[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1355390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[93] + NET la_oen[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 897230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[94] + NET la_oen[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1357230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[95] + NET la_oen[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 895390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[96] + NET la_oen[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1359070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[97] + NET la_oen[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 893550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[98] + NET la_oen[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1360910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[99] + NET la_oen[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 891710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[9] + NET la_oen[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1362750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[0] + NET la_output[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 889870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[100] + NET la_output[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1364590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[101] + NET la_output[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[102] + NET la_output[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1366430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[103] + NET la_output[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 886190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[104] + NET la_output[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1368270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[105] + NET la_output[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 884350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[106] + NET la_output[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[107] + NET la_output[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 882510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[108] + NET la_output[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1371950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[109] + NET la_output[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 880670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[10] + NET la_output[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1373790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[110] + NET la_output[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 878830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[111] + NET la_output[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1375630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[112] + NET la_output[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 876990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[113] + NET la_output[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1377470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[114] + NET la_output[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 875150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[115] + NET la_output[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1379310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[116] + NET la_output[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 873310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[117] + NET la_output[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1381150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[118] + NET la_output[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[119] + NET la_output[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1382990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[11] + NET la_output[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 869630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[120] + NET la_output[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1384830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[121] + NET la_output[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 867790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[122] + NET la_output[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1386670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[123] + NET la_output[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 865950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[124] + NET la_output[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[125] + NET la_output[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 864110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[126] + NET la_output[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1390350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[127] + NET la_output[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 862270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[12] + NET la_output[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1392190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[13] + NET la_output[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 860430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[14] + NET la_output[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1394030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[15] + NET la_output[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 858590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[16] + NET la_output[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1395870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[17] + NET la_output[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 856750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[18] + NET la_output[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1397710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[19] + NET la_output[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 854910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[1] + NET la_output[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1399550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[20] + NET la_output[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[21] + NET la_output[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1401390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[22] + NET la_output[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 851230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[23] + NET la_output[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1403230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[24] + NET la_output[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 849390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[25] + NET la_output[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1405070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[26] + NET la_output[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 847550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[27] + NET la_output[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[28] + NET la_output[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 845710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[29] + NET la_output[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1408750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[2] + NET la_output[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 843870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[30] + NET la_output[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1410590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[31] + NET la_output[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 842030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[32] + NET la_output[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1412430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[33] + NET la_output[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 840190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[34] + NET la_output[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1414270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[35] + NET la_output[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 838350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[36] + NET la_output[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1416110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[37] + NET la_output[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 836510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[38] + NET la_output[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1417950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[39] + NET la_output[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 834670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[3] + NET la_output[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[40] + NET la_output[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 832830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[41] + NET la_output[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1421630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[42] + NET la_output[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 830990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[43] + NET la_output[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[44] + NET la_output[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 829150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[45] + NET la_output[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1425310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[46] + NET la_output[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 827310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[47] + NET la_output[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1427150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[48] + NET la_output[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 825470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[49] + NET la_output[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1428990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[4] + NET la_output[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 823630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[50] + NET la_output[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1430830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[51] + NET la_output[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 821790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[52] + NET la_output[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1432670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[53] + NET la_output[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 819950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[54] + NET la_output[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1434510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[55] + NET la_output[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 818110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[56] + NET la_output[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1436350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[57] + NET la_output[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 816270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[58] + NET la_output[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1438190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[59] + NET la_output[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 814430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[5] + NET la_output[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1440030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[60] + NET la_output[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 812590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[61] + NET la_output[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[62] + NET la_output[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 810750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[63] + NET la_output[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1443710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[64] + NET la_output[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 808910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[65] + NET la_output[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1445550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[66] + NET la_output[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 807070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[67] + NET la_output[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1447390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[68] + NET la_output[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 805230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[69] + NET la_output[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1449230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[6] + NET la_output[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 803390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[70] + NET la_output[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1451070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[71] + NET la_output[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 801550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[72] + NET la_output[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1452910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[73] + NET la_output[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[74] + NET la_output[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1454750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[75] + NET la_output[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 797870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[76] + NET la_output[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1456590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[77] + NET la_output[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 796030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[78] + NET la_output[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1458430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[79] + NET la_output[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 794190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[7] + NET la_output[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1460270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[80] + NET la_output[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 792350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[81] + NET la_output[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1462110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[82] + NET la_output[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 790510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[83] + NET la_output[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1463950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[84] + NET la_output[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 788670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[85] + NET la_output[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1465790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[86] + NET la_output[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 786830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[87] + NET la_output[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1467630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[88] + NET la_output[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 784990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[89] + NET la_output[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1469470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[8] + NET la_output[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 783150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[90] + NET la_output[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1471310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[91] + NET la_output[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[92] + NET la_output[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1473150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[93] + NET la_output[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 779470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[94] + NET la_output[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1474990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[95] + NET la_output[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 777630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[96] + NET la_output[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1476830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[97] + NET la_output[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 775790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[98] + NET la_output[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1478670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[99] + NET la_output[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 773950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[9] + NET la_output[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1480510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[0] + NET mask_rev[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2146590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[10] + NET mask_rev[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2144750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[11] + NET mask_rev[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[12] + NET mask_rev[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2142910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[13] + NET mask_rev[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[14] + NET mask_rev[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2141070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[15] + NET mask_rev[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2139230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[16] + NET mask_rev[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[17] + NET mask_rev[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2137390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[18] + NET mask_rev[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[19] + NET mask_rev[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2135550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[1] + NET mask_rev[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2133710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[20] + NET mask_rev[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[21] + NET mask_rev[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[22] + NET mask_rev[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[23] + NET mask_rev[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2130030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[24] + NET mask_rev[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2128190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[25] + NET mask_rev[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[26] + NET mask_rev[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2126350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[27] + NET mask_rev[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[28] + NET mask_rev[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2124510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[29] + NET mask_rev[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2122670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[2] + NET mask_rev[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[30] + NET mask_rev[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2120830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[31] + NET mask_rev[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[3] + NET mask_rev[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2118990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[4] + NET mask_rev[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2117150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[5] + NET mask_rev[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[6] + NET mask_rev[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2115310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[7] + NET mask_rev[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[8] + NET mask_rev[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[9] + NET mask_rev[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2111630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[0] + NET mgmt_addr[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[1] + NET mgmt_addr[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[2] + NET mgmt_addr[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[3] + NET mgmt_addr[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[4] + NET mgmt_addr[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 30590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[5] + NET mgmt_addr[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[6] + NET mgmt_addr[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[7] + NET mgmt_addr[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 34270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[0] + NET mgmt_addr_ro[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr_ro[1] + NET mgmt_addr_ro[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 36110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[2] + NET mgmt_addr_ro[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[3] + NET mgmt_addr_ro[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr_ro[4] + NET mgmt_addr_ro[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 39790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[5] + NET mgmt_addr_ro[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr_ro[6] + NET mgmt_addr_ro[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 41630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[7] + NET mgmt_addr_ro[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_ena[0] + NET mgmt_ena[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 43860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_ena[1] + NET mgmt_ena[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 45310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_ena_ro + NET mgmt_ena_ro + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_in_data[0] + NET mgmt_in_data[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 340340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_in_data[10] + NET mgmt_in_data[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[11] + NET mgmt_in_data[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 5750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[12] + NET mgmt_in_data[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[13] + NET mgmt_in_data[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 9430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[14] + NET mgmt_in_data[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 11270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[15] + NET mgmt_in_data[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 13110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[16] + NET mgmt_in_data[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 14950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[17] + NET mgmt_in_data[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 16790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[18] + NET mgmt_in_data[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 18630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[19] + NET mgmt_in_data[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[1] + NET mgmt_in_data[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 563380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_in_data[20] + NET mgmt_in_data[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 22310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[21] + NET mgmt_in_data[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 24150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[22] + NET mgmt_in_data[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 25990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[23] + NET mgmt_in_data[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 27830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[24] + NET mgmt_in_data[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 29670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[25] + NET mgmt_in_data[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 31510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[26] + NET mgmt_in_data[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[27] + NET mgmt_in_data[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 35190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[28] + NET mgmt_in_data[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[29] + NET mgmt_in_data[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[2] + NET mgmt_in_data[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 40710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[30] + NET mgmt_in_data[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 42550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[31] + NET mgmt_in_data[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[32] + NET mgmt_in_data[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 46230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[33] + NET mgmt_in_data[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 48070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[34] + NET mgmt_in_data[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 49910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[35] + NET mgmt_in_data[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 51750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[36] + NET mgmt_in_data[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 53590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[37] + NET mgmt_in_data[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 55430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[3] + NET mgmt_in_data[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 57270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[4] + NET mgmt_in_data[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 59110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[5] + NET mgmt_in_data[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[6] + NET mgmt_in_data[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[7] + NET mgmt_in_data[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[8] + NET mgmt_in_data[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 66470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[9] + NET mgmt_in_data[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[0] + NET mgmt_out_data[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[10] + NET mgmt_out_data[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2146590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[11] + NET mgmt_out_data[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2144750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[12] + NET mgmt_out_data[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 843540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[13] + NET mgmt_out_data[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2142910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[14] + NET mgmt_out_data[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2141070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[15] + NET mgmt_out_data[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1675550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[16] + NET mgmt_out_data[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1482350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[17] + NET mgmt_out_data[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 772110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[18] + NET mgmt_out_data[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 696670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[19] + NET mgmt_out_data[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 444590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[1] + NET mgmt_out_data[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[20] + NET mgmt_out_data[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[21] + NET mgmt_out_data[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[22] + NET mgmt_out_data[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 4830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[23] + NET mgmt_out_data[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 843540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[24] + NET mgmt_out_data[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[25] + NET mgmt_out_data[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 8510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[26] + NET mgmt_out_data[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 840820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[27] + NET mgmt_out_data[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[28] + NET mgmt_out_data[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 838100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[29] + NET mgmt_out_data[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[2] + NET mgmt_out_data[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 786420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[30] + NET mgmt_out_data[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[31] + NET mgmt_out_data[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 835380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[32] + NET mgmt_out_data[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[33] + NET mgmt_out_data[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 832660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[34] + NET mgmt_out_data[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 17710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[35] + NET mgmt_out_data[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[36] + NET mgmt_out_data[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 829940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[37] + NET mgmt_out_data[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 745620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[3] + NET mgmt_out_data[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 840820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[4] + NET mgmt_out_data[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2139230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[5] + NET mgmt_out_data[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 838100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[6] + NET mgmt_out_data[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[7] + NET mgmt_out_data[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2135550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[8] + NET mgmt_out_data[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 835380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[9] + NET mgmt_out_data[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2133710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[0] + NET mgmt_rdata[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[10] + NET mgmt_rdata[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 48990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[11] + NET mgmt_rdata[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 49300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[12] + NET mgmt_rdata[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 50830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[13] + NET mgmt_rdata[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 52020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[14] + NET mgmt_rdata[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 52670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[15] + NET mgmt_rdata[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[16] + NET mgmt_rdata[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[17] + NET mgmt_rdata[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[18] + NET mgmt_rdata[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 57460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[19] + NET mgmt_rdata[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 58190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[1] + NET mgmt_rdata[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[20] + NET mgmt_rdata[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 60180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[21] + NET mgmt_rdata[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 61870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[22] + NET mgmt_rdata[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 62900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[23] + NET mgmt_rdata[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 63710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[24] + NET mgmt_rdata[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[25] + NET mgmt_rdata[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 65620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[26] + NET mgmt_rdata[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 67390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[27] + NET mgmt_rdata[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 68340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[28] + NET mgmt_rdata[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 69230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[29] + NET mgmt_rdata[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 71060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[2] + NET mgmt_rdata[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[30] + NET mgmt_rdata[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 72910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[31] + NET mgmt_rdata[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 73780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[32] + NET mgmt_rdata[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 74750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[33] + NET mgmt_rdata[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 76500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[34] + NET mgmt_rdata[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 76590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[35] + NET mgmt_rdata[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 78430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[36] + NET mgmt_rdata[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 79220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[37] + NET mgmt_rdata[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[38] + NET mgmt_rdata[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 81940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[39] + NET mgmt_rdata[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 82110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[3] + NET mgmt_rdata[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 83950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[40] + NET mgmt_rdata[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 84660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[41] + NET mgmt_rdata[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 85790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[42] + NET mgmt_rdata[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 87380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[43] + NET mgmt_rdata[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 87630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[44] + NET mgmt_rdata[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 89470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[45] + NET mgmt_rdata[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 90100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[46] + NET mgmt_rdata[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[47] + NET mgmt_rdata[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 92820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[48] + NET mgmt_rdata[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 93150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[49] + NET mgmt_rdata[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 94990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[4] + NET mgmt_rdata[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 95540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[50] + NET mgmt_rdata[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 96830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[51] + NET mgmt_rdata[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 98260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[52] + NET mgmt_rdata[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 98670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[53] + NET mgmt_rdata[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 100510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[54] + NET mgmt_rdata[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 100980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[55] + NET mgmt_rdata[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 102350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[56] + NET mgmt_rdata[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 103700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[57] + NET mgmt_rdata[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 104190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[58] + NET mgmt_rdata[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[59] + NET mgmt_rdata[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 106420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[5] + NET mgmt_rdata[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 107870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[60] + NET mgmt_rdata[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 109140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[61] + NET mgmt_rdata[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[62] + NET mgmt_rdata[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 111550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[63] + NET mgmt_rdata[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 111860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[6] + NET mgmt_rdata[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 113390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[7] + NET mgmt_rdata[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 114580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[8] + NET mgmt_rdata[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[9] + NET mgmt_rdata[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[0] + NET mgmt_rdata_ro[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 117300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[10] + NET mgmt_rdata_ro[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 118910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[11] + NET mgmt_rdata_ro[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 120020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[12] + NET mgmt_rdata_ro[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 120750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[13] + NET mgmt_rdata_ro[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 122590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[14] + NET mgmt_rdata_ro[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 122740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[15] + NET mgmt_rdata_ro[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 124430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[16] + NET mgmt_rdata_ro[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 125460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[17] + NET mgmt_rdata_ro[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 126270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[18] + NET mgmt_rdata_ro[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 128110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[19] + NET mgmt_rdata_ro[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 128180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[1] + NET mgmt_rdata_ro[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 129950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[20] + NET mgmt_rdata_ro[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 130900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[21] + NET mgmt_rdata_ro[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 131790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[22] + NET mgmt_rdata_ro[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 133620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[23] + NET mgmt_rdata_ro[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[24] + NET mgmt_rdata_ro[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 135470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[25] + NET mgmt_rdata_ro[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 136340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[26] + NET mgmt_rdata_ro[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 137310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[27] + NET mgmt_rdata_ro[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 139060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[28] + NET mgmt_rdata_ro[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[29] + NET mgmt_rdata_ro[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[2] + NET mgmt_rdata_ro[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 141780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[30] + NET mgmt_rdata_ro[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 142830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[31] + NET mgmt_rdata_ro[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 144500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[3] + NET mgmt_rdata_ro[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 144670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[4] + NET mgmt_rdata_ro[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 146510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[5] + NET mgmt_rdata_ro[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 147220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[6] + NET mgmt_rdata_ro[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 148350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[7] + NET mgmt_rdata_ro[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 149940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[8] + NET mgmt_rdata_ro[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 150190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[9] + NET mgmt_rdata_ro[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 152030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[0] + NET mgmt_wdata[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 152660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[10] + NET mgmt_wdata[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 153870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[11] + NET mgmt_wdata[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 155380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[12] + NET mgmt_wdata[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 155710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[13] + NET mgmt_wdata[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 157550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[14] + NET mgmt_wdata[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 158100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[15] + NET mgmt_wdata[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 159390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[16] + NET mgmt_wdata[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 160820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[17] + NET mgmt_wdata[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 161230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[18] + NET mgmt_wdata[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[19] + NET mgmt_wdata[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 163540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[1] + NET mgmt_wdata[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 164910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[20] + NET mgmt_wdata[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 166260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[21] + NET mgmt_wdata[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 166750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[22] + NET mgmt_wdata[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 168590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[23] + NET mgmt_wdata[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 168980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[24] + NET mgmt_wdata[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 170430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[25] + NET mgmt_wdata[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 171700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[26] + NET mgmt_wdata[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 172270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[27] + NET mgmt_wdata[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 174110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[28] + NET mgmt_wdata[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 174420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[29] + NET mgmt_wdata[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[2] + NET mgmt_wdata[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 177140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[30] + NET mgmt_wdata[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[31] + NET mgmt_wdata[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 179630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[3] + NET mgmt_wdata[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 179860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[4] + NET mgmt_wdata[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[5] + NET mgmt_wdata[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 182580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[6] + NET mgmt_wdata[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[7] + NET mgmt_wdata[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 185150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[8] + NET mgmt_wdata[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 185300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[9] + NET mgmt_wdata[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen[0] + NET mgmt_wen[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 188020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen[1] + NET mgmt_wen[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 188830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[0] + NET mgmt_wen_mask[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 190670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[1] + NET mgmt_wen_mask[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 190740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[2] + NET mgmt_wen_mask[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 192510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[3] + NET mgmt_wen_mask[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 193460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[4] + NET mgmt_wen_mask[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 194350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[5] + NET mgmt_wen_mask[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 196180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[6] + NET mgmt_wen_mask[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 196190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[7] + NET mgmt_wen_mask[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj2_vcc_pwrgood + NET mprj2_vcc_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 770270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj2_vdd_pwrgood + NET mprj2_vdd_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 1484190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_ack_i + NET mprj_ack_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 21390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[0] + NET mprj_adr_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 768430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[10] + NET mprj_adr_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1486030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[11] + NET mprj_adr_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 766590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[12] + NET mprj_adr_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1487870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[13] + NET mprj_adr_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 764750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[14] + NET mprj_adr_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1489710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[15] + NET mprj_adr_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 762910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[16] + NET mprj_adr_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1491550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[17] + NET mprj_adr_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 761070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[18] + NET mprj_adr_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1493390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[19] + NET mprj_adr_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 759230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[1] + NET mprj_adr_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[20] + NET mprj_adr_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 757390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[21] + NET mprj_adr_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1497070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[22] + NET mprj_adr_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 755550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[23] + NET mprj_adr_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1498910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[24] + NET mprj_adr_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 753710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[25] + NET mprj_adr_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1500750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[26] + NET mprj_adr_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 751870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[27] + NET mprj_adr_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1502590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[28] + NET mprj_adr_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 750030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[29] + NET mprj_adr_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1504430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[2] + NET mprj_adr_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 748190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[30] + NET mprj_adr_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1506270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[31] + NET mprj_adr_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[3] + NET mprj_adr_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1508110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[4] + NET mprj_adr_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 744510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[5] + NET mprj_adr_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1509950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[6] + NET mprj_adr_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 742670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[7] + NET mprj_adr_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1511790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[8] + NET mprj_adr_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 740830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[9] + NET mprj_adr_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_cyc_o + NET mprj_cyc_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[0] + NET mprj_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 827220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[10] + NET mprj_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 23230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[11] + NET mprj_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 25070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[12] + NET mprj_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 824500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[13] + NET mprj_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 26910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[14] + NET mprj_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 821780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[15] + NET mprj_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 28750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[16] + NET mprj_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 30590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[17] + NET mprj_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 819060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[18] + NET mprj_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[19] + NET mprj_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 816340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[1] + NET mprj_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 34270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[20] + NET mprj_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 36110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[21] + NET mprj_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 813620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[22] + NET mprj_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[23] + NET mprj_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 810900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[24] + NET mprj_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 39790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[25] + NET mprj_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 41630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[26] + NET mprj_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 808180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[27] + NET mprj_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 43470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[28] + NET mprj_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 805460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[29] + NET mprj_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 45310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[2] + NET mprj_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 47150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[30] + NET mprj_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 802740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[31] + NET mprj_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 48990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[3] + NET mprj_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 800020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[4] + NET mprj_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 50830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[5] + NET mprj_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 52670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[6] + NET mprj_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 797300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[7] + NET mprj_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[8] + NET mprj_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 794580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[9] + NET mprj_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[0] + NET mprj_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1515470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[10] + NET mprj_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 737150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[11] + NET mprj_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1517310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[12] + NET mprj_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 735310 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[13] + NET mprj_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1519150 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[14] + NET mprj_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 733470 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[15] + NET mprj_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1520990 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[16] + NET mprj_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 731630 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[17] + NET mprj_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1522830 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[18] + NET mprj_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 729790 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[19] + NET mprj_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1524670 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[1] + NET mprj_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 727950 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[20] + NET mprj_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1526510 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[21] + NET mprj_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 726110 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[22] + NET mprj_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1528350 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[23] + NET mprj_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 724270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[24] + NET mprj_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1530190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[25] + NET mprj_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 722430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[26] + NET mprj_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1532030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[27] + NET mprj_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 720590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[28] + NET mprj_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1533870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[29] + NET mprj_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 718750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[2] + NET mprj_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1535710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[30] + NET mprj_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 716910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[31] + NET mprj_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1537550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[3] + NET mprj_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 715070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[4] + NET mprj_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1539390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[5] + NET mprj_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 713230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[6] + NET mprj_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1541230 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[7] + NET mprj_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 711390 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[8] + NET mprj_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1543070 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[9] + NET mprj_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 709550 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_io_loader_clock + NET mprj_io_loader_clock + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 449140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_io_loader_data + NET mprj_io_loader_data + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 332180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_io_loader_resetn + NET mprj_io_loader_resetn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 481780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_sel_o[0] + NET mprj_sel_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1544910 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[1] + NET mprj_sel_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 707710 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[2] + NET mprj_sel_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1546750 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[3] + NET mprj_sel_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 705870 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_stb_o + NET mprj_stb_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1548590 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_vcc_pwrgood + NET mprj_vcc_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 704030 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_vdd_pwrgood + NET mprj_vdd_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 1550430 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o + NET mprj_we_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 702190 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- porb + NET porb + DIRECTION INPUT + USE SIGNAL + PLACED ( 2148000 109140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- pwr_ctrl_out[0] + NET pwr_ctrl_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 73830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[1] + NET pwr_ctrl_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 75670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[2] + NET pwr_ctrl_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 77510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[3] + NET pwr_ctrl_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 79350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- resetb + NET resetb + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 198900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- sdo_out + NET sdo_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 560660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- sdo_outenb + NET sdo_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2148000 566100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- user_clk + NET user_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1552270 848000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
END PINS