blob: 0c15276c007a7dba24d88da90a8418ba31448538 [file] [log] [blame]
Startpoint: _63413_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _64718_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _63413_/CLK (sky130_fd_sc_hd__dfstp_4)
0.27 0.27 v _63413_/Q (sky130_fd_sc_hd__dfstp_4)
0.25 0.52 v _58002_/X (sky130_fd_sc_hd__or4_4)
0.06 0.58 ^ _58003_/Y (sky130_fd_sc_hd__inv_2)
0.08 0.65 ^ _58004_/X (sky130_fd_sc_hd__buf_2)
0.08 0.73 ^ _58005_/X (sky130_fd_sc_hd__buf_2)
0.07 0.80 ^ _58006_/X (sky130_fd_sc_hd__buf_2)
0.08 0.88 ^ _58007_/X (sky130_fd_sc_hd__buf_2)
0.09 0.97 ^ _58008_/X (sky130_fd_sc_hd__buf_2)
0.12 1.09 ^ _58009_/X (sky130_fd_sc_hd__buf_2)
0.29 1.38 ^ psn_inst_psn_buff_0/X (sky130_fd_sc_hd__buf_8)
0.00 1.38 ^ _64718_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
1.38 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ _64718_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.27 0.27 library removal time
0.27 data required time
---------------------------------------------------------
0.27 data required time
-1.38 data arrival time
---------------------------------------------------------
1.11 slack (MET)
Startpoint: _64761_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _61607_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _64761_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.20 0.20 ^ _64761_/Q (sky130_fd_sc_hd__dfxtp_4)
0.00 0.20 ^ _61607_/D (sky130_fd_sc_hd__dfxtp_4)
0.20 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ _61607_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.02 -0.02 library hold time
-0.02 data required time
---------------------------------------------------------
-0.02 data required time
-0.20 data arrival time
---------------------------------------------------------
0.21 slack (MET)
Startpoint: resetb (input port clocked by clock)
Endpoint: _63413_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
10.00 10.00 ^ input external delay
0.18 10.18 ^ resetb (in)
0.00 10.18 ^ _63413_/SET_B (sky130_fd_sc_hd__dfstp_4)
10.18 data arrival time
50.00 50.00 clock clock (rise edge)
0.00 50.00 clock network delay (ideal)
0.00 50.00 clock reconvergence pessimism
50.00 ^ _63413_/CLK (sky130_fd_sc_hd__dfstp_4)
0.22 50.22 library recovery time
50.22 data required time
---------------------------------------------------------
50.22 data required time
-10.18 data arrival time
---------------------------------------------------------
40.04 slack (MET)
Startpoint: clock (clock source 'clock')
Endpoint: mgmt_out_data[14] (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
25.00 25.00 clock clock (fall edge)
3.29 28.29 clock network delay
28.29 v mgmt_out_data[14] (out)
28.29 data arrival time
50.00 50.00 clock clock (rise edge)
0.00 50.00 clock network delay (ideal)
0.00 50.00 clock reconvergence pessimism
-10.00 40.00 output external delay
40.00 data required time
---------------------------------------------------------
40.00 data required time
-28.29 data arrival time
---------------------------------------------------------
11.71 slack (MET)