blob: 569efa0054e6af9a12b1e1a2259867de124c8d91 [file] [log] [blame]
Startpoint: _63413_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _63463_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _63413_/CLK (sky130_fd_sc_hd__dfstp_4)
0.27 0.27 v _63413_/Q (sky130_fd_sc_hd__dfstp_4)
0.32 0.59 v _58002_/X (sky130_fd_sc_hd__or4_4)
0.28 0.87 ^ _58003_/Y (sky130_fd_sc_hd__inv_2)
0.12 0.99 ^ _58004_/X (sky130_fd_sc_hd__buf_2)
0.27 1.26 ^ _58005_/X (sky130_fd_sc_hd__buf_2)
0.17 1.43 ^ _58006_/X (sky130_fd_sc_hd__buf_2)
0.28 1.71 ^ _58007_/X (sky130_fd_sc_hd__buf_2)
0.18 1.89 ^ _58008_/X (sky130_fd_sc_hd__buf_2)
2.13 4.02 ^ _58009_/X (sky130_fd_sc_hd__buf_2)
0.00 4.02 ^ _63463_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
4.02 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ _63463_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.88 0.88 library removal time
0.88 data required time
---------------------------------------------------------
0.88 data required time
-4.02 data arrival time
---------------------------------------------------------
3.14 slack (MET)
Startpoint: _64761_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _61607_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _64761_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.20 0.20 ^ _64761_/Q (sky130_fd_sc_hd__dfxtp_4)
0.00 0.20 ^ _61607_/D (sky130_fd_sc_hd__dfxtp_4)
0.20 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ _61607_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.02 -0.02 library hold time
-0.02 data required time
---------------------------------------------------------
-0.02 data required time
-0.20 data arrival time
---------------------------------------------------------
0.22 slack (MET)
Startpoint: _63413_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _63463_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _63413_/CLK (sky130_fd_sc_hd__dfstp_4)
0.88 0.88 v _63413_/Q (sky130_fd_sc_hd__dfstp_4)
1.08 1.96 v _58002_/X (sky130_fd_sc_hd__or4_4)
0.63 2.59 ^ _58003_/Y (sky130_fd_sc_hd__inv_2)
0.46 3.04 ^ _58004_/X (sky130_fd_sc_hd__buf_2)
0.66 3.70 ^ _58005_/X (sky130_fd_sc_hd__buf_2)
0.52 4.22 ^ _58006_/X (sky130_fd_sc_hd__buf_2)
0.68 4.90 ^ _58007_/X (sky130_fd_sc_hd__buf_2)
0.60 5.50 ^ _58008_/X (sky130_fd_sc_hd__buf_2)
4.26 9.76 ^ _58009_/X (sky130_fd_sc_hd__buf_2)
0.00 9.76 ^ _63463_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
9.76 data arrival time
50.00 50.00 clock clock (rise edge)
0.00 50.00 clock network delay (ideal)
0.00 50.00 clock reconvergence pessimism
50.00 ^ _63463_/CLK (sky130_fd_sc_hd__dfrtp_4)
-2.39 47.61 library recovery time
47.61 data required time
---------------------------------------------------------
47.61 data required time
-9.76 data arrival time
---------------------------------------------------------
37.85 slack (MET)
Startpoint: clock (clock source 'clock')
Endpoint: mgmt_out_data[14] (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
25.00 25.00 clock clock (fall edge)
15.08 40.08 clock network delay
40.08 v mgmt_out_data[14] (out)
40.08 data arrival time
50.00 50.00 clock clock (rise edge)
0.00 50.00 clock network delay (ideal)
0.00 50.00 clock reconvergence pessimism
-10.00 40.00 output external delay
40.00 data required time
---------------------------------------------------------
40.00 data required time
-40.08 data arrival time
---------------------------------------------------------
-0.08 slack (VIOLATED)