blob: cdc9e85b49d93ac31a2f0638d367e0828d105f8d [file] [log] [blame]
OpenROAD 0.9.0 d03ebfc244
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Error: cannot open '/.openroad'.
Notice 0: Reading LEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 440 library cells
Notice 0: Finished LEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/floorplan/pdn.def
Notice 0: Design: mgmt_core
Notice 0: Created 1501 pins.
Notice 0: Created 52962 components and 289400 component-terminals.
Notice 0: Created 2 special nets and 0 connections.
Notice 0: Created 35057 nets and 111794 connections.
Notice 0: Finished DEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/floorplan/pdn.def
[INFO] DBU = 1000
[INFO] SiteSize = (460, 2720)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (2144060, 837760)
[INFO] NumInstances = 53509
[INFO] NumPlaceInstances = 34674
[INFO] NumFixedInstances = 18288
[INFO] NumDummyInstances = 547
[INFO] NumNets = 35057
[INFO] NumPins = 112588
[INFO] DieAreaLxLy = (0, 0)
[INFO] DieAreaUxUy = (2150000, 850000)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (2144060, 837760)
[INFO] CoreArea = 1768315955200
[INFO] NonPlaceInstsArea = 485298839200
[INFO] PlaceInstsArea = 399627024000
[INFO] Util(%) = 31.147442
[INFO] StdInstsArea = 399627024000
[INFO] MacroInstsArea = 0
[InitialPlace] Iter: 1 CG Error: 0.0196119 HPWL: 3093905850
[InitialPlace] Iter: 2 CG Error: 0.00551966 HPWL: 1179260469
[InitialPlace] Iter: 3 CG Error: 0.00140018 HPWL: 1160675059
[InitialPlace] Iter: 4 CG Error: 0.00163989 HPWL: 1124299579
[InitialPlace] Iter: 5 CG Error: 0.00156874 HPWL: 1093664187
[InitialPlace] Iter: 6 CG Error: 0.00101751 HPWL: 1057285219
[InitialPlace] Iter: 7 CG Error: 0.00108361 HPWL: 1032929921
[InitialPlace] Iter: 8 CG Error: 0.000918675 HPWL: 1015460935
[InitialPlace] Iter: 9 CG Error: 0.000722375 HPWL: 997149712
[InitialPlace] Iter: 10 CG Error: 0.000747646 HPWL: 983284430
[InitialPlace] Iter: 11 CG Error: 0.000593192 HPWL: 971141637
[InitialPlace] Iter: 12 CG Error: 0.000108035 HPWL: 958858629
[InitialPlace] Iter: 13 CG Error: 7.1262e-05 HPWL: 948034864
[InitialPlace] Iter: 14 CG Error: 4.2732e-05 HPWL: 942800825
[InitialPlace] Iter: 15 CG Error: 3.57588e-05 HPWL: 938403332
[InitialPlace] Iter: 16 CG Error: 2.93656e-05 HPWL: 936377150
[InitialPlace] Iter: 17 CG Error: 3.01742e-05 HPWL: 934681026
[InitialPlace] Iter: 18 CG Error: 2.58283e-05 HPWL: 934190311
[InitialPlace] Iter: 19 CG Error: 1.85968e-05 HPWL: 933525013
[InitialPlace] Iter: 20 CG Error: 2.08721e-05 HPWL: 933253544
[INFO] FillerInit: NumGCells = 42515
[INFO] FillerInit: NumGNets = 35057
[INFO] FillerInit: NumGPins = 112588
[INFO] TargetDensity = 0.380000
[INFO] AveragePlaceInstArea = 11525264
[INFO] IdealBinArea = 30329642
[INFO] IdealBinCnt = 58303
[INFO] TotalBinArea = 1768315955200
[INFO] BinCnt = (128, 128)
[INFO] BinSize = (16708, 6460)
[INFO] NumBins = 16384
[NesterovSolve] Iter: 1 overflow: 0.984815 HPWL: 743940765
[NesterovSolve] Iter: 10 overflow: 0.955464 HPWL: 994900135
[NesterovSolve] Iter: 20 overflow: 0.947954 HPWL: 1086658134
[NesterovSolve] Iter: 30 overflow: 0.945367 HPWL: 1098972677
[NesterovSolve] Iter: 40 overflow: 0.944491 HPWL: 1101276412
[NesterovSolve] Iter: 50 overflow: 0.944941 HPWL: 1110140672
[NesterovSolve] Iter: 60 overflow: 0.945802 HPWL: 1117869276
[NesterovSolve] Iter: 70 overflow: 0.948672 HPWL: 1121979559
[NesterovSolve] Iter: 80 overflow: 0.949658 HPWL: 1122802363
[NesterovSolve] Iter: 90 overflow: 0.950639 HPWL: 1119713882
[NesterovSolve] Iter: 100 overflow: 0.951022 HPWL: 1113782600
[NesterovSolve] Iter: 110 overflow: 0.95178 HPWL: 1106719467
[NesterovSolve] Iter: 120 overflow: 0.9529 HPWL: 1099274886
[NesterovSolve] Iter: 130 overflow: 0.954176 HPWL: 1091468884
[NesterovSolve] Iter: 140 overflow: 0.955159 HPWL: 1083724112
[NesterovSolve] Iter: 150 overflow: 0.955219 HPWL: 1077224577
[NesterovSolve] Iter: 160 overflow: 0.955209 HPWL: 1073958889
[NesterovSolve] Iter: 170 overflow: 0.955291 HPWL: 1077886408
[NesterovSolve] Iter: 180 overflow: 0.955096 HPWL: 1088677409
[NesterovSolve] Iter: 190 overflow: 0.954612 HPWL: 1105411880
[NesterovSolve] Iter: 200 overflow: 0.954093 HPWL: 1129464562
[NesterovSolve] Iter: 210 overflow: 0.953235 HPWL: 1163593156
[NesterovSolve] Iter: 220 overflow: 0.951738 HPWL: 1211129526
[NesterovSolve] Iter: 230 overflow: 0.94432 HPWL: 1272436150
[NesterovSolve] Iter: 240 overflow: 0.931124 HPWL: 1342325188
[NesterovSolve] Iter: 250 overflow: 0.918374 HPWL: 1425169333
[NesterovSolve] Iter: 260 overflow: 0.908483 HPWL: 1528905478
[NesterovSolve] Iter: 270 overflow: 0.880935 HPWL: 1651820118
[NesterovSolve] Iter: 280 overflow: 0.818031 HPWL: 1773607495
[NesterovSolve] Iter: 290 overflow: 0.764887 HPWL: 1855448852
[NesterovSolve] Iter: 300 overflow: 0.717548 HPWL: 1925028736
[NesterovSolve] Iter: 310 overflow: 0.688331 HPWL: 2033802907
[NesterovSolve] Iter: 320 overflow: 0.647385 HPWL: 2247713492
[NesterovSolve] Iter: 330 overflow: 0.603544 HPWL: 2439310653
[NesterovSolve] Iter: 340 overflow: 0.563702 HPWL: 2527167339
[NesterovSolve] Iter: 350 overflow: 0.515718 HPWL: 2434591894
[NesterovSolve] Iter: 360 overflow: 0.456776 HPWL: 2492267042
[NesterovSolve] Iter: 370 overflow: 0.411388 HPWL: 2504163746
[NesterovSolve] Iter: 380 overflow: 0.357008 HPWL: 2646601105
[NesterovSolve] Iter: 390 overflow: 0.319672 HPWL: 2711923398
[NesterovSolve] Iter: 400 overflow: 0.291387 HPWL: 2713312405
[NesterovSolve] Iter: 410 overflow: 0.256709 HPWL: 2736962820
[NesterovSolve] Iter: 420 overflow: 0.235308 HPWL: 2657692616
[NesterovSolve] Iter: 430 overflow: 0.203688 HPWL: 2656716449
[NesterovSolve] Iter: 440 overflow: 0.180063 HPWL: 2673842982
[NesterovSolve] Iter: 450 overflow: 0.158615 HPWL: 2684377589
[NesterovSolve] Iter: 460 overflow: 0.136364 HPWL: 2695871286
[NesterovSolve] Iter: 470 overflow: 0.117266 HPWL: 2705727774
[NesterovSolve] Iter: 480 overflow: 0.0986267 HPWL: 2715175481
[NesterovSolve] Finished with Overflow: 0.0986267
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 10.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 10.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]