blob: 1a898f98a7f5475917e8a2af56ffe37023942ae5 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN gpio_control_block ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 175000 95000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_1 unithd 5520 13600 N DO 96 BY 1 STEP 460 0
;
ROW ROW_2 unithd 5520 16320 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_3 unithd 5520 19040 N DO 96 BY 1 STEP 460 0
;
ROW ROW_4 unithd 5520 21760 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_5 unithd 5520 24480 N DO 96 BY 1 STEP 460 0
;
ROW ROW_6 unithd 5520 27200 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_7 unithd 5520 29920 N DO 96 BY 1 STEP 460 0
;
ROW ROW_8 unithd 5520 32640 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_9 unithd 5520 35360 N DO 96 BY 1 STEP 460 0
;
ROW ROW_10 unithd 5520 38080 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_11 unithd 5520 40800 N DO 96 BY 1 STEP 460 0
;
ROW ROW_12 unithd 5520 43520 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_13 unithd 5520 46240 N DO 96 BY 1 STEP 460 0
;
ROW ROW_14 unithd 5520 48960 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_15 unithd 5520 51680 N DO 96 BY 1 STEP 460 0
;
ROW ROW_16 unithd 5520 54400 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_17 unithd 5520 57120 N DO 96 BY 1 STEP 460 0
;
ROW ROW_18 unithd 5520 59840 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_19 unithd 5520 62560 N DO 96 BY 1 STEP 460 0
;
ROW ROW_20 unithd 5520 65280 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_21 unithd 5520 68000 N DO 96 BY 1 STEP 460 0
;
ROW ROW_22 unithd 5520 70720 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_23 unithd 5520 73440 N DO 96 BY 1 STEP 460 0
;
ROW ROW_24 unithd 5520 76160 FS DO 96 BY 1 STEP 460 0
;
ROW ROW_25 unithd 5520 78880 N DO 96 BY 1 STEP 460 0
;
TRACKS X 230 DO 380 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 279 STEP 340 LAYER li1 ;
TRACKS X 170 DO 515 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 279 STEP 340 LAYER met1 ;
TRACKS X 230 DO 380 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 207 STEP 460 LAYER met2 ;
TRACKS X 340 DO 257 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 140 STEP 680 LAYER met3 ;
TRACKS X 460 DO 190 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 103 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 51 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 28 STEP 3400 LAYER met5 ;
VIAS 6 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
- via_1600x480
+ VIARULE M1M2_PR
+ CUTSIZE 150 150
+ LAYERS met1 via met2
+ CUTSPACING 170 170
+ ENCLOSURE 245 165 55 165
+ ROWCOL 1 4
;
- via2_1600x480
+ VIARULE M2M3_PR
+ CUTSIZE 200 200
+ LAYERS met2 via2 met3
+ CUTSPACING 200 200
+ ENCLOSURE 40 140 100 65
+ ROWCOL 1 4
;
- via3_1600x480
+ VIARULE M3M4_PR
+ CUTSIZE 200 200
+ LAYERS met3 via3 met4
+ CUTSPACING 200 200
+ ENCLOSURE 100 60 100 140
+ ROWCOL 1 4
;
- via4_1600x1600
+ VIARULE M4M5_PR
+ CUTSIZE 800 800
+ LAYERS met4 via4 met5
+ CUTSPACING 800 800
+ ENCLOSURE 400 400 400 400
;
END VIAS
COMPONENTS 345 ;
- _039_ sky130_fd_sc_hd__or2_4 + PLACED ( 26680 62560 ) N ;
- _040_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 48960 ) FS ;
- _041_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 43520 ) FS ;
- _042_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 46240 ) N ;
- _043_ sky130_fd_sc_hd__buf_2 + PLACED ( 17940 35360 ) N ;
- _044_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 40800 ) N ;
- _045_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 38080 ) FS ;
- _046_ sky130_fd_sc_hd__buf_2 + PLACED ( 8280 43520 ) FS ;
- _047_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 35360 ) N ;
- _048_ sky130_fd_sc_hd__buf_2 + PLACED ( 28060 24480 ) N ;
- _049_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 40800 ) N ;
- _050_ sky130_fd_sc_hd__buf_2 + PLACED ( 21160 29920 ) N ;
- _051_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 46240 ) N ;
- _052_ sky130_fd_sc_hd__buf_2 + PLACED ( 8280 38080 ) FS ;
- _053_ sky130_fd_sc_hd__buf_2 + PLACED ( 20240 57120 ) N ;
- _054_ sky130_fd_sc_hd__buf_2 + PLACED ( 8280 48960 ) FS ;
- _055_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 32640 ) FS ;
- _056_ sky130_fd_sc_hd__buf_2 + PLACED ( 42320 13600 ) N ;
- _057_ sky130_fd_sc_hd__buf_2 + PLACED ( 35880 16320 ) FS ;
- _058_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 54400 ) FS ;
- _059_ sky130_fd_sc_hd__buf_2 + PLACED ( 7360 51680 ) N ;
- _060_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 35360 ) N ;
- _061_ sky130_fd_sc_hd__buf_2 + PLACED ( 42780 10880 ) FS ;
- _062_ sky130_fd_sc_hd__buf_2 + PLACED ( 42320 73440 ) N ;
- _063_ sky130_fd_sc_hd__buf_2 + PLACED ( 28060 21760 ) FS ;
- _064_ sky130_fd_sc_hd__buf_2 + PLACED ( 28060 65280 ) FS ;
- _065_ sky130_fd_sc_hd__buf_2 + PLACED ( 21160 62560 ) N ;
- _066_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 57120 ) N ;
- _067_ sky130_fd_sc_hd__buf_2 + PLACED ( 8280 54400 ) FS ;
- _068_ sky130_fd_sc_hd__buf_2 + PLACED ( 22540 24480 ) N ;
- _069_ sky130_fd_sc_hd__buf_2 + PLACED ( 42780 76160 ) FS ;
- _070_ sky130_fd_sc_hd__inv_2 + PLACED ( 20240 40800 ) N ;
- _071_ sky130_fd_sc_hd__a32o_4 + PLACED ( 21620 51680 ) N ;
- _072_ sky130_fd_sc_hd__inv_2 + PLACED ( 14720 29920 ) N ;
- _073_ sky130_fd_sc_hd__and3_4 + PLACED ( 25760 35360 ) N ;
- _074_ sky130_fd_sc_hd__or2_4 + PLACED ( 26680 29920 ) N ;
- _075_ sky130_fd_sc_hd__nand2_4 + PLACED ( 21620 35360 ) N ;
- _076_ sky130_fd_sc_hd__a32o_4 + PLACED ( 21620 40800 ) N ;
- _077_ sky130_fd_sc_hd__nand2_4 + PLACED ( 25760 57120 ) N ;
- _078_ sky130_fd_sc_hd__inv_2 + PLACED ( 28520 68000 ) N ;
- _079_ sky130_fd_sc_hd__and2_4 + PLACED ( 12880 51680 ) N ;
- _080_ sky130_fd_sc_hd__and2_4 + PLACED ( 41400 16320 ) FS ;
- _081_ sky130_fd_sc_hd__buf_2 + PLACED ( 36800 73440 ) N ;
- _082_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 33120 46240 ) N ;
- _083_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 43520 ) FS ;
- _084_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 48960 ) FS ;
- _085_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 54400 ) FS ;
- _086_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 38080 ) FS ;
- _087_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 35360 ) N ;
- _088_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 33120 51680 ) N ;
- _089_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 33120 40800 ) N ;
- _090_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 32640 ) FS ;
- _091_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 57120 ) N ;
- _092_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 27200 ) FS ;
- _093_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 29920 ) N ;
- _094_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 24480 ) N ;
- _095_ sky130_fd_sc_hd__dfrtp_4 + FIXED ( 34040 59840 ) FS ;
- _096_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 48960 ) FS ;
- _097_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 43520 ) FS ;
- _098_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 38080 ) FS ;
- _099_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 32640 ) FS ;
- _100_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 21760 ) FS ;
- _101_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 19040 ) N ;
- _102_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 27200 ) FS ;
- _103_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 62560 ) N ;
- _104_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 54400 ) FS ;
- _105_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 65280 ) FS ;
- _106_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 33580 68000 ) N ;
- _107_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 59840 ) FS ;
- gpio_in_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 36340 70720 ) FS ;
- gpio_logic_high sky130_fd_sc_hd__conb_1 + PLACED ( 40940 78880 ) N ;
- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 10880 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 13600 ) FN ;
- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 16320 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 19040 ) FN ;
- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 21760 ) S ;
- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 24480 ) FN ;
- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 27200 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 29920 ) FN ;
- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 32640 ) S ;
- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 35360 ) FN ;
- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 38080 ) S ;
- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 40800 ) FN ;
- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 43520 ) S ;
- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 46240 ) FN ;
- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 48960 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 51680 ) FN ;
- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 54400 ) S ;
- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 57120 ) FN ;
- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 59840 ) S ;
- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 62560 ) FN ;
- PHY_40 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 65280 ) FS ;
- PHY_41 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 65280 ) S ;
- PHY_42 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 68000 ) N ;
- PHY_43 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 68000 ) FN ;
- PHY_44 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 70720 ) FS ;
- PHY_45 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 70720 ) S ;
- PHY_46 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 73440 ) N ;
- PHY_47 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 73440 ) FN ;
- PHY_48 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 76160 ) FS ;
- PHY_49 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 76160 ) S ;
- PHY_50 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 78880 ) N ;
- PHY_51 sky130_fd_sc_hd__decap_3 + FIXED ( 48300 78880 ) FN ;
- PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
- PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
- PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 13600 ) N ;
- PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 13600 ) N ;
- PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 16320 ) FS ;
- PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 19040 ) N ;
- PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 19040 ) N ;
- PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 21760 ) FS ;
- PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 24480 ) N ;
- PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 24480 ) N ;
- PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 27200 ) FS ;
- PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 29920 ) N ;
- PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 29920 ) N ;
- PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 32640 ) FS ;
- PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 35360 ) N ;
- PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 35360 ) N ;
- PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 38080 ) FS ;
- PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 40800 ) N ;
- PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 40800 ) N ;
- PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 43520 ) FS ;
- PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 46240 ) N ;
- PHY_73 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 46240 ) N ;
- PHY_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 48960 ) FS ;
- PHY_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 51680 ) N ;
- PHY_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 51680 ) N ;
- PHY_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 54400 ) FS ;
- PHY_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 57120 ) N ;
- PHY_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 57120 ) N ;
- PHY_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 59840 ) FS ;
- PHY_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
- PHY_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 62560 ) N ;
- PHY_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 65280 ) FS ;
- PHY_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 68000 ) N ;
- PHY_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 68000 ) N ;
- PHY_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 70720 ) FS ;
- PHY_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 73440 ) N ;
- PHY_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 73440 ) N ;
- PHY_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 76160 ) FS ;
- PHY_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 78880 ) N ;
- PHY_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 78880 ) N ;
- clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + PLACED ( 23920 46240 ) N ;
- clkbuf_1_0_0_serial_clock sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23920 21760 ) FS ;
- clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_1 + PLACED ( 25300 65280 ) FS ;
- ANTENNA_0 sky130_fd_sc_hd__diode_2 + FIXED ( 44620 59840 ) FS ;
- FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
- FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
- FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
- FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
- FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
- FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
- FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
- FILLER_0_75 sky130_fd_sc_hd__decap_6 + PLACED ( 40020 10880 ) FS ;
- FILLER_0_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 10880 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
- FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
- FILLER_1_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 13600 ) N ;
- FILLER_1_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 13600 ) N ;
- FILLER_1_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 13600 ) N ;
- FILLER_1_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 13600 ) N ;
- FILLER_1_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 13600 ) N ;
- FILLER_1_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 13600 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
- FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
- FILLER_2_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 16320 ) FS ;
- FILLER_2_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 16320 ) FS ;
- FILLER_2_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 16320 ) FS ;
- FILLER_2_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 16320 ) FS ;
- FILLER_2_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 16320 ) FS ;
- FILLER_2_70 sky130_fd_sc_hd__decap_8 + PLACED ( 37720 16320 ) FS ;
- FILLER_2_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 16320 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
- FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
- FILLER_3_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 19040 ) N ;
- FILLER_3_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 19040 ) N ;
- FILLER_3_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 19040 ) N ;
- FILLER_3_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 19040 ) N ;
- FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
- FILLER_3_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 19040 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
- FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
- FILLER_4_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 21760 ) FS ;
- FILLER_4_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 21760 ) FS ;
- FILLER_4_43 sky130_fd_sc_hd__decap_6 + PLACED ( 25300 21760 ) FS ;
- FILLER_4_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 21760 ) FS ;
- FILLER_4_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 21760 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
- FILLER_5_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 24480 ) N ;
- FILLER_5_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 24480 ) N ;
- FILLER_5_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 24480 ) N ;
- FILLER_5_36 sky130_fd_sc_hd__fill_1 + PLACED ( 22080 24480 ) N ;
- FILLER_5_41 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 24480 ) N ;
- FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
- FILLER_5_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 24480 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
- FILLER_6_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 27200 ) FS ;
- FILLER_6_27 sky130_fd_sc_hd__decap_3 + PLACED ( 17940 27200 ) FS ;
- FILLER_6_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 27200 ) FS ;
- FILLER_6_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 27200 ) FS ;
- FILLER_7_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 29920 ) N ;
- FILLER_7_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 29920 ) N ;
- FILLER_7_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 29920 ) N ;
- FILLER_7_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 29920 ) N ;
- FILLER_7_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 29920 ) N ;
- FILLER_7_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 29920 ) N ;
- FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
- FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 32640 ) FS ;
- FILLER_8_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 32640 ) FS ;
- FILLER_8_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 32640 ) FS ;
- FILLER_8_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 32640 ) FS ;
- FILLER_8_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 32640 ) FS ;
- FILLER_9_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 35360 ) N ;
- FILLER_9_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 35360 ) N ;
- FILLER_9_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 35360 ) N ;
- FILLER_9_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 35360 ) N ;
- FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
- FILLER_9_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 35360 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 38080 ) FS ;
- FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
- FILLER_10_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 38080 ) FS ;
- FILLER_10_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 38080 ) FS ;
- FILLER_10_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 38080 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
- FILLER_11_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 40800 ) N ;
- FILLER_11_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 40800 ) N ;
- FILLER_11_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 40800 ) N ;
- FILLER_11_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 40800 ) N ;
- FILLER_12_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 43520 ) FS ;
- FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
- FILLER_12_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 43520 ) FS ;
- FILLER_12_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 43520 ) FS ;
- FILLER_12_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 43520 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 46240 ) N ;
- FILLER_13_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 46240 ) N ;
- FILLER_13_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 46240 ) N ;
- FILLER_13_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 46240 ) N ;
- FILLER_13_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 46240 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 48960 ) FS ;
- FILLER_14_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 48960 ) FS ;
- FILLER_14_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 48960 ) FS ;
- FILLER_14_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 48960 ) FS ;
- FILLER_14_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 48960 ) FS ;
- FILLER_15_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 51680 ) N ;
- FILLER_15_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 51680 ) N ;
- FILLER_15_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 51680 ) N ;
- FILLER_15_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 51680 ) N ;
- FILLER_15_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 51680 ) N ;
- FILLER_15_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 51680 ) N ;
- FILLER_16_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 54400 ) FS ;
- FILLER_16_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 54400 ) FS ;
- FILLER_16_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 54400 ) FS ;
- FILLER_16_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 54400 ) FS ;
- FILLER_16_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 54400 ) FS ;
- FILLER_17_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 57120 ) N ;
- FILLER_17_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 57120 ) N ;
- FILLER_17_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 57120 ) N ;
- FILLER_17_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 57120 ) N ;
- FILLER_17_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 57120 ) N ;
- FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 59840 ) FS ;
- FILLER_18_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 59840 ) FS ;
- FILLER_18_27 sky130_fd_sc_hd__decap_3 + PLACED ( 17940 59840 ) FS ;
- FILLER_18_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 59840 ) FS ;
- FILLER_18_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 59840 ) FS ;
- FILLER_19_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 62560 ) N ;
- FILLER_19_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 62560 ) N ;
- FILLER_19_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 62560 ) N ;
- FILLER_19_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 62560 ) N ;
- FILLER_19_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 62560 ) N ;
- FILLER_19_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 62560 ) N ;
- FILLER_19_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 62560 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 65280 ) FS ;
- FILLER_20_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 65280 ) FS ;
- FILLER_20_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 65280 ) FS ;
- FILLER_20_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 65280 ) FS ;
- FILLER_20_46 sky130_fd_sc_hd__decap_3 + PLACED ( 26680 65280 ) FS ;
- FILLER_20_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 65280 ) FS ;
- FILLER_20_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 65280 ) FS ;
- FILLER_21_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 68000 ) N ;
- FILLER_21_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 68000 ) N ;
- FILLER_21_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 68000 ) N ;
- FILLER_21_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 68000 ) N ;
- FILLER_21_44 sky130_fd_sc_hd__decap_6 + PLACED ( 25760 68000 ) N ;
- FILLER_21_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 68000 ) N ;
- FILLER_21_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 68000 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 70720 ) FS ;
- FILLER_22_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 70720 ) FS ;
- FILLER_22_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 70720 ) FS ;
- FILLER_22_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 70720 ) FS ;
- FILLER_22_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 70720 ) FS ;
- FILLER_22_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 70720 ) FS ;
- FILLER_22_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 70720 ) FS ;
- FILLER_22_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 70720 ) FS ;
- FILLER_22_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 70720 ) FS ;
- FILLER_23_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 73440 ) N ;
- FILLER_23_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 73440 ) N ;
- FILLER_23_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 73440 ) N ;
- FILLER_23_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 73440 ) N ;
- FILLER_23_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 73440 ) N ;
- FILLER_23_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 73440 ) N ;
- FILLER_23_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 73440 ) N ;
- FILLER_23_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 73440 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 76160 ) FS ;
- FILLER_24_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 76160 ) FS ;
- FILLER_24_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 76160 ) FS ;
- FILLER_24_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 76160 ) FS ;
- FILLER_24_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 76160 ) FS ;
- FILLER_24_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 76160 ) FS ;
- FILLER_24_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 76160 ) FS ;
- FILLER_24_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 76160 ) FS ;
- FILLER_24_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 76160 ) FS ;
- FILLER_24_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 76160 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 78880 ) N ;
- FILLER_25_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 78880 ) N ;
- FILLER_25_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 78880 ) N ;
- FILLER_25_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 78880 ) N ;
- FILLER_25_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 78880 ) N ;
- FILLER_25_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 78880 ) N ;
- FILLER_25_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 78880 ) N ;
- FILLER_25_75 sky130_fd_sc_hd__fill_2 + PLACED ( 40020 78880 ) N ;
- FILLER_25_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 78880 ) N ;
- FILLER_25_92 sky130_fd_sc_hd__fill_1 + PLACED ( 47840 78880 ) N ;
END COMPONENTS
PINS 26 ;
- mgmt_gpio_in + NET mgmt_gpio_in + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 1700 ) N ;
- mgmt_gpio_oeb + NET mgmt_gpio_oeb + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 5100 ) N ;
- mgmt_gpio_out + NET mgmt_gpio_out + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 9180 ) N ;
- pad_gpio_ana_en + NET pad_gpio_ana_en + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 13260 ) N ;
- pad_gpio_ana_pol + NET pad_gpio_ana_pol + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 17340 ) N ;
- pad_gpio_ana_sel + NET pad_gpio_ana_sel + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 21420 ) N ;
- pad_gpio_dm[0] + NET pad_gpio_dm[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 25500 ) N ;
- pad_gpio_dm[1] + NET pad_gpio_dm[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 28900 ) N ;
- pad_gpio_dm[2] + NET pad_gpio_dm[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 32980 ) N ;
- pad_gpio_holdover + NET pad_gpio_holdover + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 37060 ) N ;
- pad_gpio_ib_mode_sel + NET pad_gpio_ib_mode_sel + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 41140 ) N ;
- pad_gpio_in + NET pad_gpio_in + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 45220 ) N ;
- pad_gpio_inenb + NET pad_gpio_inenb + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 49300 ) N ;
- pad_gpio_out + NET pad_gpio_out + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 52700 ) N ;
- pad_gpio_outenb + NET pad_gpio_outenb + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 56780 ) N ;
- pad_gpio_slow_sel + NET pad_gpio_slow_sel + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 60860 ) N ;
- pad_gpio_vtrip_sel + NET pad_gpio_vtrip_sel + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 64940 ) N ;
- resetn + NET resetn + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 69020 ) N ;
- serial_clock + NET serial_clock + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 73100 ) N ;
- serial_data_in + NET serial_data_in + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 76500 ) N ;
- serial_data_out + NET serial_data_out + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 80580 ) N ;
- user_gpio_in + NET user_gpio_in + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 84660 ) N ;
- user_gpio_oeb + NET user_gpio_oeb + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 88740 ) N ;
- user_gpio_out + NET user_gpio_out + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -60000 -300 ) ( 60000 300 )
+ PLACED ( 115000 92820 ) N ;
- VPWR + NET VPWR + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -22080 -800 ) ( 22080 800 )
+ FIXED ( 27600 22847 ) N + SPECIAL ;
- VGND + NET VGND + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -22080 -800 ) ( 22080 800 )
+ FIXED ( 27600 35053 ) N + SPECIAL ;
END PINS
SPECIALNETS 2 ;
- VPWR ( PIN VPWR )
+ ROUTED met4 0 + SHAPE STRIPE ( 42487 71673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 27700 71673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12913 71673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 42487 47260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 27700 47260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12913 47260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 42487 22847 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 27700 22847 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12913 22847 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 42487 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 42487 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 42487 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 42487 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 27700 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 27700 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 27700 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12913 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12913 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12913 10880 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 71673 ) ( 49680 71673 )
NEW met5 1600 + SHAPE STRIPE ( 5520 47260 ) ( 49680 47260 )
NEW met5 1600 + SHAPE STRIPE ( 5520 22847 ) ( 49680 22847 )
NEW met4 1600 + SHAPE STRIPE ( 42487 10640 ) ( 42487 81840 )
NEW met4 1600 + SHAPE STRIPE ( 27700 10640 ) ( 27700 81840 )
NEW met4 1600 + SHAPE STRIPE ( 12913 10640 ) ( 12913 81840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 49680 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 49680 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 49680 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 49680 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 49680 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 49680 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 49680 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 49680 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 49680 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 49680 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 49680 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 49680 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 49680 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 49680 10880 )
+ USE POWER ;
- VGND ( PIN VGND )
+ ROUTED met4 0 + SHAPE STRIPE ( 35093 59466 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 20306 59466 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 35093 35053 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 20306 35053 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 35093 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 35093 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 35093 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 35093 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 20306 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 20306 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 20306 13600 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 59466 ) ( 49680 59466 )
NEW met5 1600 + SHAPE STRIPE ( 5520 35053 ) ( 49680 35053 )
NEW met4 1600 + SHAPE STRIPE ( 35093 10640 ) ( 35093 81840 )
NEW met4 1600 + SHAPE STRIPE ( 20306 10640 ) ( 20306 81840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 49680 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 49680 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 49680 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 49680 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 49680 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 49680 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 49680 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 49680 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 49680 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 49680 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 49680 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 49680 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 49680 13600 )
+ USE GROUND ;
END SPECIALNETS
NETS 82 ;
- mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _080_ X )
+ ROUTED met1 ( 43010 16830 ) ( 125350 16830 )
NEW met2 ( 125350 1020 ) ( 125350 16830 )
NEW met3 ( 120749 1020 ) ( 125350 1020 )
+ USE SIGNAL ;
- mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( _073_ A ) ( _071_ A2 )
+ ROUTED met1 ( 25530 37570 ) ( 124890 37570 )
NEW met1 ( 25070 50150 ) ( 25530 50150 )
NEW met2 ( 25530 36550 ) ( 25530 48450 )
NEW met2 ( 124890 340 ) ( 124890 36550 )
NEW met3 ( 120749 340 ) ( 124890 340 )
+ USE SIGNAL ;
- mgmt_gpio_out ( PIN mgmt_gpio_out ) ( _074_ A )
+ ROUTED met1 ( 28750 13090 ) ( 124430 13090 )
NEW met1 ( 27830 31110 ) ( 28750 31110 )
NEW met2 ( 28750 13090 ) ( 28750 31110 )
NEW met2 ( 124430 7140 ) ( 124430 13090 )
NEW met3 ( 120749 7140 ) ( 124430 7140 )
+ USE SIGNAL ;
- pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( _092_ Q )
+ ROUTED met1 ( 44390 12750 ) ( 125810 12750 )
NEW met1 ( 44390 28390 ) ( 44391 28390 )
NEW met2 ( 44390 13770 ) ( 44390 28390 )
NEW met2 ( 125810 7140 ) ( 125810 13770 )
NEW met3 ( 120749 7820 ) ( 125810 7820 )
+ USE SIGNAL ;
- pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( _094_ Q )
+ ROUTED met1 ( 79350 20570 ) ( 124430 20570 )
NEW met1 ( 43930 25330 ) ( 79350 25330 )
NEW met2 ( 79350 20570 ) ( 79350 25330 )
NEW met2 ( 124430 13940 ) ( 124430 20570 )
NEW met3 ( 120749 14620 ) ( 124430 14620 )
+ USE SIGNAL ;
- pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( _093_ Q )
+ ROUTED met1 ( 43930 30770 ) ( 117530 30770 )
NEW met2 ( 117530 20740 ) ( 117530 30770 )
NEW met3 ( 113849 20740 ) ( 117530 20740 )
+ USE SIGNAL ;
- pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( _089_ Q ) ( _075_ A )
+ ROUTED met1 ( 44850 26690 ) ( 125350 26690 )
NEW met1 ( 24610 36890 ) ( 44850 36890 )
NEW met1 ( 43930 41650 ) ( 44390 41650 )
NEW met2 ( 44390 36550 ) ( 44390 41650 )
NEW met2 ( 44850 26690 ) ( 44850 36550 )
NEW met2 ( 125350 20740 ) ( 125350 26690 )
NEW met3 ( 120749 22780 ) ( 125350 22780 )
+ USE SIGNAL ;
- pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( _090_ Q ) ( _073_ C )
+ ROUTED met1 ( 38870 33830 ) ( 124430 33830 )
NEW met1 ( 27370 34850 ) ( 38870 34850 )
NEW met2 ( 38870 33830 ) ( 38870 34850 )
NEW met2 ( 124430 28220 ) ( 124430 33830 )
NEW met3 ( 120749 28220 ) ( 124430 28220 )
+ USE SIGNAL ;
- pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( _091_ Q ) ( _072_ A )
+ ROUTED met1 ( 14950 31450 ) ( 45310 31450 )
NEW met1 ( 45310 34170 ) ( 125350 34170 )
NEW met1 ( 43930 57970 ) ( 45310 57970 )
NEW met2 ( 45310 31110 ) ( 45310 57970 )
NEW met2 ( 125350 28220 ) ( 125350 34170 )
NEW met3 ( 120749 30260 ) ( 125350 30260 )
+ USE SIGNAL ;
- pad_gpio_holdover ( PIN pad_gpio_holdover ) ( _083_ Q )
+ ROUTED met1 ( 44390 44710 ) ( 125350 44710 )
NEW met2 ( 125350 35020 ) ( 125350 44710 )
NEW met3 ( 120749 35700 ) ( 125350 35700 )
+ USE SIGNAL ;
- pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( _087_ Q )
+ ROUTED met1 ( 43930 36210 ) ( 124430 36210 )
NEW met2 ( 124430 35020 ) ( 124430 36210 )
NEW met3 ( 120749 35020 ) ( 124430 35020 )
+ USE SIGNAL ;
- pad_gpio_in ( PIN pad_gpio_in ) ( _080_ B ) ( _077_ B )
+ ROUTED met1 ( 42090 18190 ) ( 45770 18190 )
NEW met1 ( 44850 45390 ) ( 124430 45390 )
NEW met1 ( 25990 58650 ) ( 44850 58650 )
NEW met2 ( 44850 45390 ) ( 44850 58310 )
NEW met2 ( 45770 17850 ) ( 45770 45390 )
NEW met2 ( 124430 41820 ) ( 124430 45390 )
NEW met3 ( 120749 42500 ) ( 124430 42500 )
+ USE SIGNAL ;
- pad_gpio_inenb ( PIN pad_gpio_inenb ) ( _086_ Q )
+ ROUTED met1 ( 44390 39270 ) ( 117530 39270 )
NEW met2 ( 117530 39270 ) ( 117530 48620 )
NEW met3 ( 113849 48620 ) ( 117530 48620 )
+ USE SIGNAL ;
- pad_gpio_out ( PIN pad_gpio_out ) ( _076_ X )
+ ROUTED met1 ( 21850 44030 ) ( 124890 44030 )
NEW met2 ( 124890 41650 ) ( 124890 48620 )
NEW met3 ( 120749 50660 ) ( 124890 50660 )
+ USE SIGNAL ;
- pad_gpio_outenb ( PIN pad_gpio_outenb ) ( _071_ X )
+ ROUTED met1 ( 21850 53210 ) ( 126730 53210 )
NEW met2 ( 126730 52530 ) ( 126730 55420 )
NEW met3 ( 120749 56100 ) ( 126730 56100 )
+ USE SIGNAL ;
- pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( _084_ Q )
+ ROUTED met1 ( 44390 50150 ) ( 125350 50150 )
NEW met2 ( 125350 50150 ) ( 125350 55420 )
NEW met3 ( 120749 55420 ) ( 125350 55420 )
+ USE SIGNAL ;
- pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( _085_ Q )
+ ROUTED met1 ( 44390 55590 ) ( 124430 55590 )
NEW met2 ( 124430 55590 ) ( 124430 62220 )
NEW met3 ( 120749 62220 ) ( 124430 62220 )
+ USE SIGNAL ;
- resetn ( PIN resetn ) ( _078_ A ) ( _039_ B )
+ ROUTED met1 ( 26910 63410 ) ( 28750 63410 )
NEW met1 ( 28750 69530 ) ( 124430 69530 )
NEW met2 ( 28750 63410 ) ( 28750 69190 )
NEW met2 ( 124430 69020 ) ( 124430 69190 )
NEW met3 ( 120749 69020 ) ( 124430 69020 )
+ USE SIGNAL ;
- serial_clock ( PIN serial_clock ) ( clkbuf_0_serial_clock A )
+ ROUTED met1 ( 24150 47770 ) ( 126270 47770 )
NEW met2 ( 126270 47090 ) ( 126270 69020 )
NEW met3 ( 120749 70380 ) ( 126270 70380 )
+ USE CLOCK ;
- serial_data_in ( PIN serial_data_in ) ( ANTENNA_0 DIODE ) ( _095_ D )
+ ROUTED met1 ( 35650 56610 ) ( 44850 56610 )
NEW met1 ( 44850 60350 ) ( 126730 60350 )
NEW met2 ( 126730 60350 ) ( 126730 76500 )
NEW met3 ( 120749 76500 ) ( 126730 76500 )
+ USE SIGNAL ;
- serial_data_out ( PIN serial_data_out ) ( _107_ Q ) ( _091_ D )
+ ROUTED met1 ( 35190 59330 ) ( 125350 59330 )
NEW met1 ( 29670 61030 ) ( 35190 61030 )
NEW met2 ( 125350 57970 ) ( 125350 76500 )
NEW met3 ( 120749 77860 ) ( 125350 77860 )
+ USE SIGNAL ;
- user_gpio_in ( PIN user_gpio_in ) ( gpio_in_buf Z )
+ ROUTED met1 ( 43010 71570 ) ( 44390 71570 )
NEW met1 ( 44390 83130 ) ( 124430 83130 )
NEW met2 ( 44390 71570 ) ( 44390 83130 )
NEW met2 ( 124430 83130 ) ( 124430 83300 )
NEW met3 ( 120749 83300 ) ( 124430 83300 )
+ USE SIGNAL ;
- user_gpio_oeb ( PIN user_gpio_oeb ) ( _071_ B1 )
+ ROUTED met1 ( 27830 51170 ) ( 127190 51170 )
NEW met2 ( 127190 52870 ) ( 127190 83300 )
NEW met3 ( 120749 86020 ) ( 127190 86020 )
+ USE SIGNAL ;
- user_gpio_out ( PIN user_gpio_out ) ( _076_ B2 )
+ ROUTED met1 ( 28750 46750 ) ( 125810 46750 )
NEW met2 ( 125810 41990 ) ( 125810 83300 )
NEW met3 ( 120749 86700 ) ( 125810 86700 )
+ USE SIGNAL ;
- _000_ ( gpio_in_buf A ) ( _077_ Y )
+ ROUTED met1 ( 27830 62050 ) ( 38410 62050 )
NEW met1 ( 38410 72250 ) ( 42090 72250 )
NEW met2 ( 38410 58310 ) ( 38410 72250 )
+ USE SIGNAL ;
- _001_ ( _082_ SET_B ) ( _069_ X )
+ ROUTED met1 ( 37949 47090 ) ( 45770 47090 )
NEW met1 ( 43930 76670 ) ( 45770 76670 )
NEW met2 ( 45770 47090 ) ( 45770 76670 )
+ USE SIGNAL ;
- _002_ ( _083_ RESET_B ) ( _068_ X )
+ ROUTED met1 ( 23690 26010 ) ( 37950 26010 )
NEW met2 ( 37950 24990 ) ( 37950 45390 )
+ USE SIGNAL ;
- _003_ ( _084_ RESET_B ) ( _067_ X )
+ ROUTED met1 ( 31970 50830 ) ( 37949 50830 )
NEW met1 ( 9430 56610 ) ( 31970 56610 )
NEW met2 ( 31970 50830 ) ( 31970 55250 )
+ USE SIGNAL ;
- _004_ ( _085_ RESET_B ) ( _066_ X )
+ ROUTED met1 ( 15410 59330 ) ( 37949 59330 )
+ USE SIGNAL ;
- _005_ ( _086_ RESET_B ) ( _065_ X )
+ ROUTED met1 ( 22310 40290 ) ( 37949 40290 )
NEW met1 ( 22310 63070 ) ( 22311 63070 )
NEW met2 ( 22310 39950 ) ( 22310 63070 )
+ USE SIGNAL ;
- _006_ ( _087_ RESET_B ) ( _063_ X )
+ ROUTED met1 ( 29210 22270 ) ( 37490 22270 )
NEW met2 ( 37490 22270 ) ( 37490 36210 )
+ USE SIGNAL ;
- _007_ ( _088_ SET_B ) ( _062_ X )
+ ROUTED met1 ( 37949 52530 ) ( 43470 52530 )
NEW met1 ( 43470 73950 ) ( 43471 73950 )
NEW met2 ( 43470 52530 ) ( 43470 73950 )
+ USE SIGNAL ;
- _008_ ( _089_ SET_B ) ( _061_ X )
+ ROUTED met1 ( 43470 11390 ) ( 43930 11390 )
NEW met1 ( 37949 41650 ) ( 43470 41650 )
NEW met2 ( 43470 11390 ) ( 43470 41650 )
+ USE SIGNAL ;
- _009_ ( _090_ RESET_B ) ( _060_ X )
+ ROUTED met1 ( 31510 29410 ) ( 37949 29410 )
NEW met1 ( 9890 36210 ) ( 31510 36210 )
NEW met2 ( 31510 34170 ) ( 31510 35870 )
+ USE SIGNAL ;
- _010_ ( _091_ RESET_B ) ( _059_ X )
+ ROUTED met1 ( 8510 52190 ) ( 32430 52190 )
NEW met1 ( 32430 57970 ) ( 37949 57970 )
NEW met2 ( 32430 52190 ) ( 32430 57970 )
+ USE SIGNAL ;
- _011_ ( _092_ RESET_B ) ( _057_ X )
+ ROUTED met1 ( 37030 16830 ) ( 37031 16830 )
NEW met2 ( 37030 16830 ) ( 37030 29070 )
+ USE SIGNAL ;
- _012_ ( _093_ RESET_B ) ( _056_ X )
+ ROUTED met1 ( 38410 14110 ) ( 43470 14110 )
NEW met2 ( 38410 14110 ) ( 38410 30770 )
+ USE SIGNAL ;
- _013_ ( _094_ RESET_B ) ( _055_ X )
+ ROUTED met1 ( 31970 25330 ) ( 37949 25330 )
NEW met1 ( 14950 33150 ) ( 31970 33150 )
NEW met2 ( 31970 25330 ) ( 31970 33150 )
+ USE SIGNAL ;
- _014_ ( _095_ RESET_B ) ( _054_ X )
+ ROUTED met1 ( 9430 49470 ) ( 31510 49470 )
NEW met1 ( 31510 61710 ) ( 37949 61710 )
NEW met2 ( 31510 49470 ) ( 31510 61710 )
+ USE SIGNAL ;
- _015_ ( _096_ RESET_B ) ( _053_ X )
+ ROUTED met1 ( 21390 57630 ) ( 23230 57630 )
NEW met2 ( 23230 50830 ) ( 23230 57630 )
+ USE SIGNAL ;
- _016_ ( _097_ RESET_B ) ( _051_ X )
+ ROUTED met1 ( 9890 46750 ) ( 24149 46750 )
+ USE SIGNAL ;
- _017_ ( _098_ RESET_B ) ( _050_ X )
+ ROUTED met1 ( 21850 30430 ) ( 22310 30430 )
NEW met2 ( 21850 30430 ) ( 21850 39950 )
+ USE SIGNAL ;
- _018_ ( _099_ RESET_B ) ( _049_ X )
+ ROUTED met1 ( 17250 34510 ) ( 24149 34510 )
NEW met1 ( 9890 41650 ) ( 17250 41650 )
NEW met2 ( 17250 34510 ) ( 17250 41650 )
+ USE SIGNAL ;
- _019_ ( _100_ RESET_B ) ( _048_ X )
+ ROUTED met1 ( 29210 24990 ) ( 37949 24990 )
+ USE SIGNAL ;
- _020_ ( _101_ RESET_B ) ( _047_ X )
+ ROUTED met1 ( 31050 19890 ) ( 37949 19890 )
NEW met1 ( 15410 35870 ) ( 31050 35870 )
NEW met2 ( 31050 19890 ) ( 31050 35870 )
+ USE SIGNAL ;
- _021_ ( _102_ RESET_B ) ( _045_ X )
+ ROUTED met1 ( 14950 29070 ) ( 24149 29070 )
NEW met1 ( 14950 38590 ) ( 14951 38590 )
NEW met2 ( 14950 29070 ) ( 14950 38590 )
+ USE SIGNAL ;
- _022_ ( _103_ RESET_B ) ( _044_ X )
+ ROUTED met1 ( 15410 42330 ) ( 37030 42330 )
NEW met2 ( 37030 42330 ) ( 37030 63410 )
+ USE SIGNAL ;
- _023_ ( _104_ RESET_B ) ( _043_ X )
+ ROUTED met1 ( 19090 36550 ) ( 19091 36550 )
NEW met1 ( 19090 56270 ) ( 24149 56270 )
NEW met2 ( 19090 35870 ) ( 19090 56270 )
+ USE SIGNAL ;
- _024_ ( _105_ RESET_B ) ( _042_ X )
+ ROUTED met1 ( 15410 45730 ) ( 37490 45730 )
NEW met2 ( 37490 46750 ) ( 37490 67150 )
+ USE SIGNAL ;
- _025_ ( _106_ RESET_B ) ( _045_ A ) ( _044_ A ) ( _043_ A )
( _042_ A ) ( _041_ X )
+ ROUTED met1 ( 18170 36550 ) ( 18171 36550 )
NEW met1 ( 14950 44030 ) ( 18170 44030 )
NEW met1 ( 15410 68850 ) ( 37949 68850 )
NEW met2 ( 15410 44030 ) ( 15410 68850 )
NEW met2 ( 18170 36550 ) ( 18170 44030 )
+ USE SIGNAL ;
- _026_ ( _107_ RESET_B ) ( _081_ X )
+ ROUTED met1 ( 24149 61710 ) ( 31050 61710 )
NEW met1 ( 31050 73950 ) ( 37950 73950 )
NEW met2 ( 31050 61710 ) ( 31050 73950 )
+ USE SIGNAL ;
- _027_ ( _064_ A ) ( _040_ A ) ( _039_ X )
+ ROUTED met1 ( 14030 53550 ) ( 24610 53550 )
NEW met1 ( 24610 63070 ) ( 28290 63070 )
NEW met2 ( 24610 50490 ) ( 24610 63070 )
+ USE SIGNAL ;
- _028_ ( _081_ A ) ( _058_ A ) ( _052_ A ) ( _046_ A )
( _041_ A ) ( _040_ X )
+ ROUTED met1 ( 8510 39610 ) ( 8511 39610 )
NEW met1 ( 8510 45050 ) ( 14030 45050 )
NEW met1 ( 14030 49810 ) ( 14950 49810 )
NEW met1 ( 14030 56270 ) ( 14950 56270 )
NEW met1 ( 14030 74630 ) ( 37030 74630 )
NEW met2 ( 8510 39610 ) ( 8510 45050 )
NEW met2 ( 14030 45050 ) ( 14030 49470 )
NEW met2 ( 14030 55930 ) ( 14030 74630 )
NEW met2 ( 14950 49470 ) ( 14950 55930 )
+ USE SIGNAL ;
- _029_ ( _051_ A ) ( _050_ A ) ( _049_ A ) ( _048_ A )
( _047_ A ) ( _046_ X )
+ ROUTED met1 ( 21390 25670 ) ( 28290 25670 )
NEW met1 ( 14490 31110 ) ( 21390 31110 )
NEW met1 ( 14490 36550 ) ( 14491 36550 )
NEW met1 ( 8970 41990 ) ( 14490 41990 )
NEW met2 ( 14490 31110 ) ( 14490 41990 )
NEW met2 ( 21390 25670 ) ( 21390 31110 )
+ USE SIGNAL ;
- _030_ ( _057_ A ) ( _056_ A ) ( _055_ A ) ( _054_ A )
( _053_ A ) ( _052_ X )
+ ROUTED met1 ( 14030 17850 ) ( 42550 17850 )
NEW met1 ( 14030 34170 ) ( 14031 34170 )
NEW met1 ( 9430 38590 ) ( 14030 38590 )
NEW met1 ( 8510 50490 ) ( 9430 50490 )
NEW met1 ( 8510 57970 ) ( 20470 57970 )
NEW met2 ( 8510 50490 ) ( 8510 58310 )
NEW met2 ( 9430 38590 ) ( 9430 50490 )
NEW met2 ( 14030 17850 ) ( 14030 38590 )
+ USE SIGNAL ;
- _031_ ( _063_ A ) ( _062_ A ) ( _061_ A ) ( _060_ A )
( _059_ A ) ( _058_ X )
+ ROUTED met1 ( 29210 12410 ) ( 43010 12410 )
NEW met1 ( 8970 23290 ) ( 29210 23290 )
NEW met1 ( 8970 36550 ) ( 8971 36550 )
NEW met1 ( 7590 52870 ) ( 8970 52870 )
NEW met1 ( 7590 55250 ) ( 14950 55250 )
NEW met1 ( 14490 74290 ) ( 42550 74290 )
NEW met2 ( 7590 52870 ) ( 7590 55590 )
NEW met2 ( 8970 23290 ) ( 8970 52870 )
NEW met2 ( 14490 55590 ) ( 14490 74630 )
NEW met2 ( 29210 12410 ) ( 29210 23290 )
+ USE SIGNAL ;
- _032_ ( _069_ A ) ( _068_ A ) ( _067_ A ) ( _066_ A )
( _065_ A ) ( _064_ X )
+ ROUTED met1 ( 22770 26010 ) ( 22771 26010 )
NEW met1 ( 8510 55930 ) ( 14490 55930 )
NEW met1 ( 14490 58310 ) ( 22770 58310 )
NEW met1 ( 21390 63750 ) ( 29210 63750 )
NEW met1 ( 29210 65790 ) ( 37950 65790 )
NEW met1 ( 37950 77690 ) ( 43010 77690 )
NEW met2 ( 21390 58310 ) ( 21390 63750 )
NEW met2 ( 22770 25670 ) ( 22770 58310 )
NEW met2 ( 37950 65790 ) ( 37950 77690 )
+ USE SIGNAL ;
- _033_ ( _077_ A ) ( _076_ B1 ) ( _071_ B2 ) ( _070_ Y )
+ ROUTED met1 ( 20930 42670 ) ( 28750 42670 )
NEW met1 ( 28750 52870 ) ( 28751 52870 )
NEW met1 ( 28750 58310 ) ( 28751 58310 )
NEW met2 ( 28750 42670 ) ( 28750 58310 )
+ USE SIGNAL ;
- _034_ ( _073_ B ) ( _072_ Y )
+ ROUTED met1 ( 15410 30770 ) ( 25990 30770 )
NEW met1 ( 25990 34850 ) ( 26910 34850 )
NEW met2 ( 25990 30430 ) ( 25990 34850 )
+ USE SIGNAL ;
- _035_ ( _075_ B ) ( _074_ B ) ( _073_ X )
+ ROUTED met1 ( 26450 30770 ) ( 26910 30770 )
NEW met1 ( 21850 36550 ) ( 29670 36550 )
NEW met2 ( 26450 30770 ) ( 26450 36550 )
+ USE SIGNAL ;
- _036_ ( _076_ A2 ) ( _074_ X )
+ ROUTED met1 ( 28290 30430 ) ( 29210 30430 )
NEW met1 ( 25070 41990 ) ( 29210 41990 )
NEW met2 ( 29210 30430 ) ( 29210 41990 )
+ USE SIGNAL ;
- _037_ ( _076_ A3 ) ( _075_ Y )
+ ROUTED met1 ( 23690 36890 ) ( 23691 36890 )
NEW met1 ( 23690 41990 ) ( 24150 41990 )
NEW met2 ( 23690 36550 ) ( 23690 41990 )
+ USE SIGNAL ;
- _038_ ( _079_ B ) ( _078_ Y )
+ ROUTED met1 ( 13570 52870 ) ( 25530 52870 )
NEW met1 ( 25530 69190 ) ( 29210 69190 )
NEW met2 ( 25530 52870 ) ( 25530 69190 )
+ USE SIGNAL ;
- gpio_logic1 ( gpio_logic_high HI ) ( gpio_in_buf TE )
+ ROUTED met1 ( 36570 71910 ) ( 36571 71910 )
NEW met1 ( 36570 79390 ) ( 41170 79390 )
NEW met2 ( 36570 71910 ) ( 36570 79390 )
+ USE SIGNAL ;
- gpio_outenb ( _088_ Q ) ( _071_ A1 )
+ ROUTED met1 ( 25990 53890 ) ( 43930 53890 )
+ USE SIGNAL ;
- load_data ( _094_ CLK ) ( _093_ CLK ) ( _092_ CLK ) ( _091_ CLK )
( _090_ CLK ) ( _089_ CLK ) ( _088_ CLK ) ( _087_ CLK ) ( _086_ CLK )
( _085_ CLK ) ( _084_ CLK ) ( _083_ CLK ) ( _082_ CLK ) ( _079_ X )
+ ROUTED met1 ( 33810 25670 ) ( 33811 25670 )
NEW met1 ( 33810 33830 ) ( 34270 33830 )
NEW met1 ( 33810 36550 ) ( 33811 36550 )
NEW met1 ( 33350 47430 ) ( 33810 47430 )
NEW met1 ( 15870 52530 ) ( 33350 52530 )
NEW met1 ( 33350 56610 ) ( 33810 56610 )
NEW met2 ( 33350 47430 ) ( 33350 56610 )
NEW met2 ( 33810 25670 ) ( 33810 47430 )
+ USE SIGNAL ;
- mgmt_ena ( _082_ Q ) ( _080_ A ) ( _076_ A1 ) ( _071_ A3 )
( _070_ A )
+ ROUTED met1 ( 41630 17510 ) ( 46230 17510 )
NEW met1 ( 20470 43010 ) ( 46230 43010 )
NEW met1 ( 24150 51170 ) ( 25990 51170 )
NEW met2 ( 25990 41990 ) ( 25990 51170 )
NEW met2 ( 46230 17510 ) ( 46230 41990 )
+ USE SIGNAL ;
- shift_register\[0\] ( _096_ D ) ( _095_ Q ) ( _082_ D )
+ ROUTED met1 ( 35190 47430 ) ( 35191 47430 )
NEW met1 ( 20930 48450 ) ( 37950 48450 )
NEW met1 ( 37950 61030 ) ( 44390 61030 )
NEW met2 ( 35190 47430 ) ( 35190 50150 )
NEW met2 ( 37950 50150 ) ( 37950 61030 )
+ USE SIGNAL ;
- shift_register\[10\] ( _106_ D ) ( _105_ Q ) ( _089_ D )
+ ROUTED met1 ( 35190 44710 ) ( 39790 44710 )
NEW met1 ( 36110 66470 ) ( 44390 66470 )
NEW met1 ( 35190 70210 ) ( 36110 70210 )
NEW met2 ( 36110 66470 ) ( 36110 69190 )
NEW met2 ( 39790 41990 ) ( 39790 66470 )
+ USE SIGNAL ;
- shift_register\[11\] ( _107_ D ) ( _106_ Q ) ( _090_ D )
+ ROUTED met1 ( 35650 33830 ) ( 36570 33830 )
NEW met1 ( 20930 60350 ) ( 38870 60350 )
NEW met1 ( 38870 70210 ) ( 43930 70210 )
NEW met2 ( 36570 33830 ) ( 36570 61030 )
NEW met2 ( 38870 61030 ) ( 38870 69190 )
+ USE SIGNAL ;
- shift_register\[1\] ( _097_ D ) ( _096_ Q ) ( _088_ D )
+ ROUTED met1 ( 20930 44710 ) ( 29210 44710 )
NEW met1 ( 29210 50150 ) ( 35190 50150 )
NEW met2 ( 29210 44710 ) ( 29210 50150 )
+ USE SIGNAL ;
- shift_register\[2\] ( _098_ D ) ( _097_ Q ) ( _083_ D )
+ ROUTED met1 ( 20930 41310 ) ( 30130 41310 )
NEW met1 ( 29670 41990 ) ( 35650 41990 )
NEW met2 ( 30130 39270 ) ( 30130 44710 )
+ USE SIGNAL ;
- shift_register\[3\] ( _099_ D ) ( _098_ Q ) ( _086_ D )
+ ROUTED met1 ( 20930 33830 ) ( 28750 33830 )
NEW met1 ( 28750 39270 ) ( 35650 39270 )
NEW met2 ( 28750 33830 ) ( 28750 39270 )
+ USE SIGNAL ;
- shift_register\[4\] ( _100_ D ) ( _099_ Q ) ( _087_ D )
+ ROUTED met1 ( 35650 22950 ) ( 39330 22950 )
NEW met1 ( 29670 32130 ) ( 39330 32130 )
NEW met1 ( 35190 36210 ) ( 37030 36210 )
NEW met2 ( 37030 33830 ) ( 37030 36210 )
NEW met2 ( 39330 22950 ) ( 39330 33830 )
+ USE SIGNAL ;
- shift_register\[5\] ( _101_ D ) ( _100_ Q ) ( _092_ D )
+ ROUTED met1 ( 35650 26690 ) ( 44390 26690 )
+ USE SIGNAL ;
- shift_register\[6\] ( _102_ D ) ( _101_ Q ) ( _093_ D )
+ ROUTED met1 ( 43930 19890 ) ( 46690 19890 )
NEW met1 ( 20930 27710 ) ( 35190 27710 )
NEW met1 ( 35190 30430 ) ( 46690 30430 )
NEW met2 ( 46690 19890 ) ( 46690 30770 )
+ USE SIGNAL ;
- shift_register\[7\] ( _103_ D ) ( _102_ Q ) ( _094_ D )
+ ROUTED met1 ( 35190 26690 ) ( 35191 26690 )
NEW met1 ( 29670 28390 ) ( 36110 28390 )
NEW met1 ( 35190 63410 ) ( 36110 63410 )
NEW met2 ( 35190 25330 ) ( 35190 28390 )
NEW met2 ( 36110 28390 ) ( 36110 63410 )
+ USE SIGNAL ;
- shift_register\[8\] ( _104_ D ) ( _103_ Q ) ( _084_ D )
+ ROUTED met1 ( 20930 54910 ) ( 39330 54910 )
NEW met1 ( 39330 63070 ) ( 43930 63070 )
NEW met2 ( 39330 54910 ) ( 39330 63410 )
+ USE SIGNAL ;
- shift_register\[9\] ( _105_ D ) ( _104_ Q ) ( _085_ D )
+ ROUTED met1 ( 35650 52870 ) ( 35651 52870 )
NEW met1 ( 29670 55590 ) ( 40250 55590 )
NEW met1 ( 35650 64770 ) ( 40250 64770 )
NEW met2 ( 35650 54910 ) ( 35650 55590 )
NEW met2 ( 40250 55590 ) ( 40250 64770 )
+ USE SIGNAL ;
- clknet_0_serial_clock ( clkbuf_1_1_0_serial_clock A ) ( clkbuf_1_0_0_serial_clock A ) ( clkbuf_0_serial_clock X )
+ ROUTED met1 ( 25070 23630 ) ( 25071 23630 )
NEW met1 ( 25070 47090 ) ( 30590 47090 )
NEW met1 ( 26450 66810 ) ( 26451 66810 )
NEW met2 ( 25070 23290 ) ( 25070 46750 )
NEW met2 ( 26450 46750 ) ( 26450 66810 )
+ USE CLOCK ;
- clknet_1_0_0_serial_clock ( _097_ CLK ) ( _098_ CLK ) ( _099_ CLK ) ( _100_ CLK )
( _101_ CLK ) ( _102_ CLK ) ( clkbuf_1_0_0_serial_clock X )
+ ROUTED met1 ( 24150 20230 ) ( 33810 20230 )
NEW met1 ( 24150 22610 ) ( 34270 22610 )
NEW met1 ( 18630 28390 ) ( 24150 28390 )
NEW met1 ( 18630 39270 ) ( 19550 39270 )
NEW met1 ( 18630 44710 ) ( 19550 44710 )
NEW met2 ( 18630 28390 ) ( 18630 44710 )
NEW met2 ( 24150 20230 ) ( 24150 28390 )
+ USE CLOCK ;
- clknet_1_1_0_serial_clock ( _039_ A ) ( _079_ A ) ( _095_ CLK ) ( _096_ CLK )
( _103_ CLK ) ( _104_ CLK ) ( _105_ CLK ) ( _106_ CLK ) ( _107_ CLK )
( clkbuf_1_1_0_serial_clock X )
+ ROUTED met1 ( 13110 53210 ) ( 19550 53210 )
NEW met1 ( 19550 58990 ) ( 34270 58990 )
NEW met1 ( 25530 64770 ) ( 33810 64770 )
NEW met2 ( 19550 52870 ) ( 19550 55590 )
NEW met2 ( 33810 55590 ) ( 33810 65790 )
+ USE CLOCK ;
END NETS
END DESIGN