blob: 13cb778263e8d9ac0936ef7d2b67211d4aa582da [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v
Parsing Verilog input from `/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\gpio_control_block'.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:50: Warning: Identifier `\clknet_1_1_0_serial_clock' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:52: Warning: Identifier `\_027_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:58: Warning: Identifier `\_028_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:64: Warning: Identifier `\_025_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:70: Warning: Identifier `\_024_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:76: Warning: Identifier `\_023_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:82: Warning: Identifier `\_022_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:88: Warning: Identifier `\_021_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:94: Warning: Identifier `\_029_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:100: Warning: Identifier `\_020_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:106: Warning: Identifier `\_019_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:112: Warning: Identifier `\_018_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:118: Warning: Identifier `\_017_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:124: Warning: Identifier `\_016_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:130: Warning: Identifier `\_030_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:136: Warning: Identifier `\_015_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:142: Warning: Identifier `\_014_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:148: Warning: Identifier `\_013_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:154: Warning: Identifier `\_012_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:160: Warning: Identifier `\_011_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:166: Warning: Identifier `\_031_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:172: Warning: Identifier `\_010_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:178: Warning: Identifier `\_009_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:184: Warning: Identifier `\_008_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:190: Warning: Identifier `\_007_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:196: Warning: Identifier `\_006_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:202: Warning: Identifier `\_032_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:208: Warning: Identifier `\_005_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:214: Warning: Identifier `\_004_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:220: Warning: Identifier `\_003_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:226: Warning: Identifier `\_002_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:232: Warning: Identifier `\_001_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:237: Warning: Identifier `\mgmt_ena' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:238: Warning: Identifier `\_033_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:243: Warning: Identifier `\gpio_outenb' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:254: Warning: Identifier `\_034_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:262: Warning: Identifier `\_035_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:269: Warning: Identifier `\_036_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:276: Warning: Identifier `\_037_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:293: Warning: Identifier `\_000_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:299: Warning: Identifier `\_038_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:306: Warning: Identifier `\load_data' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:319: Warning: Identifier `\_026_' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:324: Warning: Identifier `\shift_register[0]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:332: Warning: Identifier `\shift_register[2]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:340: Warning: Identifier `\shift_register[8]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:348: Warning: Identifier `\shift_register[9]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:356: Warning: Identifier `\shift_register[3]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:364: Warning: Identifier `\shift_register[4]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:372: Warning: Identifier `\shift_register[1]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:380: Warning: Identifier `\shift_register[10]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:388: Warning: Identifier `\shift_register[11]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:404: Warning: Identifier `\shift_register[5]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:412: Warning: Identifier `\shift_register[6]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:420: Warning: Identifier `\shift_register[7]' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:447: Warning: Identifier `\clknet_1_0_0_serial_clock' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:533: Warning: Identifier `\gpio_logic1' is implicitly declared.
/project/openlane/gpio_control_block/runs/gpio_control_block/results/lvs/gpio_control_block.lvs.powered.v:833: Warning: Identifier `\clknet_0_serial_clock' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\gpio_control_block'.
Warnings: 58 unique messages, 58 total
End of script. Logfile hash: 6c2f2f9558, CPU: user 0.21s system 0.00s, MEM: 11.09 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 74% 2x write_verilog (0 sec), 25% 2x read_verilog (0 sec)