blob: e334cc05666bced10a38b487bd8436011c9f3cc3 [file] [log] [blame]
19. Printing statistics.
=== digital_pll ===
Number of wires: 385
Number of wire bits: 415
Number of public wires: 126
Number of public wire bits: 156
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 407
sky130_fd_sc_hd__a211o_4 7
sky130_fd_sc_hd__a21bo_4 16
sky130_fd_sc_hd__a21o_4 3
sky130_fd_sc_hd__a22oi_4 1
sky130_fd_sc_hd__a2bb2o_4 14
sky130_fd_sc_hd__a32o_4 9
sky130_fd_sc_hd__and2_4 11
sky130_fd_sc_hd__and3_4 4
sky130_fd_sc_hd__and4_4 22
sky130_fd_sc_hd__buf_2 23
sky130_fd_sc_hd__clkbuf_1 13
sky130_fd_sc_hd__clkbuf_2 12
sky130_fd_sc_hd__clkinv_1 13
sky130_fd_sc_hd__clkinv_2 2
sky130_fd_sc_hd__clkinv_8 2
sky130_fd_sc_hd__conb_1 1
sky130_fd_sc_hd__dfrtp_4 23
sky130_fd_sc_hd__einvn_4 13
sky130_fd_sc_hd__einvn_8 13
sky130_fd_sc_hd__einvp_1 1
sky130_fd_sc_hd__einvp_2 26
sky130_fd_sc_hd__inv_2 58
sky130_fd_sc_hd__nand2_4 8
sky130_fd_sc_hd__nor2_4 5
sky130_fd_sc_hd__o21a_4 6
sky130_fd_sc_hd__o21ai_4 3
sky130_fd_sc_hd__o22a_4 18
sky130_fd_sc_hd__o32a_4 2
sky130_fd_sc_hd__or2_2 1
sky130_fd_sc_hd__or2_4 50
sky130_fd_sc_hd__or3_4 2
sky130_fd_sc_hd__or4_4 25
Chip area for module '\digital_pll': 4608.169600