blob: 31045c4554d0cf4a7fb6631a8de5f2059a6e7a18 [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v
Parsing Verilog input from `/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\digital_pll'.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:20: Warning: Identifier `\pll_control.count0[4]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:21: Warning: Identifier `\_043_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:26: Warning: Identifier `\pll_control.count1[4]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:27: Warning: Identifier `\_044_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:32: Warning: Identifier `\pll_control.count0[3]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:33: Warning: Identifier `\_045_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:38: Warning: Identifier `\pll_control.count1[3]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:39: Warning: Identifier `\_046_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:44: Warning: Identifier `\pll_control.count0[2]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:45: Warning: Identifier `\_047_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:50: Warning: Identifier `\pll_control.count1[2]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:51: Warning: Identifier `\_048_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:56: Warning: Identifier `\pll_control.count0[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:57: Warning: Identifier `\_049_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:62: Warning: Identifier `\pll_control.count1[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:63: Warning: Identifier `\_050_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:68: Warning: Identifier `\pll_control.count0[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:69: Warning: Identifier `\_051_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:74: Warning: Identifier `\pll_control.prep[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:75: Warning: Identifier `\_052_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:80: Warning: Identifier `\pll_control.tint[4]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:81: Warning: Identifier `\_053_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:86: Warning: Identifier `\pll_control.tint[3]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:87: Warning: Identifier `\_054_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:92: Warning: Identifier `\pll_control.tint[2]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:93: Warning: Identifier `\_055_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:98: Warning: Identifier `\pll_control.tint[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:99: Warning: Identifier `\_056_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:104: Warning: Identifier `\pll_control.tint[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:105: Warning: Identifier `\_057_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:110: Warning: Identifier `\pll_control.tval[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:111: Warning: Identifier `\_058_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:116: Warning: Identifier `\pll_control.tval[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:117: Warning: Identifier `\_059_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:122: Warning: Identifier `\pll_control.oscbuf[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:123: Warning: Identifier `\_060_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:129: Warning: Identifier `\_061_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:135: Warning: Identifier `\_062_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:141: Warning: Identifier `\_063_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:147: Warning: Identifier `\_064_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:153: Warning: Identifier `\_065_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:159: Warning: Identifier `\_066_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:165: Warning: Identifier `\_067_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:171: Warning: Identifier `\_068_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:177: Warning: Identifier `\_069_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:183: Warning: Identifier `\pll_control.oscbuf[2]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:186: Warning: Identifier `\_070_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:192: Warning: Identifier `\_071_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:201: Warning: Identifier `\_042_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:208: Warning: Identifier `\ringosc.iss.reset' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:216: Warning: Identifier `\_021_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:225: Warning: Identifier `\_041_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:234: Warning: Identifier `\_040_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:243: Warning: Identifier `\_039_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:250: Warning: Identifier `\pll_control.count1[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:252: Warning: Identifier `\_038_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:259: Warning: Identifier `\pll_control.prep[2]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:261: Warning: Identifier `\_037_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:268: Warning: Identifier `\pll_control.prep[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:270: Warning: Identifier `\_036_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:277: Warning: Identifier `\_035_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:286: Warning: Identifier `\_072_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:293: Warning: Identifier `\_073_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:302: Warning: Identifier `\_074_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:309: Warning: Identifier `\_075_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:316: Warning: Identifier `\_076_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:324: Warning: Identifier `\_077_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:333: Warning: Identifier `\_078_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:339: Warning: Identifier `\_079_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:346: Warning: Identifier `\_080_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:353: Warning: Identifier `\_081_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:361: Warning: Identifier `\_082_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:368: Warning: Identifier `\_083_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:377: Warning: Identifier `\_084_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:386: Warning: Identifier `\_085_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:395: Warning: Identifier `\_086_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:403: Warning: Identifier `\_087_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:410: Warning: Identifier `\_088_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:418: Warning: Identifier `\_089_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:427: Warning: Identifier `\_090_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:433: Warning: Identifier `\_091_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:440: Warning: Identifier `\_092_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:447: Warning: Identifier `\_093_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:455: Warning: Identifier `\_094_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:461: Warning: Identifier `\_095_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:470: Warning: Identifier `\_096_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:479: Warning: Identifier `\_097_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:488: Warning: Identifier `\_098_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:495: Warning: Identifier `\_099_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:502: Warning: Identifier `\_100_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:511: Warning: Identifier `\_101_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:517: Warning: Identifier `\_102_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:526: Warning: Identifier `\_103_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:534: Warning: Identifier `\_104_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:541: Warning: Identifier `\_105_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:547: Warning: Identifier `\_106_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:554: Warning: Identifier `\_107_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:561: Warning: Identifier `\_108_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:567: Warning: Identifier `\_109_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:576: Warning: Identifier `\_110_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:585: Warning: Identifier `\_111_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:593: Warning: Identifier `\_112_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:602: Warning: Identifier `\_113_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:608: Warning: Identifier `\_114_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:617: Warning: Identifier `\_115_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:623: Warning: Identifier `\_116_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:631: Warning: Identifier `\_117_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:638: Warning: Identifier `\_118_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:647: Warning: Identifier `\_119_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:656: Warning: Identifier `\_120_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:662: Warning: Identifier `\_121_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:669: Warning: Identifier `\_122_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:675: Warning: Identifier `\_123_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:684: Warning: Identifier `\_124_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:691: Warning: Identifier `\_125_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:699: Warning: Identifier `\_126_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:708: Warning: Identifier `\_127_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:714: Warning: Identifier `\_128_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:721: Warning: Identifier `\_129_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:727: Warning: Identifier `\_130_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:734: Warning: Identifier `\_131_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:740: Warning: Identifier `\_132_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:747: Warning: Identifier `\_133_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:757: Warning: Identifier `\_134_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:766: Warning: Identifier `\_135_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:774: Warning: Identifier `\_136_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:780: Warning: Identifier `\_137_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:790: Warning: Identifier `\_138_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:799: Warning: Identifier `\_139_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:806: Warning: Identifier `\_140_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:813: Warning: Identifier `\_141_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:823: Warning: Identifier `\_034_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:830: Warning: Identifier `\_142_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:838: Warning: Identifier `\_143_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:845: Warning: Identifier `\_144_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:852: Warning: Identifier `\_145_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:862: Warning: Identifier `\_033_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:869: Warning: Identifier `\_146_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:879: Warning: Identifier `\_032_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:888: Warning: Identifier `\_147_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:895: Warning: Identifier `\_148_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:903: Warning: Identifier `\_149_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:910: Warning: Identifier `\_150_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:917: Warning: Identifier `\_151_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:927: Warning: Identifier `\_031_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:934: Warning: Identifier `\_152_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:944: Warning: Identifier `\_030_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:951: Warning: Identifier `\_153_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:961: Warning: Identifier `\_029_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:970: Warning: Identifier `\_154_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:976: Warning: Identifier `\_028_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:983: Warning: Identifier `\_155_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:991: Warning: Identifier `\_156_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:998: Warning: Identifier `\_157_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1006: Warning: Identifier `\_027_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1013: Warning: Identifier `\_158_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1022: Warning: Identifier `\_159_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1028: Warning: Identifier `\_026_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1037: Warning: Identifier `\_160_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1046: Warning: Identifier `\_161_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1052: Warning: Identifier `\_162_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1059: Warning: Identifier `\_025_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1066: Warning: Identifier `\_163_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1074: Warning: Identifier `\_164_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1081: Warning: Identifier `\_024_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1090: Warning: Identifier `\_023_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1097: Warning: Identifier `\_165_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1105: Warning: Identifier `\ringosc.dstage[0].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1113: Warning: Identifier `\_166_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1119: Warning: Identifier `\_167_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1126: Warning: Identifier `\_168_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1132: Warning: Identifier `\_169_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1141: Warning: Identifier `\_170_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1150: Warning: Identifier `\_171_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1159: Warning: Identifier `\_172_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1166: Warning: Identifier `\_173_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1176: Warning: Identifier `\_174_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1183: Warning: Identifier `\_175_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1192: Warning: Identifier `\_176_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1198: Warning: Identifier `\_177_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1205: Warning: Identifier `\_178_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1212: Warning: Identifier `\_179_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1219: Warning: Identifier `\_180_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1226: Warning: Identifier `\_181_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1235: Warning: Identifier `\_182_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1241: Warning: Identifier `\ringosc.dstage[1].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1248: Warning: Identifier `\_183_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1255: Warning: Identifier `\_184_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1264: Warning: Identifier `\_185_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1273: Warning: Identifier `\_186_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1280: Warning: Identifier `\_187_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1287: Warning: Identifier `\_188_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1294: Warning: Identifier `\_189_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1301: Warning: Identifier `\_190_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1307: Warning: Identifier `\_191_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1315: Warning: Identifier `\ringosc.dstage[2].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1324: Warning: Identifier `\_192_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1331: Warning: Identifier `\_193_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1339: Warning: Identifier `\ringosc.dstage[3].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1347: Warning: Identifier `\_194_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1356: Warning: Identifier `\ringosc.dstage[4].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1364: Warning: Identifier `\ringosc.dstage[5].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1372: Warning: Identifier `\ringosc.dstage[6].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1379: Warning: Identifier `\_195_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1386: Warning: Identifier `\_196_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1395: Warning: Identifier `\_197_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1402: Warning: Identifier `\_198_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1409: Warning: Identifier `\_199_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1418: Warning: Identifier `\_200_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1427: Warning: Identifier `\_201_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1436: Warning: Identifier `\_202_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1442: Warning: Identifier `\ringosc.dstage[7].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1450: Warning: Identifier `\ringosc.dstage[8].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1457: Warning: Identifier `\_203_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1466: Warning: Identifier `\_204_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1472: Warning: Identifier `\ringosc.dstage[9].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1480: Warning: Identifier `\ringosc.dstage[10].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1487: Warning: Identifier `\_205_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1495: Warning: Identifier `\ringosc.dstage[11].id.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1503: Warning: Identifier `\ringosc.iss.trim[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1512: Warning: Identifier `\_206_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1522: Warning: Identifier `\ringosc.dstage[0].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1531: Warning: Identifier `\_207_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1538: Warning: Identifier `\_208_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1547: Warning: Identifier `\_209_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1556: Warning: Identifier `\_210_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1563: Warning: Identifier `\_211_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1570: Warning: Identifier `\_212_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1579: Warning: Identifier `\_213_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1588: Warning: Identifier `\_214_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1597: Warning: Identifier `\_215_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1604: Warning: Identifier `\_216_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1613: Warning: Identifier `\_217_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1622: Warning: Identifier `\_218_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1632: Warning: Identifier `\_219_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1639: Warning: Identifier `\_220_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1648: Warning: Identifier `\_221_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1657: Warning: Identifier `\_222_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1666: Warning: Identifier `\_223_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1672: Warning: Identifier `\ringosc.dstage[1].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1679: Warning: Identifier `\_224_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1688: Warning: Identifier `\_225_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1694: Warning: Identifier `\_226_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1703: Warning: Identifier `\_227_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1712: Warning: Identifier `\_228_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1718: Warning: Identifier `\ringosc.dstage[2].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1725: Warning: Identifier `\_229_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1732: Warning: Identifier `\_230_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1739: Warning: Identifier `\_231_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1748: Warning: Identifier `\_232_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1757: Warning: Identifier `\_233_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1763: Warning: Identifier `\ringosc.dstage[3].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1770: Warning: Identifier `\_234_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1779: Warning: Identifier `\_235_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1788: Warning: Identifier `\_236_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1794: Warning: Identifier `\_237_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1803: Warning: Identifier `\_238_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1812: Warning: Identifier `\_239_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1821: Warning: Identifier `\_240_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1830: Warning: Identifier `\_241_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1836: Warning: Identifier `\ringosc.dstage[4].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1845: Warning: Identifier `\_242_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1854: Warning: Identifier `\_243_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1862: Warning: Identifier `\ringosc.dstage[5].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1869: Warning: Identifier `\_244_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1876: Warning: Identifier `\_245_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1884: Warning: Identifier `\ringosc.dstage[6].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1891: Warning: Identifier `\_246_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1898: Warning: Identifier `\_247_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1907: Warning: Identifier `\_248_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1916: Warning: Identifier `\_249_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1924: Warning: Identifier `\ringosc.dstage[7].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1933: Warning: Identifier `\_250_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1942: Warning: Identifier `\_251_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1950: Warning: Identifier `\ringosc.dstage[8].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1959: Warning: Identifier `\_252_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1968: Warning: Identifier `\_253_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1976: Warning: Identifier `\ringosc.dstage[9].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1985: Warning: Identifier `\ringosc.dstage[10].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:1994: Warning: Identifier `\_254_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2003: Warning: Identifier `\_255_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2012: Warning: Identifier `\_256_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2020: Warning: Identifier `\ringosc.dstage[11].id.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2029: Warning: Identifier `\_257_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2038: Warning: Identifier `\_258_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2046: Warning: Identifier `\ringosc.iss.trim[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2052: Warning: Identifier `\_020_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2058: Warning: Identifier `\_019_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2064: Warning: Identifier `\_018_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2070: Warning: Identifier `\_017_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2076: Warning: Identifier `\_016_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2082: Warning: Identifier `\_015_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2088: Warning: Identifier `\_014_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2094: Warning: Identifier `\_013_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2100: Warning: Identifier `\_012_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2106: Warning: Identifier `\_011_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2112: Warning: Identifier `\_010_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2118: Warning: Identifier `\_009_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2124: Warning: Identifier `\_008_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2130: Warning: Identifier `\_007_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2136: Warning: Identifier `\_006_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2142: Warning: Identifier `\_005_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2148: Warning: Identifier `\_004_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2154: Warning: Identifier `\_003_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2160: Warning: Identifier `\_002_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2166: Warning: Identifier `\_001_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2172: Warning: Identifier `\_000_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2178: Warning: Identifier `\_022_' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2183: Warning: Identifier `\pll_control.clock' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2190: Warning: Identifier `\pll_control.oscbuf[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2373: Warning: Identifier `\ringosc.dstage[0].id.in' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2374: Warning: Identifier `\ringosc.dstage[0].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2380: Warning: Identifier `\ringosc.dstage[0].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2385: Warning: Identifier `\ringosc.dstage[0].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2387: Warning: Identifier `\ringosc.dstage[0].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2394: Warning: Identifier `\ringosc.dstage[0].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2419: Warning: Identifier `\ringosc.dstage[10].id.in' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2420: Warning: Identifier `\ringosc.dstage[10].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2426: Warning: Identifier `\ringosc.dstage[10].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2431: Warning: Identifier `\ringosc.dstage[10].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2433: Warning: Identifier `\ringosc.dstage[10].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2440: Warning: Identifier `\ringosc.dstage[10].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2466: Warning: Identifier `\ringosc.dstage[11].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2472: Warning: Identifier `\ringosc.dstage[11].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2477: Warning: Identifier `\ringosc.dstage[11].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2479: Warning: Identifier `\ringosc.dstage[11].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2486: Warning: Identifier `\ringosc.dstage[11].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2512: Warning: Identifier `\ringosc.dstage[1].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2518: Warning: Identifier `\ringosc.dstage[1].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2523: Warning: Identifier `\ringosc.dstage[1].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2525: Warning: Identifier `\ringosc.dstage[1].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2532: Warning: Identifier `\ringosc.dstage[1].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2558: Warning: Identifier `\ringosc.dstage[2].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2564: Warning: Identifier `\ringosc.dstage[2].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2569: Warning: Identifier `\ringosc.dstage[2].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2571: Warning: Identifier `\ringosc.dstage[2].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2578: Warning: Identifier `\ringosc.dstage[2].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2604: Warning: Identifier `\ringosc.dstage[3].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2610: Warning: Identifier `\ringosc.dstage[3].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2615: Warning: Identifier `\ringosc.dstage[3].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2617: Warning: Identifier `\ringosc.dstage[3].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2624: Warning: Identifier `\ringosc.dstage[3].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2650: Warning: Identifier `\ringosc.dstage[4].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2656: Warning: Identifier `\ringosc.dstage[4].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2661: Warning: Identifier `\ringosc.dstage[4].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2663: Warning: Identifier `\ringosc.dstage[4].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2670: Warning: Identifier `\ringosc.dstage[4].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2696: Warning: Identifier `\ringosc.dstage[5].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2702: Warning: Identifier `\ringosc.dstage[5].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2707: Warning: Identifier `\ringosc.dstage[5].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2709: Warning: Identifier `\ringosc.dstage[5].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2716: Warning: Identifier `\ringosc.dstage[5].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2742: Warning: Identifier `\ringosc.dstage[6].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2748: Warning: Identifier `\ringosc.dstage[6].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2753: Warning: Identifier `\ringosc.dstage[6].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2755: Warning: Identifier `\ringosc.dstage[6].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2762: Warning: Identifier `\ringosc.dstage[6].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2788: Warning: Identifier `\ringosc.dstage[7].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2794: Warning: Identifier `\ringosc.dstage[7].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2799: Warning: Identifier `\ringosc.dstage[7].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2801: Warning: Identifier `\ringosc.dstage[7].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2808: Warning: Identifier `\ringosc.dstage[7].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2834: Warning: Identifier `\ringosc.dstage[8].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2840: Warning: Identifier `\ringosc.dstage[8].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2845: Warning: Identifier `\ringosc.dstage[8].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2847: Warning: Identifier `\ringosc.dstage[8].id.out' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2854: Warning: Identifier `\ringosc.dstage[8].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2880: Warning: Identifier `\ringosc.dstage[9].id.ts' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2886: Warning: Identifier `\ringosc.dstage[9].id.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2891: Warning: Identifier `\ringosc.dstage[9].id.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2900: Warning: Identifier `\ringosc.dstage[9].id.d1' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2926: Warning: Identifier `\ringosc.c[0]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2938: Warning: Identifier `\ringosc.c[1]' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2949: Warning: Identifier `\ringosc.iss.one' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2956: Warning: Identifier `\ringosc.iss.ctrl0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2962: Warning: Identifier `\ringosc.iss.d0' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2967: Warning: Identifier `\ringosc.iss.d2' is implicitly declared.
/project/openlane/digital_pll/runs/digital_pll/results/lvs/digital_pll.lvs.powered.v:2976: Warning: Identifier `\ringosc.iss.d1' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\digital_pll'.
Warnings: 378 unique messages, 378 total
End of script. Logfile hash: 93774d5859, CPU: user 1.40s system 0.02s, MEM: 20.93 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 72% 2x write_verilog (1 sec), 27% 2x read_verilog (0 sec)