blob: cc0010eabeb92bff8ce28785fdb59fca189d0ca0 [file] [log] [blame]
OpenROAD 0.9.0 d03ebfc244
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Error: cannot open '/.openroad'.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
Notice 0: Reading LEF file: /project/openlane/digital_pll/runs/digital_pll/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 438 library cells
Notice 0: Finished LEF file: /project/openlane/digital_pll/runs/digital_pll/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/digital_pll/runs/digital_pll/tmp/routing/fastroute.def
Notice 0: Design: digital_pll
Notice 0: Created 39 pins.
Notice 0: Created 588 components and 3491 component-terminals.
Notice 0: Created 2 special nets and 0 connections.
Notice 0: Created 415 nets and 1360 connections.
Notice 0: Finished DEF file: /project/openlane/digital_pll/runs/digital_pll/tmp/routing/fastroute.def
[PARAMS] Min routing layer: 2
[PARAMS] Max routing layer: 5
[PARAMS] Global adjustment: 0
[PARAMS] Unidirectional routing: 1
[PARAMS] Grid origin: (-1, -1)
[INFO] #DB Obstructions: 0
[INFO] #DB Obstacles: 18371
[INFO] #DB Macros: 0
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VPWR has wires outside die area
[WARNING] Net VGND has wires outside die area
[WARNING] Net VGND has wires outside die area
[WARNING] Net VGND has wires outside die area
[WARNING] Net VGND has wires outside die area
[WARNING] Net VGND has wires outside die area
[WARNING] Net VGND has wires outside die area
[INFO] Found 0 clock nets
[INFO] Minimum degree: 2
[INFO] Maximum degree: 25
[INFO] Processing 13628 obstacles on layer 1
[INFO] Processing 1742 obstacles on layer 2
[INFO] Processing 4 obstacles on layer 4
[INFO] Processing 8 obstacles on layer 5
[INFO] Reducing resources of layer 1 by 99%
[INFO] Reducing resources of layer 5 by 10%
[INFO] WIRELEN : 1447, WIRELEN1 : 0
[INFO] NumSeg : 860
[INFO] NumShift: 0
First L Route
[INFO] WIRELEN : 1446, WIRELEN1 : 1446
[INFO] NumSeg : 855
[INFO] NumShift: 14
[Overflow Report] Total hCap : 5955
[Overflow Report] Total vCap : 3888
[Overflow Report] Total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
Second L Route
[Overflow Report] Total hCap : 5955
[Overflow Report] Total vCap : 3888
[Overflow Report] Total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
First Z Route
[Overflow Report] Total hCap : 5955
[Overflow Report] Total vCap : 3888
[Overflow Report] Total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
[INFO] LV routing round 0, enlarge 10
[INFO] 10 threshold, 10 expand
[Overflow Report] total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
[INFO] LV routing round 1, enlarge 15
[INFO] 5 threshold, 15 expand
[Overflow Report] total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
[INFO] LV routing round 2, enlarge 20
[INFO] 1 threshold, 20 expand
[Overflow Report] total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
Usage checked
Maze routing finished
[INFO] P3 runtime: 0.000000 sec
[INFO] Final 2D results:
[Overflow Report] total Usage : 1446
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] Num Overflow e: 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
Layer Assignment Begins
Layer assignment finished
[INFO] 2D + Layer Assignment Runtime: 8.450000 sec
Post Processing Begins
Post Processsing finished
Starting via filling
[INFO] Via related to pin nodes 1782
[INFO] Via related stiner nodes 65
Via filling finished
Final usage/overflow report:
[INFO] Usage per layer:
Layer 1 usage: 0
Layer 2 usage: 811
Layer 3 usage: 644
Layer 4 usage: 0
Layer 5 usage: 0
[INFO] Capacity per layer:
Layer 1 capacity: 0
Layer 2 capacity: 3683
Layer 3 capacity: 3696
Layer 4 capacity: 2272
Layer 5 capacity: 192
[INFO] Use percentage per layer:
Layer 1 use percentage: 0.0%
Layer 2 use percentage: 22.02%
Layer 3 use percentage: 17.42%
Layer 4 use percentage: 0.00%
Layer 5 use percentage: 0.00%
[INFO] Overflow per layer:
Layer 1 overflow: 0
Layer 2 overflow: 0
Layer 3 overflow: 0
Layer 4 overflow: 0
Layer 5 overflow: 0
[Overflow Report] Total Usage : 1455
[Overflow Report] Total Capacity: 9843
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow : 0
[Overflow Report] H Overflow : 0
[Overflow Report] V Overflow : 0
[Overflow Report] Final Overflow: 0
[INFO] Final usage : 1455
[INFO] Final number of vias : 1993
[INFO] Final usage 3D : 7434
[INFO] Total wirelength: 17684 um
[INFO] Num routed nets: 415
[WARN]: No CLOCK_PORT found. Skipping STA...