blob: 4bf2a3ae243d4743db4eddb23460e91e07f0f1de [file] [log] [blame]
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="1096px" height="429px" viewBox="-0.5 -0.5 1096 429" content="&lt;mxfile host=&quot;app.diagrams.net&quot; modified=&quot;2020-09-26T18:45:47.483Z&quot; agent=&quot;5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/85.0.4183.121 Safari/537.36&quot; etag=&quot;WOqKSIe0M-g7a_Hbk3kh&quot; version=&quot;13.6.5&quot; type=&quot;google&quot;&gt;&lt;diagram id=&quot;C0dBthQBeDWn8-ZTN_Gm&quot; name=&quot;Page-1&quot;&gt;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&lt;/diagram&gt;&lt;/mxfile&gt;" style="background-color: rgb(255, 255, 255);"><defs><linearGradient x1="0%" y1="0%" x2="0%" y2="100%" id="mx-gradient-none-0-none-0-s-0"><stop offset="0%" style="stop-color:none;stop-opacity:0"/><stop offset="100%" style="stop-color:none;stop-opacity:0"/></linearGradient></defs><g><path d="M 913.63 120 L 880 120 L 860 120" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 918.88 120 L 911.88 123.5 L 913.63 120 L 911.88 116.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 913.63 105.02 L 830 105.24" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 918.88 105 L 911.89 108.52 L 913.63 105.02 L 911.87 101.52 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 875 100 L 885 100 L 885 125 L 875 125 L 875 100 Z" fill="#ffffff" stroke="none" pointer-events="all"/><path d="M 875 100 L 875 125 L 875 125 L 885 125 L 885 100 L 875 100" fill="url(#mx-gradient-none-0-none-0-s-0)" stroke="none" pointer-events="all"/><path d="M 875 100 L 885 100 L 885 125 L 875 125 L 875 100 Z" fill="none" stroke="none" pointer-events="all"/><path d="M 830 190 L 963.63 190" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 968.88 190 L 961.88 193.5 L 963.63 190 L 961.88 186.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 191px; margin-left: 921px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">BLE# (A0)</div></div></div></foreignObject><text x="921" y="194" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">BLE# (A0)</text></switch></g><path d="M 865 180 L 970 180" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 875 173 L 885 173 L 885 198 L 875 198 L 875 173 Z" fill="#ffffff" stroke="none" pointer-events="all"/><path d="M 875 173 L 875 198 L 875 198 L 885 198 L 885 173 L 875 173" fill="url(#mx-gradient-none-0-none-0-s-0)" stroke="none" pointer-events="all"/><path d="M 875 173 L 885 173 L 885 198 L 875 198 L 875 173 Z" fill="none" stroke="none" pointer-events="all"/><rect x="855" y="185" width="10" height="10" fill="#ffffff" stroke="none" pointer-events="all"/><path d="M 435 360 L 435 420 L 860 420 L 860 130 L 860 120" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 391px; margin-left: 436px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">WE#</div></div></div></foreignObject><text x="436" y="394" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">WE#</text></switch></g><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 211px; margin-left: 861px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">WE#</div></div></div></foreignObject><text x="861" y="214" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">WE#</text></switch></g><path d="M 850 380 L 870 380 L 870 410 L 850 410 L 850 380 Z" fill="#ffffff" stroke="none" pointer-events="all"/><path d="M 850 380 L 850 410 L 850 410 L 870 410 L 870 380 L 850 380" fill="url(#mx-gradient-none-0-none-0-s-0)" stroke="none" pointer-events="all"/><path d="M 850 380 L 870 380 L 870 410 L 850 410 L 850 380 Z" fill="none" stroke="none" pointer-events="all"/><path d="M 780 130 L 803.63 130" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 808.88 130 L 801.88 133.5 L 803.63 130 L 801.88 126.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><rect x="660" y="100" width="120" height="60" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 118px; height: 1px; padding-top: 130px; margin-left: 661px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">Address Latches<br />High 16 bits</div></div></div></foreignObject><text x="720" y="134" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">Address Latches...</text></switch></g><path d="M 533.63 402.5 L 490 402.5 L 490 420" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 538.88 402.5 L 531.88 406 L 533.63 402.5 L 531.88 399 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 404px; margin-left: 511px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">DIR</div></div></div></foreignObject><text x="511" y="407" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">DIR</text></switch></g><rect x="540" y="380" width="160" height="30" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 395px; margin-left: 541px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">Bidirectional Tristate Buffers</div></div></div></foreignObject><text x="620" y="399" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">Bidirectional Tristate Buf...</text></switch></g><path d="M 630 360.5 L 640.5 360.5 L 620 379.5 L 599.5 360.5 L 610 360.5 L 610 19.5 L 599.5 19.5 L 620 0.5 L 640.5 19.5 L 630 19.5 Z" fill="none" stroke="#000000" stroke-linejoin="round" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 50px; margin-left: 621px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">Shared Parallel Bus (16 bits)</div></div></div></foreignObject><text x="621" y="54" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">Shared Parallel Bus (16 bits)</text></switch></g><path d="M 630 130 L 653.63 130" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 658.88 130 L 651.88 133.5 L 653.63 130 L 651.88 126.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 630 300 L 653.63 300" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 658.88 300 L 651.88 303.5 L 653.63 300 L 651.88 296.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 780 300 L 803.63 300" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 808.88 300 L 801.88 303.5 L 803.63 300 L 801.88 296.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><rect x="660" y="270" width="120" height="60" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 118px; height: 1px; padding-top: 300px; margin-left: 661px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">Address Latches<br />Low 16 bits</div></div></div></foreignObject><text x="720" y="304" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">Address Latches...</text></switch></g><path d="M 460 360 L 460 387.5 L 533.63 387.5" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 538.88 387.5 L 531.88 391 L 533.63 387.5 L 531.88 384 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 389px; margin-left: 510px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">OE#</div></div></div></foreignObject><text x="510" y="392" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">OE#</text></switch></g><rect x="410" y="20" width="100" height="340" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 98px; height: 1px; padding-top: 190px; margin-left: 411px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">CPU</div></div></div></foreignObject><text x="460" y="194" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">CPU</text></switch></g><path d="M 529.5 110 L 529.5 120.5 L 510.5 100 L 529.5 79.5 L 529.5 90 L 590.5 90 L 590.5 79.5 L 609.5 100 L 590.5 120.5 L 590.5 110 Z" fill="none" stroke="#000000" stroke-linejoin="round" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 101px; margin-left: 560px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">IO[15:0]</div></div></div></foreignObject><text x="560" y="104" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">IO[15:0]</text></switch></g><path d="M 510 145 L 653.63 145" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 658.88 145 L 651.88 148.5 L 653.63 145 L 651.88 141.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 146px; margin-left: 542px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">ALE1</div></div></div></foreignObject><text x="542" y="149" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">ALE1</text></switch></g><path d="M 510 315.12 L 653.63 315.01" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 658.88 315 L 651.88 318.51 L 653.63 315.01 L 651.88 311.51 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 317px; margin-left: 543px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">ALE0</div></div></div></foreignObject><text x="543" y="320" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">ALE0</text></switch></g><path d="M 830 339.5 L 810 339.5 L 810 19.5 L 799.5 19.5 L 820 0.5 L 840.5 19.5 L 830 19.5 Z" fill="none" stroke="#000000" stroke-linejoin="round" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 50px; margin-left: 821px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">Address Bus<br />(Up to 32 bits)</div></div></div></foreignObject><text x="821" y="54" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">Address Bus...</text></switch></g><path d="M 719.5 405 L 719.5 415.5 L 700.5 395 L 719.5 374.5 L 719.5 385 L 1050 385 L 1050 20 L 1050 19.5 L 1039.5 19.5 L 1060 0.5 L 1080.5 19.5 L 1070 19.5 L 1070 20 L 1070 405 Z" fill="none" stroke="#000000" stroke-miterlimit="1.42" pointer-events="all"/><path d="M 719.5 405 L 719.5 415.5 L 700.5 395 L 719.5 374.5 L 719.5 385" fill="none" stroke="#000000" stroke-miterlimit="4" pointer-events="all"/><path d="M 1050 19.5 L 1039.5 19.5 L 1060 0.5 L 1080.5 19.5 L 1070 19.5" fill="none" stroke="#000000" stroke-miterlimit="4" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 51px; margin-left: 1061px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">Data Bus<br />(Up to 16 bits)</div></div></div></foreignObject><text x="1061" y="54" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">Data Bus...</text></switch></g><path d="M 1020 120 L 1043.63 119.87" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 1048.88 119.84 L 1041.9 123.38 L 1043.63 119.87 L 1041.86 116.38 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 970 156.37 L 970 253.63" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 970 151.12 L 973.5 158.12 L 970 156.37 L 966.5 158.12 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 970 258.88 L 966.5 251.88 L 970 253.63 L 973.5 251.88 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 970.02 83.63 L 970.1 60" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 970 88.88 L 966.53 81.87 L 970.02 83.63 L 973.53 81.89 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 70px; margin-left: 970px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">CS#</div></div></div></foreignObject><text x="970" y="73" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">CS#</text></switch></g><rect x="920" y="90" width="100" height="60" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 98px; height: 1px; padding-top: 120px; margin-left: 921px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">SRAM (Bank 0)</div></div></div></foreignObject><text x="970" y="124" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">SRAM (Bank 0)</text></switch></g><path d="M 913.63 275 L 860 275" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 918.88 275 L 911.88 278.5 L 913.63 275 L 911.88 271.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 1020 290 L 1043.63 289.87" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 1048.88 289.84 L 1041.9 293.38 L 1043.63 289.87 L 1041.86 286.38 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 945 253.63 L 945 240 L 880 240 L 880 40 L 920 40" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 945 258.88 L 941.5 251.88 L 945 253.63 L 948.5 251.88 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 241px; margin-left: 911px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">CS#</div></div></div></foreignObject><text x="911" y="244" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">CS#</text></switch></g><rect x="920" y="260" width="100" height="60" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 98px; height: 1px; padding-top: 290px; margin-left: 921px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">Flash (Bank 1)</div></div></div></foreignObject><text x="970" y="294" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">Flash (Bank 1)</text></switch></g><path d="M 850 285 L 870 285 L 870 295 L 850 295 L 850 285 Z" fill="#ffffff" stroke="none" pointer-events="all"/><path d="M 850 285 L 850 295 L 850 295 L 870 295 L 870 285 L 850 285" fill="url(#mx-gradient-none-0-none-0-s-0)" stroke="none" pointer-events="all"/><path d="M 850 285 L 870 285 L 870 295 L 850 295 L 850 285 Z" fill="none" stroke="none" pointer-events="all"/><path d="M 913.63 290 L 830 290" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 918.88 290 L 911.88 293.5 L 913.63 290 L 911.88 286.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><path d="M 510 180 L 855 180" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 191px; margin-left: 721px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">BHE#</div></div></div></foreignObject><text x="721" y="194" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">BHE#</text></switch></g><rect x="0" y="100" width="360" height="210" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe flex-start; justify-content: unsafe flex-start; width: 352px; height: 1px; padding-top: 90px; margin-left: 5px;"><div style="box-sizing: border-box; font-size: 0; text-align: left; max-height: 220px; overflow: hidden; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; "><h1>Memory Decode Unit Block Diagram</h1><p>This shows a simple memory configuration with parallel memory chips. Each chip is selected by the upper address bits, thus forming "banks" in memory space.</p><p>The specific implementation is up to the wiring and programmer to account for the memory topography. As long as the latches and banks conform to the timing requirements, it will work.</p></div></div></div></foreignObject><text x="5" y="102" fill="#000000" font-family="Helvetica" font-size="12px">Memory Decode Unit Block Diagram...</text></switch></g><rect x="920" y="20" width="70" height="40" fill="#ffffff" stroke="#000000" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 68px; height: 1px; padding-top: 40px; margin-left: 921px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; white-space: normal; word-wrap: normal; ">DEMUX</div></div></div></foreignObject><text x="955" y="44" fill="#000000" font-family="Helvetica" font-size="12px" text-anchor="middle">DEMUX</text></switch></g><path d="M 830 30 L 860 30 Q 870 30 880 30 L 913.63 30" fill="none" stroke="#000000" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 918.88 30 L 911.88 33.5 L 913.63 30 L 911.88 26.5 Z" fill="#000000" stroke="#000000" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject style="overflow: visible; text-align: left;" pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 1px; height: 1px; padding-top: 31px; margin-left: 881px;"><div style="box-sizing: border-box; font-size: 0; text-align: center; "><div style="display: inline-block; font-size: 11px; font-family: Helvetica; color: #000000; line-height: 1.2; pointer-events: all; background-color: #ffffff; white-space: nowrap; ">[31:29]</div></div></div></foreignObject><text x="881" y="34" fill="#000000" font-family="Helvetica" font-size="11px" text-anchor="middle">[31:29]</text></switch></g></g><switch><g requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"/><a transform="translate(0,-5)" xlink:href="https://desk.draw.io/support/solutions/articles/16000042487" target="_blank"><text text-anchor="middle" font-size="10px" x="50%" y="100%">Viewer does not support full SVG 1.1</text></a></switch></svg>