blob: c85d5426c15fde0fa25db7fb2b4f10d80a407ff7 [file] [log] [blame]
OpenSTA 2.2.0 0d73b5b65a Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ff_n40C_5v50.lib, line 34 default_operating_condition ff_n40C_5v50 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ss_150C_1v65.lib, line 36 default_operating_condition ss_150C_1v65 not found.
Warning: /project/openlane/simple_por/runs/simple_por/results/synthesis/simple_por.synthesis_preroute.v, line 18 module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_4.
Warning: /project/openlane/simple_por/runs/simple_por/results/synthesis/simple_por.synthesis_preroute.v, line 19 module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_6.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
Error: base.sdc, 1 can't read "::env(CLOCK_PORT)": no such variable
tns 0.00
wns 0.00