blob: 35662c8e54cf39f1128d25911d60fcd828d157f6 [file] [log] [blame]
OpenROAD 0.9.0 e582f2522b
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Notice 0: Reading LEF file: /project/openlane/simple_por/runs/simple_por/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 69 library cells
Notice 0: Finished LEF file: /project/openlane/simple_por/runs/simple_por/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/simple_por/runs/simple_por/tmp/floorplan/ioPlacer.def
Notice 0: Design: simple_por
Notice 0: Created 3 pins.
Notice 0: Created 3 components and 18 component-terminals.
Notice 0: Created 5 nets and 5 connections.
Notice 0: Finished DEF file: /project/openlane/simple_por/runs/simple_por/tmp/floorplan/ioPlacer.def
[INFO] DBU = 1000
[INFO] SiteSize = (480, 4070)
[INFO] CoreAreaLxLy = (5760, 16280)
[INFO] CoreAreaUxUy = (18720, 28490)
[INFO] NumInstances = 3
[INFO] NumPlaceInstances = 3
[INFO] NumFixedInstances = 0
[INFO] NumDummyInstances = 0
[INFO] NumNets = 5
[INFO] NumPins = 8
[INFO] DieAreaLxLy = (0, 0)
[INFO] DieAreaUxUy = (24780, 45820)
[INFO] CoreAreaLxLy = (5760, 16280)
[INFO] CoreAreaUxUy = (18720, 28490)
[INFO] CoreArea = 158241600
[INFO] NonPlaceInstsArea = 0
[INFO] PlaceInstsArea = 52747200
[INFO] Util(%) = 33.333336
[INFO] StdInstsArea = 52747200
[INFO] MacroInstsArea = 0
[InitialPlace] Iter: 1 CG Error: 3.45211e-09 HPWL: 80500
[InitialPlace] Iter: 2 CG Error: 9.08635e-08 HPWL: 39611
[InitialPlace] Iter: 3 CG Error: 9.08635e-08 HPWL: 39610
[InitialPlace] Iter: 4 CG Error: 9.08635e-08 HPWL: 39610
[InitialPlace] Iter: 5 CG Error: 9.08635e-08 HPWL: 39610
[INFO] FillerInit: NumGCells = 4
[INFO] FillerInit: NumGNets = 5
[INFO] FillerInit: NumGPins = 8
[INFO] TargetDensity = 0.500000
[INFO] AveragePlaceInstArea = 17582400
[INFO] IdealBinArea = 35164800
[INFO] IdealBinCnt = 4
[INFO] TotalBinArea = 158241600
[INFO] BinCnt = (2, 2)
[INFO] BinSize = (6480, 6105)
[INFO] NumBins = 4
[NesterovSolve] Iter: 1 overflow: 0.123104 HPWL: 28197
[NesterovSolve] Iter: 10 overflow: 0.117056 HPWL: 28059
[NesterovSolve] Iter: 20 overflow: 0.117068 HPWL: 28056
[NesterovSolve] Iter: 30 overflow: 0.11715 HPWL: 28055
[NesterovSolve] Iter: 40 overflow: 0.117132 HPWL: 28056
[NesterovSolve] Iter: 50 overflow: 0.117098 HPWL: 28058
[NesterovSolve] Iter: 60 overflow: 0.117065 HPWL: 28060
[NesterovSolve] Iter: 70 overflow: 0.117047 HPWL: 28063
[NesterovSolve] Iter: 80 overflow: 0.116944 HPWL: 28073
[NesterovSolve] Iter: 90 overflow: 0.116874 HPWL: 28081
[NesterovSolve] Iter: 100 overflow: 0.116704 HPWL: 28095
[NesterovSolve] Iter: 110 overflow: 0.116516 HPWL: 28112
[NesterovSolve] Iter: 120 overflow: 0.116273 HPWL: 28138
[NesterovSolve] Iter: 130 overflow: 0.116012 HPWL: 28167
[NesterovSolve] Iter: 140 overflow: 0.116073 HPWL: 28108
[NesterovSolve] Iter: 150 overflow: 0.115767 HPWL: 28089
[NesterovSolve] Iter: 160 overflow: 0.115124 HPWL: 28105
[NesterovSolve] Iter: 170 overflow: 0.114174 HPWL: 28128
[NesterovSolve] Iter: 180 overflow: 0.112855 HPWL: 28160
[NesterovSolve] Iter: 190 overflow: 0.111004 HPWL: 28205
[NesterovSolve] Iter: 200 overflow: 0.108432 HPWL: 28269
[NesterovSolve] Iter: 210 overflow: 0.104974 HPWL: 28354
[NesterovSolve] Iter: 220 overflow: 0.100488 HPWL: 28465
[NesterovSolve] Finished with Overflow: 0.0999235
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ss_150C_1v65.lib, line 36 default_operating_condition ss_150C_1v65 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ff_n40C_5v50.lib, line 34 default_operating_condition ff_n40C_5v50 not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
Error: base.sdc, 1 can't read "::env(CLOCK_PORT)": no such variable