blob: 5ddd6c3f34ff04b23ecd7c177bfb575238faa158 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 2000 ;
DIEAREA ( 0 0 ) ( 6000000 6000000 ) ;
ROW ROW_0 GF018hv5v_mcu_sc7 13440 31360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_1 GF018hv5v_mcu_sc7 13440 39200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_2 GF018hv5v_mcu_sc7 13440 47040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_3 GF018hv5v_mcu_sc7 13440 54880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_4 GF018hv5v_mcu_sc7 13440 62720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_5 GF018hv5v_mcu_sc7 13440 70560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_6 GF018hv5v_mcu_sc7 13440 78400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_7 GF018hv5v_mcu_sc7 13440 86240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_8 GF018hv5v_mcu_sc7 13440 94080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_9 GF018hv5v_mcu_sc7 13440 101920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_10 GF018hv5v_mcu_sc7 13440 109760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_11 GF018hv5v_mcu_sc7 13440 117600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_12 GF018hv5v_mcu_sc7 13440 125440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_13 GF018hv5v_mcu_sc7 13440 133280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_14 GF018hv5v_mcu_sc7 13440 141120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_15 GF018hv5v_mcu_sc7 13440 148960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_16 GF018hv5v_mcu_sc7 13440 156800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_17 GF018hv5v_mcu_sc7 13440 164640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_18 GF018hv5v_mcu_sc7 13440 172480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_19 GF018hv5v_mcu_sc7 13440 180320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_20 GF018hv5v_mcu_sc7 13440 188160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_21 GF018hv5v_mcu_sc7 13440 196000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_22 GF018hv5v_mcu_sc7 13440 203840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_23 GF018hv5v_mcu_sc7 13440 211680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_24 GF018hv5v_mcu_sc7 13440 219520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_25 GF018hv5v_mcu_sc7 13440 227360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_26 GF018hv5v_mcu_sc7 13440 235200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_27 GF018hv5v_mcu_sc7 13440 243040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_28 GF018hv5v_mcu_sc7 13440 250880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_29 GF018hv5v_mcu_sc7 13440 258720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_30 GF018hv5v_mcu_sc7 13440 266560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_31 GF018hv5v_mcu_sc7 13440 274400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_32 GF018hv5v_mcu_sc7 13440 282240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_33 GF018hv5v_mcu_sc7 13440 290080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_34 GF018hv5v_mcu_sc7 13440 297920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_35 GF018hv5v_mcu_sc7 13440 305760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_36 GF018hv5v_mcu_sc7 13440 313600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_37 GF018hv5v_mcu_sc7 13440 321440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_38 GF018hv5v_mcu_sc7 13440 329280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_39 GF018hv5v_mcu_sc7 13440 337120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_40 GF018hv5v_mcu_sc7 13440 344960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_41 GF018hv5v_mcu_sc7 13440 352800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_42 GF018hv5v_mcu_sc7 13440 360640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_43 GF018hv5v_mcu_sc7 13440 368480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_44 GF018hv5v_mcu_sc7 13440 376320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_45 GF018hv5v_mcu_sc7 13440 384160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_46 GF018hv5v_mcu_sc7 13440 392000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_47 GF018hv5v_mcu_sc7 13440 399840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_48 GF018hv5v_mcu_sc7 13440 407680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_49 GF018hv5v_mcu_sc7 13440 415520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_50 GF018hv5v_mcu_sc7 13440 423360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_51 GF018hv5v_mcu_sc7 13440 431200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_52 GF018hv5v_mcu_sc7 13440 439040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_53 GF018hv5v_mcu_sc7 13440 446880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_54 GF018hv5v_mcu_sc7 13440 454720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_55 GF018hv5v_mcu_sc7 13440 462560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_56 GF018hv5v_mcu_sc7 13440 470400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_57 GF018hv5v_mcu_sc7 13440 478240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_58 GF018hv5v_mcu_sc7 13440 486080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_59 GF018hv5v_mcu_sc7 13440 493920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_60 GF018hv5v_mcu_sc7 13440 501760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_61 GF018hv5v_mcu_sc7 13440 509600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_62 GF018hv5v_mcu_sc7 13440 517440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_63 GF018hv5v_mcu_sc7 13440 525280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_64 GF018hv5v_mcu_sc7 13440 533120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_65 GF018hv5v_mcu_sc7 13440 540960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_66 GF018hv5v_mcu_sc7 13440 548800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_67 GF018hv5v_mcu_sc7 13440 556640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_68 GF018hv5v_mcu_sc7 13440 564480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_69 GF018hv5v_mcu_sc7 13440 572320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_70 GF018hv5v_mcu_sc7 13440 580160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_71 GF018hv5v_mcu_sc7 13440 588000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_72 GF018hv5v_mcu_sc7 13440 595840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_73 GF018hv5v_mcu_sc7 13440 603680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_74 GF018hv5v_mcu_sc7 13440 611520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_75 GF018hv5v_mcu_sc7 13440 619360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_76 GF018hv5v_mcu_sc7 13440 627200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_77 GF018hv5v_mcu_sc7 13440 635040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_78 GF018hv5v_mcu_sc7 13440 642880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_79 GF018hv5v_mcu_sc7 13440 650720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_80 GF018hv5v_mcu_sc7 13440 658560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_81 GF018hv5v_mcu_sc7 13440 666400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_82 GF018hv5v_mcu_sc7 13440 674240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_83 GF018hv5v_mcu_sc7 13440 682080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_84 GF018hv5v_mcu_sc7 13440 689920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_85 GF018hv5v_mcu_sc7 13440 697760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_86 GF018hv5v_mcu_sc7 13440 705600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_87 GF018hv5v_mcu_sc7 13440 713440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_88 GF018hv5v_mcu_sc7 13440 721280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_89 GF018hv5v_mcu_sc7 13440 729120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_90 GF018hv5v_mcu_sc7 13440 736960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_91 GF018hv5v_mcu_sc7 13440 744800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_92 GF018hv5v_mcu_sc7 13440 752640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_93 GF018hv5v_mcu_sc7 13440 760480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_94 GF018hv5v_mcu_sc7 13440 768320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_95 GF018hv5v_mcu_sc7 13440 776160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_96 GF018hv5v_mcu_sc7 13440 784000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_97 GF018hv5v_mcu_sc7 13440 791840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_98 GF018hv5v_mcu_sc7 13440 799680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_99 GF018hv5v_mcu_sc7 13440 807520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_100 GF018hv5v_mcu_sc7 13440 815360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_101 GF018hv5v_mcu_sc7 13440 823200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_102 GF018hv5v_mcu_sc7 13440 831040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_103 GF018hv5v_mcu_sc7 13440 838880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_104 GF018hv5v_mcu_sc7 13440 846720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_105 GF018hv5v_mcu_sc7 13440 854560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_106 GF018hv5v_mcu_sc7 13440 862400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_107 GF018hv5v_mcu_sc7 13440 870240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_108 GF018hv5v_mcu_sc7 13440 878080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_109 GF018hv5v_mcu_sc7 13440 885920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_110 GF018hv5v_mcu_sc7 13440 893760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_111 GF018hv5v_mcu_sc7 13440 901600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_112 GF018hv5v_mcu_sc7 13440 909440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_113 GF018hv5v_mcu_sc7 13440 917280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_114 GF018hv5v_mcu_sc7 13440 925120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_115 GF018hv5v_mcu_sc7 13440 932960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_116 GF018hv5v_mcu_sc7 13440 940800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_117 GF018hv5v_mcu_sc7 13440 948640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_118 GF018hv5v_mcu_sc7 13440 956480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_119 GF018hv5v_mcu_sc7 13440 964320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_120 GF018hv5v_mcu_sc7 13440 972160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_121 GF018hv5v_mcu_sc7 13440 980000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_122 GF018hv5v_mcu_sc7 13440 987840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_123 GF018hv5v_mcu_sc7 13440 995680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_124 GF018hv5v_mcu_sc7 13440 1003520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_125 GF018hv5v_mcu_sc7 13440 1011360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_126 GF018hv5v_mcu_sc7 13440 1019200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_127 GF018hv5v_mcu_sc7 13440 1027040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_128 GF018hv5v_mcu_sc7 13440 1034880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_129 GF018hv5v_mcu_sc7 13440 1042720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_130 GF018hv5v_mcu_sc7 13440 1050560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_131 GF018hv5v_mcu_sc7 13440 1058400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_132 GF018hv5v_mcu_sc7 13440 1066240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_133 GF018hv5v_mcu_sc7 13440 1074080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_134 GF018hv5v_mcu_sc7 13440 1081920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_135 GF018hv5v_mcu_sc7 13440 1089760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_136 GF018hv5v_mcu_sc7 13440 1097600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_137 GF018hv5v_mcu_sc7 13440 1105440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_138 GF018hv5v_mcu_sc7 13440 1113280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_139 GF018hv5v_mcu_sc7 13440 1121120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_140 GF018hv5v_mcu_sc7 13440 1128960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_141 GF018hv5v_mcu_sc7 13440 1136800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_142 GF018hv5v_mcu_sc7 13440 1144640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_143 GF018hv5v_mcu_sc7 13440 1152480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_144 GF018hv5v_mcu_sc7 13440 1160320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_145 GF018hv5v_mcu_sc7 13440 1168160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_146 GF018hv5v_mcu_sc7 13440 1176000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_147 GF018hv5v_mcu_sc7 13440 1183840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_148 GF018hv5v_mcu_sc7 13440 1191680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_149 GF018hv5v_mcu_sc7 13440 1199520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_150 GF018hv5v_mcu_sc7 13440 1207360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_151 GF018hv5v_mcu_sc7 13440 1215200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_152 GF018hv5v_mcu_sc7 13440 1223040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_153 GF018hv5v_mcu_sc7 13440 1230880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_154 GF018hv5v_mcu_sc7 13440 1238720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_155 GF018hv5v_mcu_sc7 13440 1246560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_156 GF018hv5v_mcu_sc7 13440 1254400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_157 GF018hv5v_mcu_sc7 13440 1262240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_158 GF018hv5v_mcu_sc7 13440 1270080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_159 GF018hv5v_mcu_sc7 13440 1277920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_160 GF018hv5v_mcu_sc7 13440 1285760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_161 GF018hv5v_mcu_sc7 13440 1293600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_162 GF018hv5v_mcu_sc7 13440 1301440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_163 GF018hv5v_mcu_sc7 13440 1309280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_164 GF018hv5v_mcu_sc7 13440 1317120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_165 GF018hv5v_mcu_sc7 13440 1324960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_166 GF018hv5v_mcu_sc7 13440 1332800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_167 GF018hv5v_mcu_sc7 13440 1340640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_168 GF018hv5v_mcu_sc7 13440 1348480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_169 GF018hv5v_mcu_sc7 13440 1356320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_170 GF018hv5v_mcu_sc7 13440 1364160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_171 GF018hv5v_mcu_sc7 13440 1372000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_172 GF018hv5v_mcu_sc7 13440 1379840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_173 GF018hv5v_mcu_sc7 13440 1387680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_174 GF018hv5v_mcu_sc7 13440 1395520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_175 GF018hv5v_mcu_sc7 13440 1403360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_176 GF018hv5v_mcu_sc7 13440 1411200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_177 GF018hv5v_mcu_sc7 13440 1419040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_178 GF018hv5v_mcu_sc7 13440 1426880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_179 GF018hv5v_mcu_sc7 13440 1434720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_180 GF018hv5v_mcu_sc7 13440 1442560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_181 GF018hv5v_mcu_sc7 13440 1450400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_182 GF018hv5v_mcu_sc7 13440 1458240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_183 GF018hv5v_mcu_sc7 13440 1466080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_184 GF018hv5v_mcu_sc7 13440 1473920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_185 GF018hv5v_mcu_sc7 13440 1481760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_186 GF018hv5v_mcu_sc7 13440 1489600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_187 GF018hv5v_mcu_sc7 13440 1497440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_188 GF018hv5v_mcu_sc7 13440 1505280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_189 GF018hv5v_mcu_sc7 13440 1513120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_190 GF018hv5v_mcu_sc7 13440 1520960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_191 GF018hv5v_mcu_sc7 13440 1528800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_192 GF018hv5v_mcu_sc7 13440 1536640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_193 GF018hv5v_mcu_sc7 13440 1544480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_194 GF018hv5v_mcu_sc7 13440 1552320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_195 GF018hv5v_mcu_sc7 13440 1560160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_196 GF018hv5v_mcu_sc7 13440 1568000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_197 GF018hv5v_mcu_sc7 13440 1575840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_198 GF018hv5v_mcu_sc7 13440 1583680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_199 GF018hv5v_mcu_sc7 13440 1591520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_200 GF018hv5v_mcu_sc7 13440 1599360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_201 GF018hv5v_mcu_sc7 13440 1607200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_202 GF018hv5v_mcu_sc7 13440 1615040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_203 GF018hv5v_mcu_sc7 13440 1622880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_204 GF018hv5v_mcu_sc7 13440 1630720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_205 GF018hv5v_mcu_sc7 13440 1638560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_206 GF018hv5v_mcu_sc7 13440 1646400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_207 GF018hv5v_mcu_sc7 13440 1654240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_208 GF018hv5v_mcu_sc7 13440 1662080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_209 GF018hv5v_mcu_sc7 13440 1669920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_210 GF018hv5v_mcu_sc7 13440 1677760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_211 GF018hv5v_mcu_sc7 13440 1685600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_212 GF018hv5v_mcu_sc7 13440 1693440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_213 GF018hv5v_mcu_sc7 13440 1701280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_214 GF018hv5v_mcu_sc7 13440 1709120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_215 GF018hv5v_mcu_sc7 13440 1716960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_216 GF018hv5v_mcu_sc7 13440 1724800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_217 GF018hv5v_mcu_sc7 13440 1732640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_218 GF018hv5v_mcu_sc7 13440 1740480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_219 GF018hv5v_mcu_sc7 13440 1748320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_220 GF018hv5v_mcu_sc7 13440 1756160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_221 GF018hv5v_mcu_sc7 13440 1764000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_222 GF018hv5v_mcu_sc7 13440 1771840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_223 GF018hv5v_mcu_sc7 13440 1779680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_224 GF018hv5v_mcu_sc7 13440 1787520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_225 GF018hv5v_mcu_sc7 13440 1795360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_226 GF018hv5v_mcu_sc7 13440 1803200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_227 GF018hv5v_mcu_sc7 13440 1811040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_228 GF018hv5v_mcu_sc7 13440 1818880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_229 GF018hv5v_mcu_sc7 13440 1826720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_230 GF018hv5v_mcu_sc7 13440 1834560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_231 GF018hv5v_mcu_sc7 13440 1842400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_232 GF018hv5v_mcu_sc7 13440 1850240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_233 GF018hv5v_mcu_sc7 13440 1858080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_234 GF018hv5v_mcu_sc7 13440 1865920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_235 GF018hv5v_mcu_sc7 13440 1873760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_236 GF018hv5v_mcu_sc7 13440 1881600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_237 GF018hv5v_mcu_sc7 13440 1889440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_238 GF018hv5v_mcu_sc7 13440 1897280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_239 GF018hv5v_mcu_sc7 13440 1905120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_240 GF018hv5v_mcu_sc7 13440 1912960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_241 GF018hv5v_mcu_sc7 13440 1920800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_242 GF018hv5v_mcu_sc7 13440 1928640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_243 GF018hv5v_mcu_sc7 13440 1936480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_244 GF018hv5v_mcu_sc7 13440 1944320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_245 GF018hv5v_mcu_sc7 13440 1952160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_246 GF018hv5v_mcu_sc7 13440 1960000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_247 GF018hv5v_mcu_sc7 13440 1967840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_248 GF018hv5v_mcu_sc7 13440 1975680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_249 GF018hv5v_mcu_sc7 13440 1983520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_250 GF018hv5v_mcu_sc7 13440 1991360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_251 GF018hv5v_mcu_sc7 13440 1999200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_252 GF018hv5v_mcu_sc7 13440 2007040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_253 GF018hv5v_mcu_sc7 13440 2014880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_254 GF018hv5v_mcu_sc7 13440 2022720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_255 GF018hv5v_mcu_sc7 13440 2030560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_256 GF018hv5v_mcu_sc7 13440 2038400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_257 GF018hv5v_mcu_sc7 13440 2046240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_258 GF018hv5v_mcu_sc7 13440 2054080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_259 GF018hv5v_mcu_sc7 13440 2061920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_260 GF018hv5v_mcu_sc7 13440 2069760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_261 GF018hv5v_mcu_sc7 13440 2077600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_262 GF018hv5v_mcu_sc7 13440 2085440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_263 GF018hv5v_mcu_sc7 13440 2093280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_264 GF018hv5v_mcu_sc7 13440 2101120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_265 GF018hv5v_mcu_sc7 13440 2108960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_266 GF018hv5v_mcu_sc7 13440 2116800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_267 GF018hv5v_mcu_sc7 13440 2124640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_268 GF018hv5v_mcu_sc7 13440 2132480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_269 GF018hv5v_mcu_sc7 13440 2140320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_270 GF018hv5v_mcu_sc7 13440 2148160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_271 GF018hv5v_mcu_sc7 13440 2156000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_272 GF018hv5v_mcu_sc7 13440 2163840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_273 GF018hv5v_mcu_sc7 13440 2171680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_274 GF018hv5v_mcu_sc7 13440 2179520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_275 GF018hv5v_mcu_sc7 13440 2187360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_276 GF018hv5v_mcu_sc7 13440 2195200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_277 GF018hv5v_mcu_sc7 13440 2203040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_278 GF018hv5v_mcu_sc7 13440 2210880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_279 GF018hv5v_mcu_sc7 13440 2218720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_280 GF018hv5v_mcu_sc7 13440 2226560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_281 GF018hv5v_mcu_sc7 13440 2234400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_282 GF018hv5v_mcu_sc7 13440 2242240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_283 GF018hv5v_mcu_sc7 13440 2250080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_284 GF018hv5v_mcu_sc7 13440 2257920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_285 GF018hv5v_mcu_sc7 13440 2265760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_286 GF018hv5v_mcu_sc7 13440 2273600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_287 GF018hv5v_mcu_sc7 13440 2281440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_288 GF018hv5v_mcu_sc7 13440 2289280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_289 GF018hv5v_mcu_sc7 13440 2297120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_290 GF018hv5v_mcu_sc7 13440 2304960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_291 GF018hv5v_mcu_sc7 13440 2312800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_292 GF018hv5v_mcu_sc7 13440 2320640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_293 GF018hv5v_mcu_sc7 13440 2328480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_294 GF018hv5v_mcu_sc7 13440 2336320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_295 GF018hv5v_mcu_sc7 13440 2344160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_296 GF018hv5v_mcu_sc7 13440 2352000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_297 GF018hv5v_mcu_sc7 13440 2359840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_298 GF018hv5v_mcu_sc7 13440 2367680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_299 GF018hv5v_mcu_sc7 13440 2375520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_300 GF018hv5v_mcu_sc7 13440 2383360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_301 GF018hv5v_mcu_sc7 13440 2391200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_302 GF018hv5v_mcu_sc7 13440 2399040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_303 GF018hv5v_mcu_sc7 13440 2406880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_304 GF018hv5v_mcu_sc7 13440 2414720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_305 GF018hv5v_mcu_sc7 13440 2422560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_306 GF018hv5v_mcu_sc7 13440 2430400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_307 GF018hv5v_mcu_sc7 13440 2438240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_308 GF018hv5v_mcu_sc7 13440 2446080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_309 GF018hv5v_mcu_sc7 13440 2453920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_310 GF018hv5v_mcu_sc7 13440 2461760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_311 GF018hv5v_mcu_sc7 13440 2469600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_312 GF018hv5v_mcu_sc7 13440 2477440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_313 GF018hv5v_mcu_sc7 13440 2485280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_314 GF018hv5v_mcu_sc7 13440 2493120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_315 GF018hv5v_mcu_sc7 13440 2500960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_316 GF018hv5v_mcu_sc7 13440 2508800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_317 GF018hv5v_mcu_sc7 13440 2516640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_318 GF018hv5v_mcu_sc7 13440 2524480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_319 GF018hv5v_mcu_sc7 13440 2532320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_320 GF018hv5v_mcu_sc7 13440 2540160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_321 GF018hv5v_mcu_sc7 13440 2548000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_322 GF018hv5v_mcu_sc7 13440 2555840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_323 GF018hv5v_mcu_sc7 13440 2563680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_324 GF018hv5v_mcu_sc7 13440 2571520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_325 GF018hv5v_mcu_sc7 13440 2579360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_326 GF018hv5v_mcu_sc7 13440 2587200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_327 GF018hv5v_mcu_sc7 13440 2595040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_328 GF018hv5v_mcu_sc7 13440 2602880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_329 GF018hv5v_mcu_sc7 13440 2610720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_330 GF018hv5v_mcu_sc7 13440 2618560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_331 GF018hv5v_mcu_sc7 13440 2626400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_332 GF018hv5v_mcu_sc7 13440 2634240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_333 GF018hv5v_mcu_sc7 13440 2642080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_334 GF018hv5v_mcu_sc7 13440 2649920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_335 GF018hv5v_mcu_sc7 13440 2657760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_336 GF018hv5v_mcu_sc7 13440 2665600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_337 GF018hv5v_mcu_sc7 13440 2673440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_338 GF018hv5v_mcu_sc7 13440 2681280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_339 GF018hv5v_mcu_sc7 13440 2689120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_340 GF018hv5v_mcu_sc7 13440 2696960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_341 GF018hv5v_mcu_sc7 13440 2704800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_342 GF018hv5v_mcu_sc7 13440 2712640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_343 GF018hv5v_mcu_sc7 13440 2720480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_344 GF018hv5v_mcu_sc7 13440 2728320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_345 GF018hv5v_mcu_sc7 13440 2736160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_346 GF018hv5v_mcu_sc7 13440 2744000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_347 GF018hv5v_mcu_sc7 13440 2751840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_348 GF018hv5v_mcu_sc7 13440 2759680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_349 GF018hv5v_mcu_sc7 13440 2767520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_350 GF018hv5v_mcu_sc7 13440 2775360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_351 GF018hv5v_mcu_sc7 13440 2783200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_352 GF018hv5v_mcu_sc7 13440 2791040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_353 GF018hv5v_mcu_sc7 13440 2798880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_354 GF018hv5v_mcu_sc7 13440 2806720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_355 GF018hv5v_mcu_sc7 13440 2814560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_356 GF018hv5v_mcu_sc7 13440 2822400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_357 GF018hv5v_mcu_sc7 13440 2830240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_358 GF018hv5v_mcu_sc7 13440 2838080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_359 GF018hv5v_mcu_sc7 13440 2845920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_360 GF018hv5v_mcu_sc7 13440 2853760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_361 GF018hv5v_mcu_sc7 13440 2861600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_362 GF018hv5v_mcu_sc7 13440 2869440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_363 GF018hv5v_mcu_sc7 13440 2877280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_364 GF018hv5v_mcu_sc7 13440 2885120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_365 GF018hv5v_mcu_sc7 13440 2892960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_366 GF018hv5v_mcu_sc7 13440 2900800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_367 GF018hv5v_mcu_sc7 13440 2908640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_368 GF018hv5v_mcu_sc7 13440 2916480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_369 GF018hv5v_mcu_sc7 13440 2924320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_370 GF018hv5v_mcu_sc7 13440 2932160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_371 GF018hv5v_mcu_sc7 13440 2940000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_372 GF018hv5v_mcu_sc7 13440 2947840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_373 GF018hv5v_mcu_sc7 13440 2955680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_374 GF018hv5v_mcu_sc7 13440 2963520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_375 GF018hv5v_mcu_sc7 13440 2971360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_376 GF018hv5v_mcu_sc7 13440 2979200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_377 GF018hv5v_mcu_sc7 13440 2987040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_378 GF018hv5v_mcu_sc7 13440 2994880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_379 GF018hv5v_mcu_sc7 13440 3002720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_380 GF018hv5v_mcu_sc7 13440 3010560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_381 GF018hv5v_mcu_sc7 13440 3018400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_382 GF018hv5v_mcu_sc7 13440 3026240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_383 GF018hv5v_mcu_sc7 13440 3034080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_384 GF018hv5v_mcu_sc7 13440 3041920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_385 GF018hv5v_mcu_sc7 13440 3049760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_386 GF018hv5v_mcu_sc7 13440 3057600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_387 GF018hv5v_mcu_sc7 13440 3065440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_388 GF018hv5v_mcu_sc7 13440 3073280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_389 GF018hv5v_mcu_sc7 13440 3081120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_390 GF018hv5v_mcu_sc7 13440 3088960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_391 GF018hv5v_mcu_sc7 13440 3096800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_392 GF018hv5v_mcu_sc7 13440 3104640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_393 GF018hv5v_mcu_sc7 13440 3112480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_394 GF018hv5v_mcu_sc7 13440 3120320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_395 GF018hv5v_mcu_sc7 13440 3128160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_396 GF018hv5v_mcu_sc7 13440 3136000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_397 GF018hv5v_mcu_sc7 13440 3143840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_398 GF018hv5v_mcu_sc7 13440 3151680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_399 GF018hv5v_mcu_sc7 13440 3159520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_400 GF018hv5v_mcu_sc7 13440 3167360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_401 GF018hv5v_mcu_sc7 13440 3175200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_402 GF018hv5v_mcu_sc7 13440 3183040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_403 GF018hv5v_mcu_sc7 13440 3190880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_404 GF018hv5v_mcu_sc7 13440 3198720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_405 GF018hv5v_mcu_sc7 13440 3206560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_406 GF018hv5v_mcu_sc7 13440 3214400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_407 GF018hv5v_mcu_sc7 13440 3222240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_408 GF018hv5v_mcu_sc7 13440 3230080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_409 GF018hv5v_mcu_sc7 13440 3237920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_410 GF018hv5v_mcu_sc7 13440 3245760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_411 GF018hv5v_mcu_sc7 13440 3253600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_412 GF018hv5v_mcu_sc7 13440 3261440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_413 GF018hv5v_mcu_sc7 13440 3269280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_414 GF018hv5v_mcu_sc7 13440 3277120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_415 GF018hv5v_mcu_sc7 13440 3284960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_416 GF018hv5v_mcu_sc7 13440 3292800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_417 GF018hv5v_mcu_sc7 13440 3300640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_418 GF018hv5v_mcu_sc7 13440 3308480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_419 GF018hv5v_mcu_sc7 13440 3316320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_420 GF018hv5v_mcu_sc7 13440 3324160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_421 GF018hv5v_mcu_sc7 13440 3332000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_422 GF018hv5v_mcu_sc7 13440 3339840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_423 GF018hv5v_mcu_sc7 13440 3347680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_424 GF018hv5v_mcu_sc7 13440 3355520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_425 GF018hv5v_mcu_sc7 13440 3363360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_426 GF018hv5v_mcu_sc7 13440 3371200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_427 GF018hv5v_mcu_sc7 13440 3379040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_428 GF018hv5v_mcu_sc7 13440 3386880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_429 GF018hv5v_mcu_sc7 13440 3394720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_430 GF018hv5v_mcu_sc7 13440 3402560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_431 GF018hv5v_mcu_sc7 13440 3410400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_432 GF018hv5v_mcu_sc7 13440 3418240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_433 GF018hv5v_mcu_sc7 13440 3426080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_434 GF018hv5v_mcu_sc7 13440 3433920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_435 GF018hv5v_mcu_sc7 13440 3441760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_436 GF018hv5v_mcu_sc7 13440 3449600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_437 GF018hv5v_mcu_sc7 13440 3457440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_438 GF018hv5v_mcu_sc7 13440 3465280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_439 GF018hv5v_mcu_sc7 13440 3473120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_440 GF018hv5v_mcu_sc7 13440 3480960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_441 GF018hv5v_mcu_sc7 13440 3488800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_442 GF018hv5v_mcu_sc7 13440 3496640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_443 GF018hv5v_mcu_sc7 13440 3504480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_444 GF018hv5v_mcu_sc7 13440 3512320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_445 GF018hv5v_mcu_sc7 13440 3520160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_446 GF018hv5v_mcu_sc7 13440 3528000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_447 GF018hv5v_mcu_sc7 13440 3535840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_448 GF018hv5v_mcu_sc7 13440 3543680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_449 GF018hv5v_mcu_sc7 13440 3551520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_450 GF018hv5v_mcu_sc7 13440 3559360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_451 GF018hv5v_mcu_sc7 13440 3567200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_452 GF018hv5v_mcu_sc7 13440 3575040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_453 GF018hv5v_mcu_sc7 13440 3582880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_454 GF018hv5v_mcu_sc7 13440 3590720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_455 GF018hv5v_mcu_sc7 13440 3598560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_456 GF018hv5v_mcu_sc7 13440 3606400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_457 GF018hv5v_mcu_sc7 13440 3614240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_458 GF018hv5v_mcu_sc7 13440 3622080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_459 GF018hv5v_mcu_sc7 13440 3629920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_460 GF018hv5v_mcu_sc7 13440 3637760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_461 GF018hv5v_mcu_sc7 13440 3645600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_462 GF018hv5v_mcu_sc7 13440 3653440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_463 GF018hv5v_mcu_sc7 13440 3661280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_464 GF018hv5v_mcu_sc7 13440 3669120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_465 GF018hv5v_mcu_sc7 13440 3676960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_466 GF018hv5v_mcu_sc7 13440 3684800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_467 GF018hv5v_mcu_sc7 13440 3692640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_468 GF018hv5v_mcu_sc7 13440 3700480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_469 GF018hv5v_mcu_sc7 13440 3708320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_470 GF018hv5v_mcu_sc7 13440 3716160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_471 GF018hv5v_mcu_sc7 13440 3724000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_472 GF018hv5v_mcu_sc7 13440 3731840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_473 GF018hv5v_mcu_sc7 13440 3739680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_474 GF018hv5v_mcu_sc7 13440 3747520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_475 GF018hv5v_mcu_sc7 13440 3755360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_476 GF018hv5v_mcu_sc7 13440 3763200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_477 GF018hv5v_mcu_sc7 13440 3771040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_478 GF018hv5v_mcu_sc7 13440 3778880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_479 GF018hv5v_mcu_sc7 13440 3786720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_480 GF018hv5v_mcu_sc7 13440 3794560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_481 GF018hv5v_mcu_sc7 13440 3802400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_482 GF018hv5v_mcu_sc7 13440 3810240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_483 GF018hv5v_mcu_sc7 13440 3818080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_484 GF018hv5v_mcu_sc7 13440 3825920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_485 GF018hv5v_mcu_sc7 13440 3833760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_486 GF018hv5v_mcu_sc7 13440 3841600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_487 GF018hv5v_mcu_sc7 13440 3849440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_488 GF018hv5v_mcu_sc7 13440 3857280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_489 GF018hv5v_mcu_sc7 13440 3865120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_490 GF018hv5v_mcu_sc7 13440 3872960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_491 GF018hv5v_mcu_sc7 13440 3880800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_492 GF018hv5v_mcu_sc7 13440 3888640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_493 GF018hv5v_mcu_sc7 13440 3896480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_494 GF018hv5v_mcu_sc7 13440 3904320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_495 GF018hv5v_mcu_sc7 13440 3912160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_496 GF018hv5v_mcu_sc7 13440 3920000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_497 GF018hv5v_mcu_sc7 13440 3927840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_498 GF018hv5v_mcu_sc7 13440 3935680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_499 GF018hv5v_mcu_sc7 13440 3943520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_500 GF018hv5v_mcu_sc7 13440 3951360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_501 GF018hv5v_mcu_sc7 13440 3959200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_502 GF018hv5v_mcu_sc7 13440 3967040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_503 GF018hv5v_mcu_sc7 13440 3974880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_504 GF018hv5v_mcu_sc7 13440 3982720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_505 GF018hv5v_mcu_sc7 13440 3990560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_506 GF018hv5v_mcu_sc7 13440 3998400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_507 GF018hv5v_mcu_sc7 13440 4006240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_508 GF018hv5v_mcu_sc7 13440 4014080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_509 GF018hv5v_mcu_sc7 13440 4021920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_510 GF018hv5v_mcu_sc7 13440 4029760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_511 GF018hv5v_mcu_sc7 13440 4037600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_512 GF018hv5v_mcu_sc7 13440 4045440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_513 GF018hv5v_mcu_sc7 13440 4053280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_514 GF018hv5v_mcu_sc7 13440 4061120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_515 GF018hv5v_mcu_sc7 13440 4068960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_516 GF018hv5v_mcu_sc7 13440 4076800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_517 GF018hv5v_mcu_sc7 13440 4084640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_518 GF018hv5v_mcu_sc7 13440 4092480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_519 GF018hv5v_mcu_sc7 13440 4100320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_520 GF018hv5v_mcu_sc7 13440 4108160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_521 GF018hv5v_mcu_sc7 13440 4116000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_522 GF018hv5v_mcu_sc7 13440 4123840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_523 GF018hv5v_mcu_sc7 13440 4131680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_524 GF018hv5v_mcu_sc7 13440 4139520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_525 GF018hv5v_mcu_sc7 13440 4147360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_526 GF018hv5v_mcu_sc7 13440 4155200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_527 GF018hv5v_mcu_sc7 13440 4163040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_528 GF018hv5v_mcu_sc7 13440 4170880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_529 GF018hv5v_mcu_sc7 13440 4178720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_530 GF018hv5v_mcu_sc7 13440 4186560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_531 GF018hv5v_mcu_sc7 13440 4194400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_532 GF018hv5v_mcu_sc7 13440 4202240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_533 GF018hv5v_mcu_sc7 13440 4210080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_534 GF018hv5v_mcu_sc7 13440 4217920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_535 GF018hv5v_mcu_sc7 13440 4225760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_536 GF018hv5v_mcu_sc7 13440 4233600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_537 GF018hv5v_mcu_sc7 13440 4241440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_538 GF018hv5v_mcu_sc7 13440 4249280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_539 GF018hv5v_mcu_sc7 13440 4257120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_540 GF018hv5v_mcu_sc7 13440 4264960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_541 GF018hv5v_mcu_sc7 13440 4272800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_542 GF018hv5v_mcu_sc7 13440 4280640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_543 GF018hv5v_mcu_sc7 13440 4288480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_544 GF018hv5v_mcu_sc7 13440 4296320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_545 GF018hv5v_mcu_sc7 13440 4304160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_546 GF018hv5v_mcu_sc7 13440 4312000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_547 GF018hv5v_mcu_sc7 13440 4319840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_548 GF018hv5v_mcu_sc7 13440 4327680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_549 GF018hv5v_mcu_sc7 13440 4335520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_550 GF018hv5v_mcu_sc7 13440 4343360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_551 GF018hv5v_mcu_sc7 13440 4351200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_552 GF018hv5v_mcu_sc7 13440 4359040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_553 GF018hv5v_mcu_sc7 13440 4366880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_554 GF018hv5v_mcu_sc7 13440 4374720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_555 GF018hv5v_mcu_sc7 13440 4382560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_556 GF018hv5v_mcu_sc7 13440 4390400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_557 GF018hv5v_mcu_sc7 13440 4398240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_558 GF018hv5v_mcu_sc7 13440 4406080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_559 GF018hv5v_mcu_sc7 13440 4413920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_560 GF018hv5v_mcu_sc7 13440 4421760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_561 GF018hv5v_mcu_sc7 13440 4429600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_562 GF018hv5v_mcu_sc7 13440 4437440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_563 GF018hv5v_mcu_sc7 13440 4445280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_564 GF018hv5v_mcu_sc7 13440 4453120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_565 GF018hv5v_mcu_sc7 13440 4460960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_566 GF018hv5v_mcu_sc7 13440 4468800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_567 GF018hv5v_mcu_sc7 13440 4476640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_568 GF018hv5v_mcu_sc7 13440 4484480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_569 GF018hv5v_mcu_sc7 13440 4492320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_570 GF018hv5v_mcu_sc7 13440 4500160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_571 GF018hv5v_mcu_sc7 13440 4508000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_572 GF018hv5v_mcu_sc7 13440 4515840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_573 GF018hv5v_mcu_sc7 13440 4523680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_574 GF018hv5v_mcu_sc7 13440 4531520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_575 GF018hv5v_mcu_sc7 13440 4539360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_576 GF018hv5v_mcu_sc7 13440 4547200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_577 GF018hv5v_mcu_sc7 13440 4555040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_578 GF018hv5v_mcu_sc7 13440 4562880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_579 GF018hv5v_mcu_sc7 13440 4570720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_580 GF018hv5v_mcu_sc7 13440 4578560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_581 GF018hv5v_mcu_sc7 13440 4586400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_582 GF018hv5v_mcu_sc7 13440 4594240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_583 GF018hv5v_mcu_sc7 13440 4602080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_584 GF018hv5v_mcu_sc7 13440 4609920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_585 GF018hv5v_mcu_sc7 13440 4617760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_586 GF018hv5v_mcu_sc7 13440 4625600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_587 GF018hv5v_mcu_sc7 13440 4633440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_588 GF018hv5v_mcu_sc7 13440 4641280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_589 GF018hv5v_mcu_sc7 13440 4649120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_590 GF018hv5v_mcu_sc7 13440 4656960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_591 GF018hv5v_mcu_sc7 13440 4664800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_592 GF018hv5v_mcu_sc7 13440 4672640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_593 GF018hv5v_mcu_sc7 13440 4680480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_594 GF018hv5v_mcu_sc7 13440 4688320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_595 GF018hv5v_mcu_sc7 13440 4696160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_596 GF018hv5v_mcu_sc7 13440 4704000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_597 GF018hv5v_mcu_sc7 13440 4711840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_598 GF018hv5v_mcu_sc7 13440 4719680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_599 GF018hv5v_mcu_sc7 13440 4727520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_600 GF018hv5v_mcu_sc7 13440 4735360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_601 GF018hv5v_mcu_sc7 13440 4743200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_602 GF018hv5v_mcu_sc7 13440 4751040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_603 GF018hv5v_mcu_sc7 13440 4758880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_604 GF018hv5v_mcu_sc7 13440 4766720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_605 GF018hv5v_mcu_sc7 13440 4774560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_606 GF018hv5v_mcu_sc7 13440 4782400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_607 GF018hv5v_mcu_sc7 13440 4790240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_608 GF018hv5v_mcu_sc7 13440 4798080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_609 GF018hv5v_mcu_sc7 13440 4805920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_610 GF018hv5v_mcu_sc7 13440 4813760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_611 GF018hv5v_mcu_sc7 13440 4821600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_612 GF018hv5v_mcu_sc7 13440 4829440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_613 GF018hv5v_mcu_sc7 13440 4837280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_614 GF018hv5v_mcu_sc7 13440 4845120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_615 GF018hv5v_mcu_sc7 13440 4852960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_616 GF018hv5v_mcu_sc7 13440 4860800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_617 GF018hv5v_mcu_sc7 13440 4868640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_618 GF018hv5v_mcu_sc7 13440 4876480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_619 GF018hv5v_mcu_sc7 13440 4884320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_620 GF018hv5v_mcu_sc7 13440 4892160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_621 GF018hv5v_mcu_sc7 13440 4900000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_622 GF018hv5v_mcu_sc7 13440 4907840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_623 GF018hv5v_mcu_sc7 13440 4915680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_624 GF018hv5v_mcu_sc7 13440 4923520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_625 GF018hv5v_mcu_sc7 13440 4931360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_626 GF018hv5v_mcu_sc7 13440 4939200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_627 GF018hv5v_mcu_sc7 13440 4947040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_628 GF018hv5v_mcu_sc7 13440 4954880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_629 GF018hv5v_mcu_sc7 13440 4962720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_630 GF018hv5v_mcu_sc7 13440 4970560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_631 GF018hv5v_mcu_sc7 13440 4978400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_632 GF018hv5v_mcu_sc7 13440 4986240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_633 GF018hv5v_mcu_sc7 13440 4994080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_634 GF018hv5v_mcu_sc7 13440 5001920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_635 GF018hv5v_mcu_sc7 13440 5009760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_636 GF018hv5v_mcu_sc7 13440 5017600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_637 GF018hv5v_mcu_sc7 13440 5025440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_638 GF018hv5v_mcu_sc7 13440 5033280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_639 GF018hv5v_mcu_sc7 13440 5041120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_640 GF018hv5v_mcu_sc7 13440 5048960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_641 GF018hv5v_mcu_sc7 13440 5056800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_642 GF018hv5v_mcu_sc7 13440 5064640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_643 GF018hv5v_mcu_sc7 13440 5072480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_644 GF018hv5v_mcu_sc7 13440 5080320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_645 GF018hv5v_mcu_sc7 13440 5088160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_646 GF018hv5v_mcu_sc7 13440 5096000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_647 GF018hv5v_mcu_sc7 13440 5103840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_648 GF018hv5v_mcu_sc7 13440 5111680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_649 GF018hv5v_mcu_sc7 13440 5119520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_650 GF018hv5v_mcu_sc7 13440 5127360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_651 GF018hv5v_mcu_sc7 13440 5135200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_652 GF018hv5v_mcu_sc7 13440 5143040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_653 GF018hv5v_mcu_sc7 13440 5150880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_654 GF018hv5v_mcu_sc7 13440 5158720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_655 GF018hv5v_mcu_sc7 13440 5166560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_656 GF018hv5v_mcu_sc7 13440 5174400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_657 GF018hv5v_mcu_sc7 13440 5182240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_658 GF018hv5v_mcu_sc7 13440 5190080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_659 GF018hv5v_mcu_sc7 13440 5197920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_660 GF018hv5v_mcu_sc7 13440 5205760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_661 GF018hv5v_mcu_sc7 13440 5213600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_662 GF018hv5v_mcu_sc7 13440 5221440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_663 GF018hv5v_mcu_sc7 13440 5229280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_664 GF018hv5v_mcu_sc7 13440 5237120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_665 GF018hv5v_mcu_sc7 13440 5244960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_666 GF018hv5v_mcu_sc7 13440 5252800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_667 GF018hv5v_mcu_sc7 13440 5260640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_668 GF018hv5v_mcu_sc7 13440 5268480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_669 GF018hv5v_mcu_sc7 13440 5276320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_670 GF018hv5v_mcu_sc7 13440 5284160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_671 GF018hv5v_mcu_sc7 13440 5292000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_672 GF018hv5v_mcu_sc7 13440 5299840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_673 GF018hv5v_mcu_sc7 13440 5307680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_674 GF018hv5v_mcu_sc7 13440 5315520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_675 GF018hv5v_mcu_sc7 13440 5323360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_676 GF018hv5v_mcu_sc7 13440 5331200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_677 GF018hv5v_mcu_sc7 13440 5339040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_678 GF018hv5v_mcu_sc7 13440 5346880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_679 GF018hv5v_mcu_sc7 13440 5354720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_680 GF018hv5v_mcu_sc7 13440 5362560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_681 GF018hv5v_mcu_sc7 13440 5370400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_682 GF018hv5v_mcu_sc7 13440 5378240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_683 GF018hv5v_mcu_sc7 13440 5386080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_684 GF018hv5v_mcu_sc7 13440 5393920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_685 GF018hv5v_mcu_sc7 13440 5401760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_686 GF018hv5v_mcu_sc7 13440 5409600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_687 GF018hv5v_mcu_sc7 13440 5417440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_688 GF018hv5v_mcu_sc7 13440 5425280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_689 GF018hv5v_mcu_sc7 13440 5433120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_690 GF018hv5v_mcu_sc7 13440 5440960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_691 GF018hv5v_mcu_sc7 13440 5448800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_692 GF018hv5v_mcu_sc7 13440 5456640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_693 GF018hv5v_mcu_sc7 13440 5464480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_694 GF018hv5v_mcu_sc7 13440 5472320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_695 GF018hv5v_mcu_sc7 13440 5480160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_696 GF018hv5v_mcu_sc7 13440 5488000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_697 GF018hv5v_mcu_sc7 13440 5495840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_698 GF018hv5v_mcu_sc7 13440 5503680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_699 GF018hv5v_mcu_sc7 13440 5511520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_700 GF018hv5v_mcu_sc7 13440 5519360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_701 GF018hv5v_mcu_sc7 13440 5527200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_702 GF018hv5v_mcu_sc7 13440 5535040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_703 GF018hv5v_mcu_sc7 13440 5542880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_704 GF018hv5v_mcu_sc7 13440 5550720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_705 GF018hv5v_mcu_sc7 13440 5558560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_706 GF018hv5v_mcu_sc7 13440 5566400 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_707 GF018hv5v_mcu_sc7 13440 5574240 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_708 GF018hv5v_mcu_sc7 13440 5582080 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_709 GF018hv5v_mcu_sc7 13440 5589920 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_710 GF018hv5v_mcu_sc7 13440 5597760 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_711 GF018hv5v_mcu_sc7 13440 5605600 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_712 GF018hv5v_mcu_sc7 13440 5613440 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_713 GF018hv5v_mcu_sc7 13440 5621280 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_714 GF018hv5v_mcu_sc7 13440 5629120 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_715 GF018hv5v_mcu_sc7 13440 5636960 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_716 GF018hv5v_mcu_sc7 13440 5644800 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_717 GF018hv5v_mcu_sc7 13440 5652640 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_718 GF018hv5v_mcu_sc7 13440 5660480 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_719 GF018hv5v_mcu_sc7 13440 5668320 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_720 GF018hv5v_mcu_sc7 13440 5676160 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_721 GF018hv5v_mcu_sc7 13440 5684000 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_722 GF018hv5v_mcu_sc7 13440 5691840 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_723 GF018hv5v_mcu_sc7 13440 5699680 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_724 GF018hv5v_mcu_sc7 13440 5707520 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_725 GF018hv5v_mcu_sc7 13440 5715360 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_726 GF018hv5v_mcu_sc7 13440 5723200 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_727 GF018hv5v_mcu_sc7 13440 5731040 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_728 GF018hv5v_mcu_sc7 13440 5738880 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_729 GF018hv5v_mcu_sc7 13440 5746720 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_730 GF018hv5v_mcu_sc7 13440 5754560 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_731 GF018hv5v_mcu_sc7 13440 5762400 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_732 GF018hv5v_mcu_sc7 13440 5770240 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_733 GF018hv5v_mcu_sc7 13440 5778080 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_734 GF018hv5v_mcu_sc7 13440 5785920 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_735 GF018hv5v_mcu_sc7 13440 5793760 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_736 GF018hv5v_mcu_sc7 13440 5801600 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_737 GF018hv5v_mcu_sc7 13440 5809440 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_738 GF018hv5v_mcu_sc7 13440 5817280 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_739 GF018hv5v_mcu_sc7 13440 5825120 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_740 GF018hv5v_mcu_sc7 13440 5832960 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_741 GF018hv5v_mcu_sc7 13440 5840800 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_742 GF018hv5v_mcu_sc7 13440 5848640 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_743 GF018hv5v_mcu_sc7 13440 5856480 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_744 GF018hv5v_mcu_sc7 13440 5864320 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_745 GF018hv5v_mcu_sc7 13440 5872160 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_746 GF018hv5v_mcu_sc7 13440 5880000 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_747 GF018hv5v_mcu_sc7 13440 5887840 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_748 GF018hv5v_mcu_sc7 13440 5895680 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_749 GF018hv5v_mcu_sc7 13440 5903520 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_750 GF018hv5v_mcu_sc7 13440 5911360 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_751 GF018hv5v_mcu_sc7 13440 5919200 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_752 GF018hv5v_mcu_sc7 13440 5927040 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_753 GF018hv5v_mcu_sc7 13440 5934880 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_754 GF018hv5v_mcu_sc7 13440 5942720 N DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_755 GF018hv5v_mcu_sc7 13440 5950560 FS DO 5333 BY 1 STEP 1120 0 ;
ROW ROW_756 GF018hv5v_mcu_sc7 13440 5958400 N DO 5333 BY 1 STEP 1120 0 ;
TRACKS X 560 DO 5357 STEP 1120 LAYER Metal1 ;
TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal1 ;
TRACKS X 560 DO 5357 STEP 1120 LAYER Metal2 ;
TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal2 ;
TRACKS X 560 DO 5357 STEP 1120 LAYER Metal3 ;
TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal3 ;
TRACKS X 560 DO 5357 STEP 1120 LAYER Metal4 ;
TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal4 ;
TRACKS X 560 DO 5357 STEP 1120 LAYER Metal5 ;
TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal5 ;
GCELLGRID X 0 DO 357 STEP 16800 ;
GCELLGRID Y 0 DO 357 STEP 16800 ;
VIAS 4 ;
- via4_5_6200_6200_4_4_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520 + LAYERS Metal4 Via4 Metal5 + CUTSPACING 720 720 + ENCLOSURE 360 120 120 360 + ROWCOL 4 4 ;
- via4_5_6200_640_1_6_1040_1040 + VIARULE Via4_GEN_HH + CUTSIZE 520 520 + LAYERS Metal4 Via4 Metal5 + CUTSPACING 520 520 + ENCLOSURE 240 120 120 60 + ROWCOL 1 6 ;
- via4_5_3200_6200_4_2_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520 + LAYERS Metal4 Via4 Metal5 + CUTSPACING 720 720 + ENCLOSURE 720 360 120 360 + ROWCOL 4 2 ;
- via4_5_6200_700_1_6_1040_1040 + VIARULE Via4_GEN_HH + CUTSIZE 520 520 + LAYERS Metal4 Via4 Metal5 + CUTSPACING 520 520 + ENCLOSURE 240 120 120 90 + ROWCOL 1 6 ;
END VIAS
COMPONENTS 1 ;
- computer computer + FIXED ( 1175000 1690000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2434320 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4574640 6002400 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3911600 6002400 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3248560 6002400 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2585520 6002400 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1922480 6002400 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1259440 6002400 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 596400 6002400 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5937680 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5494160 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5050640 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2886800 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4607120 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4163600 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3720080 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3276560 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2833040 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2389520 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1946000 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1502480 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1058960 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3339280 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3791760 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4244240 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4696720 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5149200 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5601680 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5900720 6002400 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5237680 6002400 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 58800 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3904880 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4357360 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4809840 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5262320 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5714800 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5734960 6002400 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5071920 6002400 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4408880 6002400 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3745840 6002400 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3082800 6002400 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 398160 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2419760 6002400 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1756720 6002400 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1093680 6002400 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 430640 6002400 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5826800 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5383280 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4939760 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4496240 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4052720 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3609200 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 737520 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3165680 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2722160 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2278640 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1835120 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1391600 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 948080 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 615440 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 282800 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1076880 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1416240 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1755600 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2094960 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2547440 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2999920 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3452400 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 285040 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4131120 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4583600 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5036080 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5488560 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5941040 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5403440 6002400 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4740400 6002400 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4077360 6002400 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3414320 6002400 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2751280 6002400 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 624400 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2088240 6002400 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1425200 6002400 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 762160 6002400 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 99120 6002400 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5605040 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5161520 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4718000 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4274480 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3830960 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3387440 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 963760 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2943920 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2500400 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2056880 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1613360 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1169840 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 726320 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 393680 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 61040 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1303120 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1642480 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1981840 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2321200 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2773680 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3226160 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3678640 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 171920 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4018000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4470480 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 4922960 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5375440 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 5827920 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5569200 6002400 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4906160 6002400 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4243120 6002400 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3580080 6002400 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2917040 6002400 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 511280 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2254000 6002400 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1590960 6002400 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 927920 6002400 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 264880 6002400 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5715920 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 5272400 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4828880 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 4385360 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3941840 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3498320 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 850640 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 3054800 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2611280 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 2167760 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1724240 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 1280720 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 837200 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 504560 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( -2400 171920 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1190000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1529360 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 1868720 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2208080 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 2660560 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3113040 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+ PLACED ( 6002400 3565520 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1426320 -2400 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4786320 -2400 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4819920 -2400 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4853520 -2400 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4887120 -2400 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4920720 -2400 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4954320 -2400 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4987920 -2400 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5021520 -2400 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5055120 -2400 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5088720 -2400 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1762320 -2400 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5122320 -2400 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5155920 -2400 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5189520 -2400 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5223120 -2400 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5256720 -2400 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5290320 -2400 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5323920 -2400 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5357520 -2400 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5391120 -2400 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5424720 -2400 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1795920 -2400 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5458320 -2400 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5491920 -2400 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5525520 -2400 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5559120 -2400 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5592720 -2400 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5626320 -2400 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5659920 -2400 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5693520 -2400 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1829520 -2400 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1863120 -2400 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1896720 -2400 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1930320 -2400 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1963920 -2400 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1997520 -2400 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2031120 -2400 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2064720 -2400 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1459920 -2400 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2098320 -2400 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2131920 -2400 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2165520 -2400 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2199120 -2400 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2232720 -2400 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2266320 -2400 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2299920 -2400 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2333520 -2400 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2367120 -2400 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2400720 -2400 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1493520 -2400 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2434320 -2400 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2467920 -2400 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2501520 -2400 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2535120 -2400 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2568720 -2400 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2602320 -2400 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2635920 -2400 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2669520 -2400 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2703120 -2400 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2736720 -2400 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1527120 -2400 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2770320 -2400 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2803920 -2400 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2837520 -2400 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2871120 -2400 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2904720 -2400 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2938320 -2400 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2971920 -2400 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3005520 -2400 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3039120 -2400 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3072720 -2400 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1560720 -2400 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3106320 -2400 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3139920 -2400 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3173520 -2400 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3207120 -2400 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3240720 -2400 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3274320 -2400 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3307920 -2400 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3341520 -2400 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3375120 -2400 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3408720 -2400 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1594320 -2400 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3442320 -2400 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3475920 -2400 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3509520 -2400 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3543120 -2400 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3576720 -2400 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3610320 -2400 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3643920 -2400 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3677520 -2400 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3711120 -2400 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3744720 -2400 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1627920 -2400 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3778320 -2400 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3811920 -2400 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3845520 -2400 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3879120 -2400 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3912720 -2400 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3946320 -2400 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3979920 -2400 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4013520 -2400 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4047120 -2400 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4080720 -2400 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1661520 -2400 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4114320 -2400 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4147920 -2400 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4181520 -2400 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4215120 -2400 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4248720 -2400 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4282320 -2400 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4315920 -2400 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4349520 -2400 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4383120 -2400 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4416720 -2400 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1695120 -2400 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4450320 -2400 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4483920 -2400 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4517520 -2400 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4551120 -2400 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4584720 -2400 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4618320 -2400 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4651920 -2400 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4685520 -2400 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4719120 -2400 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4752720 -2400 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1728720 -2400 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1437520 -2400 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4797520 -2400 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4831120 -2400 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4864720 -2400 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4898320 -2400 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4931920 -2400 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4965520 -2400 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4999120 -2400 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5032720 -2400 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5066320 -2400 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5099920 -2400 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1773520 -2400 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5133520 -2400 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5167120 -2400 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5200720 -2400 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5234320 -2400 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5267920 -2400 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5301520 -2400 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5335120 -2400 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5368720 -2400 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5402320 -2400 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5435920 -2400 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1807120 -2400 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5469520 -2400 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5503120 -2400 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5536720 -2400 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5570320 -2400 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5603920 -2400 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5637520 -2400 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5671120 -2400 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5704720 -2400 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1840720 -2400 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1874320 -2400 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1907920 -2400 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1941520 -2400 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1975120 -2400 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2008720 -2400 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2042320 -2400 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2075920 -2400 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1471120 -2400 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2109520 -2400 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2143120 -2400 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2176720 -2400 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2210320 -2400 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2243920 -2400 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2277520 -2400 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2311120 -2400 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2344720 -2400 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2378320 -2400 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2411920 -2400 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1504720 -2400 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2445520 -2400 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2479120 -2400 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2512720 -2400 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2546320 -2400 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2579920 -2400 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2613520 -2400 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2647120 -2400 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2680720 -2400 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2714320 -2400 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2747920 -2400 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1538320 -2400 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2781520 -2400 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2815120 -2400 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2848720 -2400 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2882320 -2400 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2915920 -2400 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2949520 -2400 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2983120 -2400 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3016720 -2400 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3050320 -2400 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3083920 -2400 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1571920 -2400 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3117520 -2400 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3151120 -2400 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3184720 -2400 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3218320 -2400 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3251920 -2400 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3285520 -2400 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3319120 -2400 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3352720 -2400 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3386320 -2400 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3419920 -2400 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1605520 -2400 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3453520 -2400 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3487120 -2400 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3520720 -2400 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3554320 -2400 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3587920 -2400 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3621520 -2400 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3655120 -2400 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3688720 -2400 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3722320 -2400 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3755920 -2400 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1639120 -2400 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3789520 -2400 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3823120 -2400 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3856720 -2400 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3890320 -2400 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3923920 -2400 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3957520 -2400 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3991120 -2400 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4024720 -2400 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4058320 -2400 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4091920 -2400 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1672720 -2400 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4125520 -2400 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4159120 -2400 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4192720 -2400 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4226320 -2400 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4259920 -2400 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4293520 -2400 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4327120 -2400 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4360720 -2400 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4394320 -2400 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4427920 -2400 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1706320 -2400 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4461520 -2400 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4495120 -2400 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4528720 -2400 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4562320 -2400 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4595920 -2400 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4629520 -2400 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4663120 -2400 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4696720 -2400 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4730320 -2400 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4763920 -2400 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1739920 -2400 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1448720 -2400 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4808720 -2400 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4842320 -2400 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4875920 -2400 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4909520 -2400 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4943120 -2400 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4976720 -2400 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5010320 -2400 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5043920 -2400 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5077520 -2400 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5111120 -2400 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1784720 -2400 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5144720 -2400 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5178320 -2400 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5211920 -2400 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5245520 -2400 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5279120 -2400 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5312720 -2400 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5346320 -2400 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5379920 -2400 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5413520 -2400 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5447120 -2400 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1818320 -2400 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5480720 -2400 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5514320 -2400 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5547920 -2400 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5581520 -2400 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5615120 -2400 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5648720 -2400 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5682320 -2400 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5715920 -2400 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1851920 -2400 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1885520 -2400 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1919120 -2400 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1952720 -2400 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1986320 -2400 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2019920 -2400 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2053520 -2400 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2087120 -2400 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1482320 -2400 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2120720 -2400 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2154320 -2400 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2187920 -2400 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2221520 -2400 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2255120 -2400 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2288720 -2400 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2322320 -2400 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2355920 -2400 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2389520 -2400 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2423120 -2400 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1515920 -2400 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2456720 -2400 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2490320 -2400 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2523920 -2400 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2557520 -2400 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2591120 -2400 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2624720 -2400 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2658320 -2400 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2691920 -2400 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2725520 -2400 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2759120 -2400 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1549520 -2400 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2792720 -2400 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2826320 -2400 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2859920 -2400 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2893520 -2400 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2927120 -2400 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2960720 -2400 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 2994320 -2400 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3027920 -2400 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3061520 -2400 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3095120 -2400 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1583120 -2400 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3128720 -2400 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3162320 -2400 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3195920 -2400 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3229520 -2400 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3263120 -2400 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3296720 -2400 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3330320 -2400 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3363920 -2400 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3397520 -2400 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3431120 -2400 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1616720 -2400 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3464720 -2400 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3498320 -2400 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3531920 -2400 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3565520 -2400 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3599120 -2400 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3632720 -2400 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3666320 -2400 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3699920 -2400 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3733520 -2400 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3767120 -2400 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1650320 -2400 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3800720 -2400 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3834320 -2400 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3867920 -2400 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3901520 -2400 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3935120 -2400 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 3968720 -2400 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4002320 -2400 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4035920 -2400 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4069520 -2400 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4103120 -2400 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1683920 -2400 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4136720 -2400 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4170320 -2400 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4203920 -2400 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4237520 -2400 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4271120 -2400 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4304720 -2400 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4338320 -2400 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4371920 -2400 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4405520 -2400 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4439120 -2400 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1717520 -2400 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4472720 -2400 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4506320 -2400 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4539920 -2400 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4573520 -2400 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4607120 -2400 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4640720 -2400 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4674320 -2400 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4707920 -2400 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4741520 -2400 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 4775120 -2400 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1751120 -2400 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5727120 -2400 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5738320 -2400 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5749520 -2400 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 5760720 -2400 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 741210 -4428300 ) ( 747410 1703180 )
+ LAYER Metal4 ( 381210 -4428300 ) ( 387410 1703180 )
+ LAYER Metal4 ( 21210 -4428300 ) ( 27410 1703180 )
+ LAYER Metal4 ( -338790 -4428300 ) ( -332590 1703180 )
+ LAYER Metal4 ( -698790 -4428300 ) ( -692590 1703180 )
+ LAYER Metal4 ( -1058790 313320 ) ( -1052590 1703180 )
+ LAYER Metal4 ( -1058790 -4428300 ) ( -1052590 -2661160 )
+ LAYER Metal4 ( -1418790 309180 ) ( -1412590 1703180 )
+ LAYER Metal4 ( -1418790 -4428300 ) ( -1412590 -2659260 )
+ LAYER Metal4 ( -1778790 309180 ) ( -1772590 1703180 )
+ LAYER Metal4 ( -1778790 -4428300 ) ( -1772590 -2659260 )
+ LAYER Metal4 ( -2138790 309180 ) ( -2132590 1703180 )
+ LAYER Metal4 ( -2138790 -4428300 ) ( -2132590 -2659260 )
+ LAYER Metal4 ( -2498790 309180 ) ( -2492590 1703180 )
+ LAYER Metal4 ( -2498790 -4428300 ) ( -2492590 -2659260 )
+ LAYER Metal4 ( -2858790 309180 ) ( -2852590 1703180 )
+ LAYER Metal4 ( -2858790 -4428300 ) ( -2852590 -2659260 )
+ LAYER Metal4 ( -3218790 309180 ) ( -3212590 1703180 )
+ LAYER Metal4 ( -3218790 -4428300 ) ( -3212590 -2659260 )
+ LAYER Metal4 ( -3578790 309180 ) ( -3572590 1703180 )
+ LAYER Metal4 ( -3578790 -4428300 ) ( -3572590 -2659260 )
+ LAYER Metal4 ( -3938790 -4428300 ) ( -3932590 1703180 )
+ LAYER Metal4 ( -4298790 -4428300 ) ( -4292590 1703180 )
+ LAYER Metal4 ( -4658790 -4428300 ) ( -4652590 1703180 )
+ LAYER Metal4 ( -5018790 -4428300 ) ( -5012590 1703180 )
+ LAYER Metal4 ( 972170 -4361100 ) ( 978370 1635980 )
+ LAYER Metal5 ( -5056790 1629780 ) ( 978370 1635980 )
+ LAYER Metal5 ( -5056790 -4361100 ) ( 978370 -4354900 )
+ LAYER Metal4 ( -5056790 -4361100 ) ( -5050590 1635980 )
+ FIXED ( 5039130 4361360 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 815610 -4502700 ) ( 821810 1628780 )
+ LAYER Metal4 ( 455610 -4502700 ) ( 461810 1628780 )
+ LAYER Metal4 ( 95610 -4502700 ) ( 101810 1628780 )
+ LAYER Metal4 ( -264390 -4502700 ) ( -258190 1628780 )
+ LAYER Metal4 ( -624390 -4502700 ) ( -618190 1628780 )
+ LAYER Metal4 ( -984390 -4502700 ) ( -978190 1628780 )
+ LAYER Metal4 ( -1344390 234780 ) ( -1338190 1628780 )
+ LAYER Metal4 ( -1344390 -4502700 ) ( -1338190 -2733660 )
+ LAYER Metal4 ( -1704390 234780 ) ( -1698190 1628780 )
+ LAYER Metal4 ( -1704390 -4502700 ) ( -1698190 -2733660 )
+ LAYER Metal4 ( -2064390 234780 ) ( -2058190 1628780 )
+ LAYER Metal4 ( -2064390 -4502700 ) ( -2058190 -2733660 )
+ LAYER Metal4 ( -2424390 234780 ) ( -2418190 1628780 )
+ LAYER Metal4 ( -2424390 -4502700 ) ( -2418190 -2733660 )
+ LAYER Metal4 ( -2784390 234780 ) ( -2778190 1628780 )
+ LAYER Metal4 ( -2784390 -4502700 ) ( -2778190 -2733660 )
+ LAYER Metal4 ( -3144390 234780 ) ( -3138190 1628780 )
+ LAYER Metal4 ( -3144390 -4502700 ) ( -3138190 -2733660 )
+ LAYER Metal4 ( -3504390 234780 ) ( -3498190 1628780 )
+ LAYER Metal4 ( -3504390 -4502700 ) ( -3498190 -2733660 )
+ LAYER Metal4 ( -3864390 -4502700 ) ( -3858190 1628780 )
+ LAYER Metal4 ( -4224390 -4502700 ) ( -4218190 1628780 )
+ LAYER Metal4 ( -4584390 -4502700 ) ( -4578190 1628780 )
+ LAYER Metal4 ( -4944390 -4502700 ) ( -4938190 1628780 )
+ LAYER Metal4 ( 991370 -4454700 ) ( 997570 1580780 )
+ LAYER Metal5 ( -5075990 1574580 ) ( 997570 1580780 )
+ LAYER Metal5 ( -5075990 -4454700 ) ( 997570 -4448500 )
+ LAYER Metal4 ( -5075990 -4454700 ) ( -5069790 1580780 )
+ FIXED ( 5039130 4435760 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 890010 -4577100 ) ( 896210 1554380 )
+ LAYER Metal4 ( 530010 -4577100 ) ( 536210 1554380 )
+ LAYER Metal4 ( 170010 -4577100 ) ( 176210 1554380 )
+ LAYER Metal4 ( -189990 -4577100 ) ( -183790 1554380 )
+ LAYER Metal4 ( -549990 -4577100 ) ( -543790 1554380 )
+ LAYER Metal4 ( -909990 -4577100 ) ( -903790 1554380 )
+ LAYER Metal4 ( -1269990 160380 ) ( -1263790 1554380 )
+ LAYER Metal4 ( -1269990 -4577100 ) ( -1263790 -2808060 )
+ LAYER Metal4 ( -1629990 160380 ) ( -1623790 1554380 )
+ LAYER Metal4 ( -1629990 -4577100 ) ( -1623790 -2808060 )
+ LAYER Metal4 ( -1989990 160380 ) ( -1983790 1554380 )
+ LAYER Metal4 ( -1989990 -4577100 ) ( -1983790 -2808060 )
+ LAYER Metal4 ( -2349990 160380 ) ( -2343790 1554380 )
+ LAYER Metal4 ( -2349990 -4577100 ) ( -2343790 -2808060 )
+ LAYER Metal4 ( -2709990 160380 ) ( -2703790 1554380 )
+ LAYER Metal4 ( -2709990 -4577100 ) ( -2703790 -2808060 )
+ LAYER Metal4 ( -3069990 160380 ) ( -3063790 1554380 )
+ LAYER Metal4 ( -3069990 -4577100 ) ( -3063790 -2808060 )
+ LAYER Metal4 ( -3429990 160380 ) ( -3423790 1554380 )
+ LAYER Metal4 ( -3429990 -4577100 ) ( -3423790 -2808060 )
+ LAYER Metal4 ( -3789990 160380 ) ( -3783790 1554380 )
+ LAYER Metal4 ( -3789990 -4577100 ) ( -3783790 -2808060 )
+ LAYER Metal4 ( -4149990 -4577100 ) ( -4143790 1554380 )
+ LAYER Metal4 ( -4509990 -4577100 ) ( -4503790 1554380 )
+ LAYER Metal4 ( -4869990 -4577100 ) ( -4863790 1554380 )
+ LAYER Metal4 ( 1010570 -4548300 ) ( 1016770 1525580 )
+ LAYER Metal5 ( -5095190 1519380 ) ( 1016770 1525580 )
+ LAYER Metal5 ( -5095190 -4548300 ) ( 1016770 -4542100 )
+ LAYER Metal4 ( -5095190 -4548300 ) ( -5088990 1525580 )
+ FIXED ( 5039130 4510160 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -1045570 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( -3843490 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 604410 -4651500 ) ( 610610 1479980 )
+ LAYER Metal4 ( 244410 -4651500 ) ( 250610 1479980 )
+ LAYER Metal4 ( -115590 -4651500 ) ( -109390 1479980 )
+ LAYER Metal4 ( -475590 -4651500 ) ( -469390 1479980 )
+ LAYER Metal4 ( -835590 -4651500 ) ( -829390 1479980 )
+ LAYER Metal4 ( -1195590 85980 ) ( -1189390 1479980 )
+ LAYER Metal4 ( -1195590 -4651500 ) ( -1189390 -2882400 )
+ LAYER Metal4 ( -1555590 85980 ) ( -1549390 1479980 )
+ LAYER Metal4 ( -1555590 -4651500 ) ( -1549390 -2882400 )
+ LAYER Metal4 ( -1915590 85980 ) ( -1909390 1479980 )
+ LAYER Metal4 ( -1915590 -4651500 ) ( -1909390 -2882400 )
+ LAYER Metal4 ( -2275590 85980 ) ( -2269390 1479980 )
+ LAYER Metal4 ( -2275590 -4651500 ) ( -2269390 -2882400 )
+ LAYER Metal4 ( -2635590 85980 ) ( -2629390 1479980 )
+ LAYER Metal4 ( -2635590 -4651500 ) ( -2629390 -2882400 )
+ LAYER Metal4 ( -2995590 85980 ) ( -2989390 1479980 )
+ LAYER Metal4 ( -2995590 -4651500 ) ( -2989390 -2882400 )
+ LAYER Metal4 ( -3355590 90120 ) ( -3349390 1479980 )
+ LAYER Metal4 ( -3355590 -4651500 ) ( -3349390 -2884360 )
+ LAYER Metal4 ( -3715590 85980 ) ( -3709390 1479980 )
+ LAYER Metal4 ( -3715590 -4651500 ) ( -3709390 -2882400 )
+ LAYER Metal4 ( -4075590 -4651500 ) ( -4069390 1479980 )
+ LAYER Metal4 ( -4435590 -4651500 ) ( -4429390 1479980 )
+ LAYER Metal4 ( -4795590 -4651500 ) ( -4789390 1479980 )
+ LAYER Metal4 ( 1029770 -4641900 ) ( 1035970 1470380 )
+ LAYER Metal5 ( -5114390 1464180 ) ( 1035970 1470380 )
+ LAYER Metal5 ( -5114390 -4641900 ) ( 1035970 -4635700 )
+ LAYER Metal4 ( -5114390 -4641900 ) ( -5108190 1470380 )
+ FIXED ( 5039130 4584560 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -1045570 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( -3843490 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 927210 -4614300 ) ( 933410 1517180 )
+ LAYER Metal4 ( 567210 -4614300 ) ( 573410 1517180 )
+ LAYER Metal4 ( 207210 -4614300 ) ( 213410 1517180 )
+ LAYER Metal4 ( -152790 -4614300 ) ( -146590 1517180 )
+ LAYER Metal4 ( -512790 -4614300 ) ( -506590 1517180 )
+ LAYER Metal4 ( -872790 -4614300 ) ( -866590 1517180 )
+ LAYER Metal4 ( -1232790 123180 ) ( -1226590 1517180 )
+ LAYER Metal4 ( -1232790 -4614300 ) ( -1226590 -2845260 )
+ LAYER Metal4 ( -1592790 123180 ) ( -1586590 1517180 )
+ LAYER Metal4 ( -1592790 -4614300 ) ( -1586590 -2845260 )
+ LAYER Metal4 ( -1952790 123180 ) ( -1946590 1517180 )
+ LAYER Metal4 ( -1952790 -4614300 ) ( -1946590 -2845260 )
+ LAYER Metal4 ( -2312790 123180 ) ( -2306590 1517180 )
+ LAYER Metal4 ( -2312790 -4614300 ) ( -2306590 -2845260 )
+ LAYER Metal4 ( -2672790 123180 ) ( -2666590 1517180 )
+ LAYER Metal4 ( -2672790 -4614300 ) ( -2666590 -2845260 )
+ LAYER Metal4 ( -3032790 123180 ) ( -3026590 1517180 )
+ LAYER Metal4 ( -3032790 -4614300 ) ( -3026590 -2845260 )
+ LAYER Metal4 ( -3392790 123180 ) ( -3386590 1517180 )
+ LAYER Metal4 ( -3392790 -4614300 ) ( -3386590 -2845260 )
+ LAYER Metal4 ( -3752790 123180 ) ( -3746590 1517180 )
+ LAYER Metal4 ( -3752790 -4614300 ) ( -3746590 -2845260 )
+ LAYER Metal4 ( -4112790 -4614300 ) ( -4106590 1517180 )
+ LAYER Metal4 ( -4472790 -4614300 ) ( -4466590 1517180 )
+ LAYER Metal4 ( -4832790 -4614300 ) ( -4826590 1517180 )
+ LAYER Metal4 ( 1020170 -4595100 ) ( 1026370 1497980 )
+ LAYER Metal5 ( -5104790 1491780 ) ( 1026370 1497980 )
+ LAYER Metal5 ( -5104790 -4595100 ) ( 1026370 -4588900 )
+ LAYER Metal4 ( -5104790 -4595100 ) ( -5098590 1497980 )
+ FIXED ( 5039130 4547360 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal4 ( 641610 -4328700 ) ( 647810 1802780 )
+ LAYER Metal4 ( 281610 -4328700 ) ( 287810 1802780 )
+ LAYER Metal4 ( -78390 -4328700 ) ( -72190 1802780 )
+ LAYER Metal4 ( -438390 -4328700 ) ( -432190 1802780 )
+ LAYER Metal4 ( -798390 -4328700 ) ( -792190 1802780 )
+ LAYER Metal4 ( -1158390 408780 ) ( -1152190 1802780 )
+ LAYER Metal4 ( -1158390 -4328700 ) ( -1152190 -2559660 )
+ LAYER Metal4 ( -1518390 412920 ) ( -1512190 1802780 )
+ LAYER Metal4 ( -1518390 -4328700 ) ( -1512190 -2561560 )
+ LAYER Metal4 ( -1878390 408780 ) ( -1872190 1802780 )
+ LAYER Metal4 ( -1878390 -4328700 ) ( -1872190 -2559660 )
+ LAYER Metal4 ( -2238390 408780 ) ( -2232190 1802780 )
+ LAYER Metal4 ( -2238390 -4328700 ) ( -2232190 -2559660 )
+ LAYER Metal4 ( -2598390 408780 ) ( -2592190 1802780 )
+ LAYER Metal4 ( -2598390 -4328700 ) ( -2592190 -2559660 )
+ LAYER Metal4 ( -2958390 408780 ) ( -2952190 1802780 )
+ LAYER Metal4 ( -2958390 -4328700 ) ( -2952190 -2559660 )
+ LAYER Metal4 ( -3318390 408780 ) ( -3312190 1802780 )
+ LAYER Metal4 ( -3318390 -4328700 ) ( -3312190 -2559660 )
+ LAYER Metal4 ( -3678390 408780 ) ( -3672190 1802780 )
+ LAYER Metal4 ( -3678390 -4328700 ) ( -3672190 -2559660 )
+ LAYER Metal4 ( -4038390 -4328700 ) ( -4032190 1802780 )
+ LAYER Metal4 ( -4398390 -4328700 ) ( -4392190 1802780 )
+ LAYER Metal4 ( -4758390 -4328700 ) ( -4752190 1802780 )
+ LAYER Metal4 ( 1039370 -4328700 ) ( 1045570 1802780 )
+ LAYER Metal5 ( -5123990 1796580 ) ( 1045570 1802780 )
+ LAYER Metal5 ( -5123990 -4328700 ) ( 1045570 -4322500 )
+ LAYER Metal4 ( -5123990 -4328700 ) ( -5117790 1802780 )
+ FIXED ( 5039130 4261760 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 778410 -4465500 ) ( 784610 1665980 )
+ LAYER Metal4 ( 418410 -4465500 ) ( 424610 1665980 )
+ LAYER Metal4 ( 58410 -4465500 ) ( 64610 1665980 )
+ LAYER Metal4 ( -301590 -4465500 ) ( -295390 1665980 )
+ LAYER Metal4 ( -661590 -4465500 ) ( -655390 1665980 )
+ LAYER Metal4 ( -1021590 271980 ) ( -1015390 1665980 )
+ LAYER Metal4 ( -1021590 -4465500 ) ( -1015390 -2696460 )
+ LAYER Metal4 ( -1381590 271980 ) ( -1375390 1665980 )
+ LAYER Metal4 ( -1381590 -4465500 ) ( -1375390 -2696460 )
+ LAYER Metal4 ( -1741590 271980 ) ( -1735390 1665980 )
+ LAYER Metal4 ( -1741590 -4465500 ) ( -1735390 -2696460 )
+ LAYER Metal4 ( -2101590 271980 ) ( -2095390 1665980 )
+ LAYER Metal4 ( -2101590 -4465500 ) ( -2095390 -2696460 )
+ LAYER Metal4 ( -2461590 271980 ) ( -2455390 1665980 )
+ LAYER Metal4 ( -2461590 -4465500 ) ( -2455390 -2696460 )
+ LAYER Metal4 ( -2821590 271980 ) ( -2815390 1665980 )
+ LAYER Metal4 ( -2821590 -4465500 ) ( -2815390 -2696460 )
+ LAYER Metal4 ( -3181590 271980 ) ( -3175390 1665980 )
+ LAYER Metal4 ( -3181590 -4465500 ) ( -3175390 -2696460 )
+ LAYER Metal4 ( -3541590 271980 ) ( -3535390 1665980 )
+ LAYER Metal4 ( -3541590 -4465500 ) ( -3535390 -2696460 )
+ LAYER Metal4 ( -3901590 -4465500 ) ( -3895390 1665980 )
+ LAYER Metal4 ( -4261590 -4465500 ) ( -4255390 1665980 )
+ LAYER Metal4 ( -4621590 -4465500 ) ( -4615390 1665980 )
+ LAYER Metal4 ( -4981590 -4465500 ) ( -4975390 1665980 )
+ LAYER Metal4 ( 981770 -4407900 ) ( 987970 1608380 )
+ LAYER Metal5 ( -5066390 1602180 ) ( 987970 1608380 )
+ LAYER Metal5 ( -5066390 -4407900 ) ( 987970 -4401700 )
+ LAYER Metal4 ( -5066390 -4407900 ) ( -5060190 1608380 )
+ FIXED ( 5039130 4398560 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER Metal5 ( -1045570 -3100 ) ( 1045570 3100 )
+ LAYER Metal5 ( -1045570 -363100 ) ( 1045570 -356900 )
+ LAYER Metal5 ( -1045570 -723100 ) ( 1045570 -716900 )
+ LAYER Metal5 ( -1045570 -1083100 ) ( 1045570 -1076900 )
+ LAYER Metal5 ( -1045570 -1443100 ) ( 1045570 -1436900 )
+ LAYER Metal5 ( -1045570 -1803100 ) ( 1045570 -1796900 )
+ LAYER Metal5 ( -1045570 -2163100 ) ( 1045570 -2156900 )
+ LAYER Metal5 ( -5123990 1436900 ) ( 1045570 1443100 )
+ LAYER Metal5 ( -5123990 1076900 ) ( 1045570 1083100 )
+ LAYER Metal5 ( -5123990 716900 ) ( 1045570 723100 )
+ LAYER Metal5 ( -5123990 356900 ) ( 1045570 363100 )
+ LAYER Metal5 ( -5123990 -3100 ) ( -3843490 3100 )
+ LAYER Metal5 ( -5123990 -363100 ) ( -3843490 -356900 )
+ LAYER Metal5 ( -5123990 -723100 ) ( -3843490 -716900 )
+ LAYER Metal5 ( -5123990 -1083100 ) ( -3843490 -1076900 )
+ LAYER Metal5 ( -5123990 -1443100 ) ( -3843490 -1436900 )
+ LAYER Metal5 ( -5123990 -1803100 ) ( -3843490 -1796900 )
+ LAYER Metal5 ( -5123990 -2163100 ) ( -3843490 -2156900 )
+ LAYER Metal5 ( -5123990 -2523100 ) ( 1045570 -2516900 )
+ LAYER Metal5 ( -5123990 -2883100 ) ( 1045570 -2876900 )
+ LAYER Metal5 ( -5123990 -3243100 ) ( 1045570 -3236900 )
+ LAYER Metal5 ( -5123990 -3603100 ) ( 1045570 -3596900 )
+ LAYER Metal5 ( -5123990 -3963100 ) ( 1045570 -3956900 )
+ LAYER Metal5 ( -5123990 -4323100 ) ( 1045570 -4316900 )
+ LAYER Metal4 ( 852810 -4539900 ) ( 859010 1591580 )
+ LAYER Metal4 ( 492810 -4539900 ) ( 499010 1591580 )
+ LAYER Metal4 ( 132810 -4539900 ) ( 139010 1591580 )
+ LAYER Metal4 ( -227190 -4539900 ) ( -220990 1591580 )
+ LAYER Metal4 ( -587190 -4539900 ) ( -580990 1591580 )
+ LAYER Metal4 ( -947190 -4539900 ) ( -940990 1591580 )
+ LAYER Metal4 ( -1307190 197580 ) ( -1300990 1591580 )
+ LAYER Metal4 ( -1307190 -4539900 ) ( -1300990 -2770860 )
+ LAYER Metal4 ( -1667190 201720 ) ( -1660990 1591580 )
+ LAYER Metal4 ( -1667190 -4539900 ) ( -1660990 -2772760 )
+ LAYER Metal4 ( -2027190 197580 ) ( -2020990 1591580 )
+ LAYER Metal4 ( -2027190 -4539900 ) ( -2020990 -2770860 )
+ LAYER Metal4 ( -2387190 197580 ) ( -2380990 1591580 )
+ LAYER Metal4 ( -2387190 -4539900 ) ( -2380990 -2770860 )
+ LAYER Metal4 ( -2747190 201720 ) ( -2740990 1591580 )
+ LAYER Metal4 ( -2747190 -4539900 ) ( -2740990 -2772760 )
+ LAYER Metal4 ( -3107190 197580 ) ( -3100990 1591580 )
+ LAYER Metal4 ( -3107190 -4539900 ) ( -3100990 -2770860 )
+ LAYER Metal4 ( -3467190 197580 ) ( -3460990 1591580 )
+ LAYER Metal4 ( -3467190 -4539900 ) ( -3460990 -2770860 )
+ LAYER Metal4 ( -3827190 197580 ) ( -3820990 1591580 )
+ LAYER Metal4 ( -3827190 -4539900 ) ( -3820990 -2770860 )
+ LAYER Metal4 ( -4187190 -4539900 ) ( -4180990 1591580 )
+ LAYER Metal4 ( -4547190 -4539900 ) ( -4540990 1591580 )
+ LAYER Metal4 ( -4907190 -4539900 ) ( -4900990 1591580 )
+ LAYER Metal4 ( 1000970 -4501500 ) ( 1007170 1553180 )
+ LAYER Metal5 ( -5085590 1546980 ) ( 1007170 1553180 )
+ LAYER Metal5 ( -5085590 -4501500 ) ( 1007170 -4495300 )
+ LAYER Metal4 ( -5085590 -4501500 ) ( -5079390 1553180 )
+ FIXED ( 5039130 4472960 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 239120 -2400 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 250320 -2400 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 261520 -2400 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 306320 -2400 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 687120 -2400 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 720720 -2400 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 754320 -2400 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 787920 -2400 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 821520 -2400 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 855120 -2400 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 888720 -2400 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 922320 -2400 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 955920 -2400 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 989520 -2400 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 351120 -2400 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1023120 -2400 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1056720 -2400 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1090320 -2400 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1123920 -2400 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1157520 -2400 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1191120 -2400 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1224720 -2400 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1258320 -2400 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1291920 -2400 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1325520 -2400 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 395920 -2400 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1359120 -2400 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1392720 -2400 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 440720 -2400 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 485520 -2400 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 519120 -2400 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 552720 -2400 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 586320 -2400 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 619920 -2400 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 653520 -2400 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 272720 -2400 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 317520 -2400 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 698320 -2400 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 731920 -2400 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 765520 -2400 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 799120 -2400 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 832720 -2400 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 866320 -2400 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 899920 -2400 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 933520 -2400 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 967120 -2400 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1000720 -2400 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 362320 -2400 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1034320 -2400 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1067920 -2400 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1101520 -2400 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1135120 -2400 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1168720 -2400 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1202320 -2400 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1235920 -2400 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1269520 -2400 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1303120 -2400 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1336720 -2400 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 407120 -2400 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1370320 -2400 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1403920 -2400 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 451920 -2400 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 496720 -2400 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 530320 -2400 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 563920 -2400 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 597520 -2400 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 631120 -2400 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 664720 -2400 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 328720 -2400 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 709520 -2400 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 743120 -2400 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 776720 -2400 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 810320 -2400 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 843920 -2400 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 877520 -2400 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 911120 -2400 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 944720 -2400 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 978320 -2400 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1011920 -2400 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 373520 -2400 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1045520 -2400 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1079120 -2400 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1112720 -2400 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1146320 -2400 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1179920 -2400 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1213520 -2400 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1247120 -2400 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1280720 -2400 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1314320 -2400 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1347920 -2400 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 418320 -2400 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1381520 -2400 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 1415120 -2400 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 463120 -2400 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 507920 -2400 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 541520 -2400 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 575120 -2400 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 608720 -2400 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 642320 -2400 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 675920 -2400 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 339920 -2400 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 384720 -2400 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 429520 -2400 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 474320 -2400 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 283920 -2400 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+ PLACED ( 295120 -2400 ) N ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) ( * vccd1 ) + USE POWER
+ ROUTED Metal4 0 + SHAPE STRIPE ( 3985880 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3678680 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3371480 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3064280 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2757080 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2449880 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2142680 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1835480 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1528280 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1221080 1841360 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4361360 ) ( 6084700 4361360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4001360 ) ( 6084700 4001360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3641360 ) ( 6084700 3641360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3281360 ) ( 6084700 3281360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2921360 ) ( 6084700 2921360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2561360 ) ( 6084700 2561360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2201360 ) ( 6084700 2201360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5801360 ) ( 6084700 5801360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5441360 ) ( 6084700 5441360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5081360 ) ( 6084700 5081360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4721360 ) ( 6084700 4721360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4361360 ) ( 1195640 4361360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4001360 ) ( 1195640 4001360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3641360 ) ( 1195640 3641360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3281360 ) ( 1195640 3281360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2921360 ) ( 1195640 2921360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2561360 ) ( 1195640 2561360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2201360 ) ( 1195640 2201360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1841360 ) ( 6084700 1841360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1481360 ) ( 6084700 1481360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1121360 ) ( 6084700 1121360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 761360 ) ( 6084700 761360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 401360 ) ( 6084700 401360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 41360 ) ( 6084700 41360 )
NEW Metal4 6200 + SHAPE STRIPE ( 5783440 -66940 ) ( 5783440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5423440 -66940 ) ( 5423440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5063440 -66940 ) ( 5063440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4703440 -66940 ) ( 4703440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4343440 -66940 ) ( 4343440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3983440 4674680 ) ( 3983440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3983440 -66940 ) ( 3983440 1700200 )
NEW Metal4 6200 + SHAPE STRIPE ( 3623440 4670540 ) ( 3623440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3623440 -66940 ) ( 3623440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3263440 4670540 ) ( 3263440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3263440 -66940 ) ( 3263440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2903440 4670540 ) ( 2903440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2903440 -66940 ) ( 2903440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2543440 4670540 ) ( 2543440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2543440 -66940 ) ( 2543440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2183440 4670540 ) ( 2183440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2183440 -66940 ) ( 2183440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1823440 4670540 ) ( 1823440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1823440 -66940 ) ( 1823440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1463440 4670540 ) ( 1463440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1463440 -66940 ) ( 1463440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1103440 -66940 ) ( 1103440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 743440 -66940 ) ( 743440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 383440 -66940 ) ( 383440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 23440 -66940 ) ( 23440 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6014400 260 ) ( 6014400 5997340 )
NEW Metal5 6200 + SHAPE RING ( -17660 5994240 ) ( 6017500 5994240 )
NEW Metal5 6200 + SHAPE RING ( -17660 3360 ) ( 6017500 3360 )
NEW Metal4 6200 + SHAPE RING ( -14560 260 ) ( -14560 5997340 )
NEW Metal4 0 + SHAPE RING ( 6014400 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6014400 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6014400 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5783440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5423440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5063440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4703440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4343440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3983440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3623440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3263440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2903440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2543440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2183440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1823440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1463440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1103440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 743440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 383440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 23440 3360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -14560 5994240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 5801360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 5441360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 5081360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 4721360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 4361360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 4001360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 3641360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 3281360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 2921360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 2561360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 2201360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 1841360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 1481360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 1121360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 761360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 401360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -14560 41360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -14560 3360 ) via4_5_6200_6200_4_4_1240_1240 ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED Metal4 0 + SHAPE STRIPE ( 4057840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4435760 ) ( 6084700 4435760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4075760 ) ( 6084700 4075760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3715760 ) ( 6084700 3715760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3355760 ) ( 6084700 3355760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2995760 ) ( 6084700 2995760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2635760 ) ( 6084700 2635760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2275760 ) ( 6084700 2275760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5875760 ) ( 6084700 5875760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5515760 ) ( 6084700 5515760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5155760 ) ( 6084700 5155760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4795760 ) ( 6084700 4795760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4435760 ) ( 1195640 4435760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4075760 ) ( 1195640 4075760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3715760 ) ( 1195640 3715760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3355760 ) ( 1195640 3355760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2995760 ) ( 1195640 2995760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2635760 ) ( 1195640 2635760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2275760 ) ( 1195640 2275760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1915760 ) ( 6084700 1915760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1555760 ) ( 6084700 1555760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1195760 ) ( 6084700 1195760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 835760 ) ( 6084700 835760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 475760 ) ( 6084700 475760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 115760 ) ( 6084700 115760 )
NEW Metal4 6200 + SHAPE STRIPE ( 5857840 -66940 ) ( 5857840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5497840 -66940 ) ( 5497840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5137840 -66940 ) ( 5137840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4777840 -66940 ) ( 4777840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4417840 -66940 ) ( 4417840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4057840 -66940 ) ( 4057840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3697840 4670540 ) ( 3697840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3697840 -66940 ) ( 3697840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3337840 4670540 ) ( 3337840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3337840 -66940 ) ( 3337840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2977840 4670540 ) ( 2977840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2977840 -66940 ) ( 2977840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2617840 4670540 ) ( 2617840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2617840 -66940 ) ( 2617840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2257840 4670540 ) ( 2257840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2257840 -66940 ) ( 2257840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1897840 4670540 ) ( 1897840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1897840 -66940 ) ( 1897840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1537840 4670540 ) ( 1537840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1537840 -66940 ) ( 1537840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1177840 -66940 ) ( 1177840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 817840 -66940 ) ( 817840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 457840 -66940 ) ( 457840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 97840 -66940 ) ( 97840 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6033600 -18940 ) ( 6033600 6016540 )
NEW Metal5 6200 + SHAPE RING ( -36860 6013440 ) ( 6036700 6013440 )
NEW Metal5 6200 + SHAPE RING ( -36860 -15840 ) ( 6036700 -15840 )
NEW Metal4 6200 + SHAPE RING ( -33760 -18940 ) ( -33760 6016540 )
NEW Metal4 0 + SHAPE RING ( 6033600 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6033600 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6033600 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5857840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5497840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5137840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4777840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4417840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4057840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3697840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3337840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2977840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2617840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2257840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1897840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1537840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1177840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 817840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 457840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 97840 -15840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -33760 6013440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 5875760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 5515760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 5155760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 4795760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 4435760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 4075760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 3715760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 3355760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 2995760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 2635760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 2275760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 1915760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 1555760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 1195760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 835760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 475760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -33760 115760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -33760 -15840 ) via4_5_6200_6200_4_4_1240_1240 ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED Metal4 0 + SHAPE STRIPE ( 4132240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4510160 ) ( 6084700 4510160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4150160 ) ( 6084700 4150160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3790160 ) ( 6084700 3790160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3430160 ) ( 6084700 3430160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3070160 ) ( 6084700 3070160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2710160 ) ( 6084700 2710160 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2350160 ) ( 6084700 2350160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5950160 ) ( 6084700 5950160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5590160 ) ( 6084700 5590160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5230160 ) ( 6084700 5230160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4870160 ) ( 6084700 4870160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4510160 ) ( 1195640 4510160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4150160 ) ( 1195640 4150160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3790160 ) ( 1195640 3790160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3430160 ) ( 1195640 3430160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3070160 ) ( 1195640 3070160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2710160 ) ( 1195640 2710160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2350160 ) ( 1195640 2350160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1990160 ) ( 6084700 1990160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1630160 ) ( 6084700 1630160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1270160 ) ( 6084700 1270160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 910160 ) ( 6084700 910160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 550160 ) ( 6084700 550160 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 190160 ) ( 6084700 190160 )
NEW Metal4 6200 + SHAPE STRIPE ( 5932240 -66940 ) ( 5932240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5572240 -66940 ) ( 5572240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5212240 -66940 ) ( 5212240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4852240 -66940 ) ( 4852240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4492240 -66940 ) ( 4492240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4132240 -66940 ) ( 4132240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3772240 4670540 ) ( 3772240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3772240 -66940 ) ( 3772240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3412240 4670540 ) ( 3412240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3412240 -66940 ) ( 3412240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3052240 4670540 ) ( 3052240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3052240 -66940 ) ( 3052240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2692240 4670540 ) ( 2692240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2692240 -66940 ) ( 2692240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2332240 4670540 ) ( 2332240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2332240 -66940 ) ( 2332240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1972240 4670540 ) ( 1972240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1972240 -66940 ) ( 1972240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1612240 4670540 ) ( 1612240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1612240 -66940 ) ( 1612240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1252240 4670540 ) ( 1252240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1252240 -66940 ) ( 1252240 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 892240 -66940 ) ( 892240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 532240 -66940 ) ( 532240 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 172240 -66940 ) ( 172240 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6052800 -38140 ) ( 6052800 6035740 )
NEW Metal5 6200 + SHAPE RING ( -56060 6032640 ) ( 6055900 6032640 )
NEW Metal5 6200 + SHAPE RING ( -56060 -35040 ) ( 6055900 -35040 )
NEW Metal4 6200 + SHAPE RING ( -52960 -38140 ) ( -52960 6035740 )
NEW Metal4 0 + SHAPE RING ( 6052800 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6052800 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6052800 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5932240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5572240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5212240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4852240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4492240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4132240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3772240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3412240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3052240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2692240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2332240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1972240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1612240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1252240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 892240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 532240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 172240 -35040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -52960 6032640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 5950160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 5590160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 5230160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 4870160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 4510160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 4150160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 3790160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 3430160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 3070160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 2710160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 2350160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 1990160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 1630160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 1270160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 910160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 550160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -52960 190160 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -52960 -35040 ) via4_5_6200_6200_4_4_1240_1240 ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED Metal4 0 + SHAPE STRIPE ( 3846640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 3486640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 3126640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2766640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2406640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2046640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 1326640 1701810 ) via4_5_6200_700_1_6_1040_1040
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4584560 ) ( 6084700 4584560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4224560 ) ( 6084700 4224560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3864560 ) ( 6084700 3864560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3504560 ) ( 6084700 3504560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3144560 ) ( 6084700 3144560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2784560 ) ( 6084700 2784560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2424560 ) ( 6084700 2424560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2064560 ) ( 6084700 2064560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5664560 ) ( 6084700 5664560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5304560 ) ( 6084700 5304560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4944560 ) ( 6084700 4944560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4584560 ) ( 1195640 4584560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4224560 ) ( 1195640 4224560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3864560 ) ( 1195640 3864560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3504560 ) ( 1195640 3504560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3144560 ) ( 1195640 3144560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2784560 ) ( 1195640 2784560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2424560 ) ( 1195640 2424560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2064560 ) ( 1195640 2064560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1704560 ) ( 6084700 1704560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1344560 ) ( 6084700 1344560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 984560 ) ( 6084700 984560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 624560 ) ( 6084700 624560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 264560 ) ( 6084700 264560 )
NEW Metal4 6200 + SHAPE STRIPE ( 5646640 -66940 ) ( 5646640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5286640 -66940 ) ( 5286640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4926640 -66940 ) ( 4926640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4566640 -66940 ) ( 4566640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4206640 -66940 ) ( 4206640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3846640 4670540 ) ( 3846640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3846640 -66940 ) ( 3846640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 3486640 4670540 ) ( 3486640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3486640 -66940 ) ( 3486640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 3126640 4670540 ) ( 3126640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3126640 -66940 ) ( 3126640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 2766640 4670540 ) ( 2766640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2766640 -66940 ) ( 2766640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 2406640 4670540 ) ( 2406640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2406640 -66940 ) ( 2406640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 2046640 4670540 ) ( 2046640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2046640 -66940 ) ( 2046640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 1686640 4674680 ) ( 1686640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1686640 -66940 ) ( 1686640 1700200 )
NEW Metal4 6200 + SHAPE STRIPE ( 1326640 4670540 ) ( 1326640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1326640 -66940 ) ( 1326640 1702160 )
NEW Metal4 6200 + SHAPE STRIPE ( 966640 -66940 ) ( 966640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 606640 -66940 ) ( 606640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 246640 -66940 ) ( 246640 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6072000 -57340 ) ( 6072000 6054940 )
NEW Metal5 6200 + SHAPE RING ( -75260 6051840 ) ( 6075100 6051840 )
NEW Metal5 6200 + SHAPE RING ( -75260 -54240 ) ( 6075100 -54240 )
NEW Metal4 6200 + SHAPE RING ( -72160 -57340 ) ( -72160 6054940 )
NEW Metal4 0 + SHAPE RING ( 6072000 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6072000 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6072000 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5646640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5286640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4926640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4566640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4206640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 3846640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3846640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 3486640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3486640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 3126640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3126640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2766640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2766640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2406640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2406640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 2046640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2046640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1686640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 1701780 ) via4_5_6200_640_1_6_1040_1040
NEW Metal4 0 + SHAPE STRIPE ( 1326640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1326640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 966640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 606640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 246640 -54240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -72160 6051840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 5664560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 5304560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 4944560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 4584560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 4224560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 3864560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 3504560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 3144560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 2784560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 2424560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 2064560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 1704560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 1344560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 984560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 624560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -72160 264560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -72160 -54240 ) via4_5_6200_6200_4_4_1240_1240 ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED Metal4 0 + SHAPE STRIPE ( 4169440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4547360 ) ( 6084700 4547360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4187360 ) ( 6084700 4187360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3827360 ) ( 6084700 3827360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3467360 ) ( 6084700 3467360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3107360 ) ( 6084700 3107360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2747360 ) ( 6084700 2747360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2387360 ) ( 6084700 2387360 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2027360 ) ( 6084700 2027360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5627360 ) ( 6084700 5627360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5267360 ) ( 6084700 5267360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4907360 ) ( 6084700 4907360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4547360 ) ( 1195640 4547360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4187360 ) ( 1195640 4187360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3827360 ) ( 1195640 3827360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3467360 ) ( 1195640 3467360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3107360 ) ( 1195640 3107360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2747360 ) ( 1195640 2747360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2387360 ) ( 1195640 2387360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2027360 ) ( 1195640 2027360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1667360 ) ( 6084700 1667360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1307360 ) ( 6084700 1307360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 947360 ) ( 6084700 947360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 587360 ) ( 6084700 587360 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 227360 ) ( 6084700 227360 )
NEW Metal4 6200 + SHAPE STRIPE ( 5969440 -66940 ) ( 5969440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5609440 -66940 ) ( 5609440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5249440 -66940 ) ( 5249440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4889440 -66940 ) ( 4889440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4529440 -66940 ) ( 4529440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4169440 -66940 ) ( 4169440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3809440 4670540 ) ( 3809440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3809440 -66940 ) ( 3809440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3449440 4670540 ) ( 3449440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3449440 -66940 ) ( 3449440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3089440 4670540 ) ( 3089440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3089440 -66940 ) ( 3089440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2729440 4670540 ) ( 2729440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2729440 -66940 ) ( 2729440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2369440 4670540 ) ( 2369440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2369440 -66940 ) ( 2369440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2009440 4670540 ) ( 2009440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2009440 -66940 ) ( 2009440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1649440 4670540 ) ( 1649440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1649440 -66940 ) ( 1649440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1289440 4670540 ) ( 1289440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1289440 -66940 ) ( 1289440 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 929440 -66940 ) ( 929440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 569440 -66940 ) ( 569440 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 209440 -66940 ) ( 209440 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6062400 -47740 ) ( 6062400 6045340 )
NEW Metal5 6200 + SHAPE RING ( -65660 6042240 ) ( 6065500 6042240 )
NEW Metal5 6200 + SHAPE RING ( -65660 -44640 ) ( 6065500 -44640 )
NEW Metal4 6200 + SHAPE RING ( -62560 -47740 ) ( -62560 6045340 )
NEW Metal4 0 + SHAPE RING ( 6062400 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6062400 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6062400 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5969440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5609440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5249440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4889440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4529440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4169440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3809440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3449440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3089440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2729440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2369440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2009440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1649440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1289440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 929440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 569440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 209440 -44640 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -62560 6042240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 5627360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 5267360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 4907360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 4547360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 4187360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 3827360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 3467360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 3107360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 2747360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 2387360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 2027360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 1667360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 1307360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 947360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 587360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -62560 227360 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -62560 -44640 ) via4_5_6200_6200_4_4_1240_1240 ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED Metal5 6200 + SHAPE STRIPE ( 3993560 4261760 ) ( 6084700 4261760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3901760 ) ( 6084700 3901760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3541760 ) ( 6084700 3541760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3181760 ) ( 6084700 3181760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2821760 ) ( 6084700 2821760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2461760 ) ( 6084700 2461760 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2101760 ) ( 6084700 2101760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5701760 ) ( 6084700 5701760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5341760 ) ( 6084700 5341760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4981760 ) ( 6084700 4981760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4621760 ) ( 6084700 4621760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4261760 ) ( 1195640 4261760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3901760 ) ( 1195640 3901760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3541760 ) ( 1195640 3541760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3181760 ) ( 1195640 3181760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2821760 ) ( 1195640 2821760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2461760 ) ( 1195640 2461760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2101760 ) ( 1195640 2101760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1741760 ) ( 6084700 1741760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1381760 ) ( 6084700 1381760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1021760 ) ( 6084700 1021760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 661760 ) ( 6084700 661760 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 301760 ) ( 6084700 301760 )
NEW Metal4 6200 + SHAPE STRIPE ( 5683840 -66940 ) ( 5683840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5323840 -66940 ) ( 5323840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4963840 -66940 ) ( 4963840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4603840 -66940 ) ( 4603840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4243840 -66940 ) ( 4243840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3883840 4670540 ) ( 3883840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3883840 -66940 ) ( 3883840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3523840 4674680 ) ( 3523840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3523840 -66940 ) ( 3523840 1700200 )
NEW Metal4 6200 + SHAPE STRIPE ( 3163840 4670540 ) ( 3163840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3163840 -66940 ) ( 3163840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2803840 4670540 ) ( 2803840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2803840 -66940 ) ( 2803840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2443840 4670540 ) ( 2443840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2443840 -66940 ) ( 2443840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2083840 4670540 ) ( 2083840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2083840 -66940 ) ( 2083840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1723840 4670540 ) ( 1723840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1723840 -66940 ) ( 1723840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1363840 4670540 ) ( 1363840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1363840 -66940 ) ( 1363840 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1003840 -66940 ) ( 1003840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 643840 -66940 ) ( 643840 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 283840 -66940 ) ( 283840 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6081600 -66940 ) ( 6081600 6064540 )
NEW Metal5 6200 + SHAPE RING ( -84860 6061440 ) ( 6084700 6061440 )
NEW Metal5 6200 + SHAPE RING ( -84860 -63840 ) ( 6084700 -63840 )
NEW Metal4 6200 + SHAPE RING ( -81760 -66940 ) ( -81760 6064540 )
NEW Metal4 0 + SHAPE RING ( 6081600 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6081600 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6081600 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5683840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5323840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4963840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4603840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4243840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3883840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3523840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3163840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2803840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2443840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2083840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1723840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1363840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1003840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 643840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 283840 -63840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -81760 6061440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 5701760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 5341760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 4981760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 4621760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 4261760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 3901760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 3541760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 3181760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 2821760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 2461760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 2101760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 1741760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 1381760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 1021760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 661760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -81760 301760 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -81760 -63840 ) via4_5_6200_6200_4_4_1240_1240 ;
- vssd1 ( PIN vssd1 ) ( * vssd1 ) + USE GROUND
+ ROUTED Metal4 0 + SHAPE STRIPE ( 4139480 4398560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 4038560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 3678560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 3318560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 2958560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 2598560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 2238560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4139480 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3832280 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3525080 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3217880 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2910680 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2603480 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2296280 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1989080 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1681880 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1374680 1878560 ) via4_5_3200_6200_4_2_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4398560 ) ( 6084700 4398560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4038560 ) ( 6084700 4038560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3678560 ) ( 6084700 3678560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3318560 ) ( 6084700 3318560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2958560 ) ( 6084700 2958560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2598560 ) ( 6084700 2598560 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2238560 ) ( 6084700 2238560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5838560 ) ( 6084700 5838560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5478560 ) ( 6084700 5478560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5118560 ) ( 6084700 5118560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4758560 ) ( 6084700 4758560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4398560 ) ( 1195640 4398560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4038560 ) ( 1195640 4038560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3678560 ) ( 1195640 3678560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3318560 ) ( 1195640 3318560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2958560 ) ( 1195640 2958560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2598560 ) ( 1195640 2598560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2238560 ) ( 1195640 2238560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1878560 ) ( 6084700 1878560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1518560 ) ( 6084700 1518560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1158560 ) ( 6084700 1158560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 798560 ) ( 6084700 798560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 438560 ) ( 6084700 438560 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 78560 ) ( 6084700 78560 )
NEW Metal4 6200 + SHAPE STRIPE ( 5820640 -66940 ) ( 5820640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5460640 -66940 ) ( 5460640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5100640 -66940 ) ( 5100640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4740640 -66940 ) ( 4740640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4380640 -66940 ) ( 4380640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4020640 4670540 ) ( 4020640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4020640 -66940 ) ( 4020640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3660640 4670540 ) ( 3660640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3660640 -66940 ) ( 3660640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3300640 4670540 ) ( 3300640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3300640 -66940 ) ( 3300640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2940640 4670540 ) ( 2940640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2940640 -66940 ) ( 2940640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2580640 4670540 ) ( 2580640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2580640 -66940 ) ( 2580640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2220640 4670540 ) ( 2220640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2220640 -66940 ) ( 2220640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1860640 4670540 ) ( 1860640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1860640 -66940 ) ( 1860640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1500640 4670540 ) ( 1500640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1500640 -66940 ) ( 1500640 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1140640 -66940 ) ( 1140640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 780640 -66940 ) ( 780640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 420640 -66940 ) ( 420640 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 60640 -66940 ) ( 60640 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6024000 -9340 ) ( 6024000 6006940 )
NEW Metal5 6200 + SHAPE RING ( -27260 6003840 ) ( 6027100 6003840 )
NEW Metal5 6200 + SHAPE RING ( -27260 -6240 ) ( 6027100 -6240 )
NEW Metal4 6200 + SHAPE RING ( -24160 -9340 ) ( -24160 6006940 )
NEW Metal4 0 + SHAPE RING ( 6024000 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6024000 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6024000 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5820640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5460640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5100640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4740640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4380640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4020640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3660640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3300640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2940640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2580640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2220640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1860640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1500640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1140640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 780640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 420640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 60640 -6240 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -24160 6003840 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 5838560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 5478560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 5118560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 4758560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 4398560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 4038560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 3678560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 3318560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 2958560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 2598560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 2238560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 1878560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 1518560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 1158560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 798560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 438560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -24160 78560 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -24160 -6240 ) via4_5_6200_6200_4_4_1240_1240 ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED Metal4 0 + SHAPE STRIPE ( 4095040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4472960 ) ( 6084700 4472960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 4112960 ) ( 6084700 4112960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3752960 ) ( 6084700 3752960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3392960 ) ( 6084700 3392960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 3032960 ) ( 6084700 3032960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2672960 ) ( 6084700 2672960 )
NEW Metal5 6200 + SHAPE STRIPE ( 3993560 2312960 ) ( 6084700 2312960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5912960 ) ( 6084700 5912960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5552960 ) ( 6084700 5552960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 5192960 ) ( 6084700 5192960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4832960 ) ( 6084700 4832960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4472960 ) ( 1195640 4472960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 4112960 ) ( 1195640 4112960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3752960 ) ( 1195640 3752960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3392960 ) ( 1195640 3392960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 3032960 ) ( 1195640 3032960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2672960 ) ( 1195640 2672960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 2312960 ) ( 1195640 2312960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1952960 ) ( 6084700 1952960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1592960 ) ( 6084700 1592960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 1232960 ) ( 6084700 1232960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 872960 ) ( 6084700 872960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 512960 ) ( 6084700 512960 )
NEW Metal5 6200 + SHAPE STRIPE ( -84860 152960 ) ( 6084700 152960 )
NEW Metal4 6200 + SHAPE STRIPE ( 5895040 -66940 ) ( 5895040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5535040 -66940 ) ( 5535040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 5175040 -66940 ) ( 5175040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4815040 -66940 ) ( 4815040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4455040 -66940 ) ( 4455040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 4095040 -66940 ) ( 4095040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3735040 4670540 ) ( 3735040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3735040 -66940 ) ( 3735040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 3375040 4674680 ) ( 3375040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3375040 -66940 ) ( 3375040 1700200 )
NEW Metal4 6200 + SHAPE STRIPE ( 3015040 4670540 ) ( 3015040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 3015040 -66940 ) ( 3015040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2655040 4670540 ) ( 2655040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2655040 -66940 ) ( 2655040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 2295040 4674680 ) ( 2295040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 2295040 -66940 ) ( 2295040 1700200 )
NEW Metal4 6200 + SHAPE STRIPE ( 1935040 4670540 ) ( 1935040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1935040 -66940 ) ( 1935040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1575040 4670540 ) ( 1575040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1575040 -66940 ) ( 1575040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 1215040 4670540 ) ( 1215040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 1215040 -66940 ) ( 1215040 1702100 )
NEW Metal4 6200 + SHAPE STRIPE ( 855040 -66940 ) ( 855040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 495040 -66940 ) ( 495040 6064540 )
NEW Metal4 6200 + SHAPE STRIPE ( 135040 -66940 ) ( 135040 6064540 )
NEW Metal4 6200 + SHAPE RING ( 6043200 -28540 ) ( 6043200 6026140 )
NEW Metal5 6200 + SHAPE RING ( -46460 6023040 ) ( 6046300 6023040 )
NEW Metal5 6200 + SHAPE RING ( -46460 -25440 ) ( 6046300 -25440 )
NEW Metal4 6200 + SHAPE RING ( -43360 -28540 ) ( -43360 6026140 )
NEW Metal4 0 + SHAPE RING ( 6043200 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 6043200 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( 6043200 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5895040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5535040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 5175040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4815040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4455040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 4095040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3735040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3375040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 3015040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2655040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 2295040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1935040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1575040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 1215040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 855040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 495040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( 135040 -25440 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -43360 6023040 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 5912960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 5552960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 5192960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 4832960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 4472960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 4112960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 3752960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 3392960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 3032960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 2672960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 2312960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 1952960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 1592960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 1232960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 872960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 512960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE STRIPE ( -43360 152960 ) via4_5_6200_6200_4_4_1240_1240
NEW Metal4 0 + SHAPE RING ( -43360 -25440 ) via4_5_6200_6200_4_4_1240_1240 ;
END SPECIALNETS
NETS 637 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( computer io_in[0] ) + USE SIGNAL
+ ROUTED Metal3 ( 1174320 4704560 ) ( 1219120 * )
NEW Metal2 ( 1219120 4688880 0 ) ( * 4704560 )
NEW Metal2 ( 1174320 1705200 ) ( * 4704560 )
NEW Metal2 ( 5955600 59920 ) ( * 1705200 )
NEW Metal3 ( 5955600 59920 ) ( 5995920 * 0 )
NEW Metal3 ( 1174320 1705200 ) ( 5955600 * )
NEW Metal2 ( 1174320 1705200 ) Via2_VH
NEW Metal2 ( 1174320 4704560 ) Via2_VH
NEW Metal2 ( 1219120 4704560 ) Via2_VH
NEW Metal2 ( 5955600 59920 ) Via2_VH
NEW Metal2 ( 5955600 1705200 ) Via2_VH ;
- io_in[10] ( PIN io_in[10] ) ( computer io_in[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 5961200 3906000 ) ( * 4889360 )
NEW Metal2 ( 1984080 4688880 ) ( 1991920 * 0 )
NEW Metal2 ( 1984080 4688880 ) ( * 4889360 )
NEW Metal3 ( 1984080 4889360 ) ( 5961200 * )
NEW Metal3 ( 5961200 3906000 ) ( 5995920 * 0 )
NEW Metal2 ( 5961200 3906000 ) Via2_VH
NEW Metal2 ( 5961200 4889360 ) Via2_VH
NEW Metal2 ( 1984080 4889360 ) Via2_VH ;
- io_in[11] ( PIN io_in[11] ) ( computer io_in[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 2069200 4688880 0 ) ( * 4759440 )
NEW Metal3 ( 2069200 4759440 ) ( 4796400 * )
NEW Metal2 ( 4796400 4351760 ) ( * 4759440 )
NEW Metal3 ( 5995920 4351760 ) ( * 4355120 )
NEW Metal3 ( 5994800 4355120 ) ( 5995920 * )
NEW Metal3 ( 5994800 4355120 ) ( * 4356240 )
NEW Metal3 ( 5994800 4356240 ) ( 5995920 * 0 )
NEW Metal3 ( 4796400 4351760 ) ( 5995920 * )
NEW Metal2 ( 2069200 4759440 ) Via2_VH
NEW Metal2 ( 4796400 4759440 ) Via2_VH
NEW Metal2 ( 4796400 4351760 ) Via2_VH ;
- io_in[12] ( PIN io_in[12] ) ( computer io_in[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 2138640 4688880 ) ( 2146480 * 0 )
NEW Metal2 ( 2138640 4688880 ) ( * 4872560 )
NEW Metal2 ( 5947760 4810960 ) ( * 4872560 )
NEW Metal3 ( 2138640 4872560 ) ( 5947760 * )
NEW Metal3 ( 5947760 4810960 ) ( 5995920 * 0 )
NEW Metal2 ( 2138640 4872560 ) Via2_VH
NEW Metal2 ( 5947760 4872560 ) Via2_VH
NEW Metal2 ( 5947760 4810960 ) Via2_VH ;
- io_in[13] ( PIN io_in[13] ) ( computer io_in[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 2218160 4688880 ) ( 2223760 * 0 )
NEW Metal2 ( 2218160 4688880 ) ( * 5258960 )
NEW Metal3 ( 2218160 5258960 ) ( 5728800 * )
NEW Metal3 ( 5728800 5258960 ) ( * 5261200 )
NEW Metal3 ( 5728800 5261200 ) ( 5995920 * 0 )
NEW Metal2 ( 2218160 5258960 ) Via2_VH ;
- io_in[14] ( PIN io_in[14] ) ( computer io_in[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 2293200 4688880 ) ( 2301040 * 0 )
NEW Metal3 ( 2285360 5712560 ) ( 5728800 * )
NEW Metal3 ( 5728800 5712560 ) ( * 5713680 )
NEW Metal3 ( 5728800 5713680 ) ( 5995920 * 0 )
NEW Metal2 ( 2285360 4905600 ) ( 2293200 * )
NEW Metal2 ( 2293200 4688880 ) ( * 4905600 )
NEW Metal2 ( 2285360 4905600 ) ( * 5712560 )
NEW Metal2 ( 2285360 5712560 ) Via2_VH ;
- io_in[15] ( PIN io_in[15] ) ( computer io_in[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 2370480 4688880 ) ( 2378320 * 0 )
NEW Metal2 ( 2369360 4905600 ) ( 2370480 * )
NEW Metal2 ( 2370480 4688880 ) ( * 4905600 )
NEW Metal2 ( 2369360 4905600 ) ( * 5981360 )
NEW Metal2 ( 5733840 5981360 ) ( * 5995920 0 )
NEW Metal3 ( 2369360 5981360 ) ( 5733840 * )
NEW Metal2 ( 2369360 5981360 ) Via2_VH
NEW Metal2 ( 5733840 5981360 ) Via2_VH ;
- io_in[16] ( PIN io_in[16] ) ( computer io_in[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 2453360 4688880 ) ( 2455600 * 0 )
NEW Metal3 ( 5048400 5947760 ) ( 5070800 * )
NEW Metal2 ( 2453360 4688880 ) ( * 5065200 )
NEW Metal3 ( 2453360 5065200 ) ( 5048400 * )
NEW Metal2 ( 5048400 5065200 ) ( * 5947760 )
NEW Metal2 ( 5070800 5947760 ) ( * 5995920 0 )
NEW Metal2 ( 5048400 5947760 ) Via2_VH
NEW Metal2 ( 5070800 5947760 ) Via2_VH
NEW Metal2 ( 2453360 5065200 ) Via2_VH
NEW Metal2 ( 5048400 5065200 ) Via2_VH ;
- io_in[17] ( PIN io_in[17] ) ( computer io_in[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 2525040 4688880 ) ( 2532880 * 0 )
NEW Metal3 ( 4393200 5947760 ) ( 4407760 * )
NEW Metal2 ( 2520560 4905600 ) ( 2525040 * )
NEW Metal2 ( 2525040 4688880 ) ( * 4905600 )
NEW Metal2 ( 2520560 4905600 ) ( * 5754000 )
NEW Metal3 ( 2520560 5754000 ) ( 4393200 * )
NEW Metal2 ( 4393200 5754000 ) ( * 5947760 )
NEW Metal2 ( 4407760 5947760 ) ( * 5995920 0 )
NEW Metal2 ( 4393200 5947760 ) Via2_VH
NEW Metal2 ( 4407760 5947760 ) Via2_VH
NEW Metal2 ( 2520560 5754000 ) Via2_VH
NEW Metal2 ( 4393200 5754000 ) Via2_VH ;
- io_in[18] ( PIN io_in[18] ) ( computer io_in[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 2604560 4688880 ) ( 2610160 * 0 )
NEW Metal2 ( 2604560 4688880 ) ( * 5982480 )
NEW Metal2 ( 3744720 5982480 ) ( * 5995920 0 )
NEW Metal3 ( 2604560 5982480 ) ( 3744720 * )
NEW Metal2 ( 2604560 5982480 ) Via2_VH
NEW Metal2 ( 3744720 5982480 ) Via2_VH ;
- io_in[19] ( PIN io_in[19] ) ( computer io_in[19] ) + USE SIGNAL
+ ROUTED Metal3 ( 3066000 5947760 ) ( 3081680 * )
NEW Metal2 ( 3066000 5838000 ) ( * 5947760 )
NEW Metal2 ( 3081680 5947760 ) ( * 5995920 0 )
NEW Metal2 ( 2679600 4688880 ) ( 2687440 * 0 )
NEW Metal2 ( 2671760 4905600 ) ( 2679600 * )
NEW Metal2 ( 2679600 4688880 ) ( * 4905600 )
NEW Metal2 ( 2671760 4905600 ) ( * 5838000 )
NEW Metal3 ( 2671760 5838000 ) ( 3066000 * )
NEW Metal2 ( 3066000 5947760 ) Via2_VH
NEW Metal2 ( 3081680 5947760 ) Via2_VH
NEW Metal2 ( 3066000 5838000 ) Via2_VH
NEW Metal2 ( 2671760 5838000 ) Via2_VH ;
- io_in[1] ( PIN io_in[1] ) ( computer io_in[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1175440 697200 ) ( * 4691120 )
NEW Metal2 ( 5956720 399280 ) ( * 697200 )
NEW Metal2 ( 1296400 4688880 0 ) ( * 4691120 )
NEW Metal3 ( 1175440 4691120 ) ( 1296400 * )
NEW Metal3 ( 1175440 697200 ) ( 5956720 * )
NEW Metal3 ( 5956720 399280 ) ( 5995920 * 0 )
NEW Metal2 ( 1175440 697200 ) Via2_VH
NEW Metal2 ( 1175440 4691120 ) Via2_VH
NEW Metal2 ( 5956720 697200 ) Via2_VH
NEW Metal2 ( 5956720 399280 ) Via2_VH
NEW Metal2 ( 1296400 4691120 ) Via2_VH ;
- io_in[20] ( PIN io_in[20] ) ( computer io_in[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 2420880 4830000 ) ( * 5995920 0 )
NEW Metal2 ( 2756880 4688880 ) ( 2764720 * 0 )
NEW Metal3 ( 2420880 4830000 ) ( 2756880 * )
NEW Metal2 ( 2756880 4688880 ) ( * 4830000 )
NEW Metal2 ( 2420880 4830000 ) Via2_VH
NEW Metal2 ( 2756880 4830000 ) Via2_VH ;
- io_in[21] ( PIN io_in[21] ) ( computer io_in[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 2839760 4688880 ) ( 2842000 * 0 )
NEW Metal2 ( 2839760 4688880 ) ( * 4880400 )
NEW Metal2 ( 1747760 5995920 ) ( 1754480 * )
NEW Metal2 ( 1754480 5994800 ) ( * 5995920 )
NEW Metal2 ( 1754480 5994800 ) ( 1755600 * )
NEW Metal2 ( 1755600 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 1747760 4880400 ) ( * 5995920 )
NEW Metal3 ( 1747760 4880400 ) ( 2839760 * )
NEW Metal2 ( 2839760 4880400 ) Via2_VH
NEW Metal2 ( 1747760 4880400 ) Via2_VH ;
- io_in[22] ( PIN io_in[22] ) ( computer io_in[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 2847600 4880400 ) ( * 5955600 )
NEW Metal3 ( 1094800 5955600 ) ( 2847600 * )
NEW Metal2 ( 2911440 4688880 ) ( 2919280 * 0 )
NEW Metal2 ( 1094800 5955600 ) ( * 5995920 0 )
NEW Metal3 ( 2847600 4880400 ) ( 2911440 * )
NEW Metal2 ( 2911440 4688880 ) ( * 4880400 )
NEW Metal2 ( 2847600 5955600 ) Via2_VH
NEW Metal2 ( 2847600 4880400 ) Via2_VH
NEW Metal2 ( 1094800 5955600 ) Via2_VH
NEW Metal2 ( 2911440 4880400 ) Via2_VH ;
- io_in[23] ( PIN io_in[23] ) ( computer io_in[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 445200 5586000 ) ( * 5947760 )
NEW Metal3 ( 431760 5947760 ) ( 445200 * )
NEW Metal2 ( 2990960 4688880 ) ( 2996560 * 0 )
NEW Metal2 ( 431760 5947760 ) ( * 5995920 0 )
NEW Metal3 ( 445200 5586000 ) ( 2990960 * )
NEW Metal2 ( 2990960 4688880 ) ( * 5586000 )
NEW Metal2 ( 445200 5947760 ) Via2_VH
NEW Metal2 ( 445200 5586000 ) Via2_VH
NEW Metal2 ( 431760 5947760 ) Via2_VH
NEW Metal2 ( 2990960 5586000 ) Via2_VH ;
- io_in[24] ( PIN io_in[24] ) ( computer io_in[24] ) + USE SIGNAL
+ ROUTED Metal2 ( 3066000 4688880 ) ( 3073840 * 0 )
NEW Metal3 ( 3920 5814480 ) ( * 5824560 )
NEW Metal3 ( 3920 5824560 ) ( 5040 * )
NEW Metal3 ( 5040 5824560 ) ( * 5825680 )
NEW Metal3 ( 3920 5825680 0 ) ( 5040 * )
NEW Metal2 ( 3058160 4905600 ) ( 3066000 * )
NEW Metal2 ( 3066000 4688880 ) ( * 4905600 )
NEW Metal2 ( 3058160 4905600 ) ( * 5814480 )
NEW Metal3 ( 3920 5814480 ) ( 3058160 * )
NEW Metal2 ( 3058160 5814480 ) Via2_VH ;
- io_in[25] ( PIN io_in[25] ) ( computer io_in[25] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 5376560 ) ( * 5381040 )
NEW Metal3 ( 3920 5381040 ) ( 5040 * )
NEW Metal3 ( 5040 5381040 ) ( * 5382160 )
NEW Metal3 ( 3920 5382160 0 ) ( 5040 * )
NEW Metal2 ( 3143280 4688880 ) ( 3151120 * 0 )
NEW Metal3 ( 3920 5376560 ) ( 3142160 * )
NEW Metal2 ( 3142160 4905600 ) ( 3143280 * )
NEW Metal2 ( 3143280 4688880 ) ( * 4905600 )
NEW Metal2 ( 3142160 4905600 ) ( * 5376560 )
NEW Metal2 ( 3142160 5376560 ) Via2_VH ;
- io_in[26] ( PIN io_in[26] ) ( computer io_in[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 3226160 4688880 ) ( 3228400 * 0 )
NEW Metal3 ( 3920 4939760 0 ) ( 3226160 * )
NEW Metal2 ( 3226160 4688880 ) ( * 4939760 )
NEW Metal2 ( 3226160 4939760 ) Via2_VH ;
- io_in[27] ( PIN io_in[27] ) ( computer io_in[27] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 4497360 0 ) ( 45360 * )
NEW Metal2 ( 3297840 4688880 ) ( 3305680 * 0 )
NEW Metal2 ( 45360 4497360 ) ( * 4974480 )
NEW Metal2 ( 3293360 4905600 ) ( * 4974480 )
NEW Metal2 ( 3293360 4905600 ) ( 3297840 * )
NEW Metal2 ( 3297840 4688880 ) ( * 4905600 )
NEW Metal3 ( 45360 4974480 ) ( 3293360 * )
NEW Metal2 ( 45360 4497360 ) Via2_VH
NEW Metal2 ( 45360 4974480 ) Via2_VH
NEW Metal2 ( 3293360 4974480 ) Via2_VH ;
- io_in[28] ( PIN io_in[28] ) ( computer io_in[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 4053840 0 ) ( 76720 * )
NEW Metal2 ( 76720 4053840 ) ( * 4723600 )
NEW Metal2 ( 3382960 4688880 0 ) ( * 4723600 )
NEW Metal3 ( 76720 4723600 ) ( 3382960 * )
NEW Metal2 ( 76720 4053840 ) Via2_VH
NEW Metal2 ( 76720 4723600 ) Via2_VH
NEW Metal2 ( 3382960 4723600 ) Via2_VH ;
- io_in[29] ( PIN io_in[29] ) ( computer io_in[29] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3610320 0 ) ( 43120 * )
NEW Metal2 ( 43120 3610320 ) ( * 4956560 )
NEW Metal2 ( 3452400 4688880 ) ( 3460240 * 0 )
NEW Metal3 ( 43120 4956560 ) ( 3444560 * )
NEW Metal2 ( 3444560 4905600 ) ( * 4956560 )
NEW Metal2 ( 3444560 4905600 ) ( 3452400 * )
NEW Metal2 ( 3452400 4688880 ) ( * 4905600 )
NEW Metal2 ( 43120 3610320 ) Via2_VH
NEW Metal2 ( 43120 4956560 ) Via2_VH
NEW Metal2 ( 3444560 4956560 ) Via2_VH ;
- io_in[2] ( PIN io_in[2] ) ( computer io_in[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 5871600 722960 ) ( * 4721360 )
NEW Metal2 ( 1373680 4688880 0 ) ( * 4721360 )
NEW Metal3 ( 1373680 4721360 ) ( 5871600 * )
NEW Metal3 ( 5995920 722960 ) ( * 735280 )
NEW Metal3 ( 5994800 735280 ) ( 5995920 * )
NEW Metal3 ( 5994800 735280 ) ( * 736400 )
NEW Metal3 ( 5994800 736400 ) ( 5995920 * 0 )
NEW Metal3 ( 5871600 722960 ) ( 5995920 * )
NEW Metal2 ( 5871600 722960 ) Via2_VH
NEW Metal2 ( 5871600 4721360 ) Via2_VH
NEW Metal2 ( 1373680 4721360 ) Via2_VH ;
- io_in[30] ( PIN io_in[30] ) ( computer io_in[30] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3158960 ) ( * 3163440 )
NEW Metal3 ( 3920 3163440 ) ( 5040 * )
NEW Metal3 ( 5040 3163440 ) ( * 3164560 )
NEW Metal3 ( 3920 3164560 0 ) ( 5040 * )
NEW Metal2 ( 1150800 3158960 ) ( * 4667600 )
NEW Metal4 ( 3537520 4667600 ) ( * 4683280 )
NEW Metal3 ( 3920 3158960 ) ( 1150800 * )
NEW Metal3 ( 1150800 4667600 ) ( 3537520 * )
NEW Metal2 ( 3537520 4683280 ) Via2_VH
NEW Metal3 ( 3537520 4683280 ) Via3_HV
NEW Metal2 ( 1150800 3158960 ) Via2_VH
NEW Metal2 ( 1150800 4667600 ) Via2_VH
NEW Metal3 ( 3537520 4667600 ) Via3_HV
NEW Metal3 ( 3537520 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[31] ( PIN io_in[31] ) ( computer io_in[31] ) + USE SIGNAL
+ ROUTED Metal2 ( 3614800 4688880 0 ) ( * 4725840 )
NEW Metal3 ( 1117200 4725840 ) ( 3614800 * )
NEW Metal3 ( 3920 2722160 0 ) ( 1117200 * )
NEW Metal2 ( 1117200 2722160 ) ( * 4725840 )
NEW Metal2 ( 1117200 4725840 ) Via2_VH
NEW Metal2 ( 3614800 4725840 ) Via2_VH
NEW Metal2 ( 1117200 2722160 ) Via2_VH ;
- io_in[32] ( PIN io_in[32] ) ( computer io_in[32] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 2268560 ) ( * 2276400 )
NEW Metal3 ( 3920 2276400 ) ( 5040 * )
NEW Metal3 ( 5040 2276400 ) ( * 2277520 )
NEW Metal3 ( 3920 2277520 0 ) ( 5040 * )
NEW Metal3 ( 3920 2268560 ) ( 1083600 * )
NEW Metal2 ( 1083600 2268560 ) ( * 4665360 )
NEW Metal3 ( 1083600 4665360 ) ( 3692080 * )
NEW Metal4 ( 3692080 4665360 ) ( * 4683280 )
NEW Metal2 ( 3692080 4683280 ) Via2_VH
NEW Metal3 ( 3692080 4683280 ) Via3_HV
NEW Metal2 ( 1083600 2268560 ) Via2_VH
NEW Metal2 ( 1083600 4665360 ) Via2_VH
NEW Metal3 ( 3692080 4665360 ) Via3_HV
NEW Metal3 ( 3692080 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[33] ( PIN io_in[33] ) ( computer io_in[33] ) + USE SIGNAL
+ ROUTED Metal2 ( 3764880 4683280 ) ( 3769360 * 0 )
NEW Metal2 ( 445200 1831760 ) ( * 4663120 )
NEW Metal4 ( 3764880 4663120 ) ( * 4683280 )
NEW Metal3 ( 3920 1834000 0 ) ( 84000 * )
NEW Metal3 ( 84000 1831760 ) ( * 1834000 )
NEW Metal3 ( 84000 1831760 ) ( 445200 * )
NEW Metal3 ( 445200 4663120 ) ( 3764880 * )
NEW Metal2 ( 3764880 4683280 ) Via2_VH
NEW Metal3 ( 3764880 4683280 ) Via3_HV
NEW Metal2 ( 445200 1831760 ) Via2_VH
NEW Metal2 ( 445200 4663120 ) Via2_VH
NEW Metal3 ( 3764880 4663120 ) Via3_HV
NEW Metal3 ( 3764880 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[34] ( PIN io_in[34] ) ( computer io_in[34] ) + USE SIGNAL
+ ROUTED Metal2 ( 3846640 4688880 0 ) ( * 4739280 )
NEW Metal3 ( 3920 1392720 0 ) ( 109200 * )
NEW Metal3 ( 109200 4739280 ) ( 3846640 * )
NEW Metal2 ( 109200 1392720 ) ( * 4739280 )
NEW Metal2 ( 3846640 4739280 ) Via2_VH
NEW Metal2 ( 109200 1392720 ) Via2_VH
NEW Metal2 ( 109200 4739280 ) Via2_VH ;
- io_in[35] ( PIN io_in[35] ) ( computer io_in[35] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 941360 ) ( * 945840 )
NEW Metal3 ( 3920 945840 ) ( 5040 * )
NEW Metal3 ( 5040 945840 ) ( * 946960 )
NEW Metal3 ( 3920 946960 0 ) ( 5040 * )
NEW Metal3 ( 3920 941360 ) ( 159600 * )
NEW Metal4 ( 3923920 4674320 ) ( * 4683280 )
NEW Metal3 ( 159600 4674320 ) ( 3923920 * )
NEW Metal2 ( 159600 941360 ) ( * 4674320 )
NEW Metal2 ( 159600 941360 ) Via2_VH
NEW Metal2 ( 159600 4674320 ) Via2_VH
NEW Metal3 ( 3923920 4674320 ) Via3_HV
NEW Metal2 ( 3923920 4683280 ) Via2_VH
NEW Metal3 ( 3923920 4683280 ) Via3_HV
NEW Metal3 ( 3923920 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[36] ( PIN io_in[36] ) ( computer io_in[36] ) + USE SIGNAL
+ ROUTED Metal4 ( 4001200 4673200 ) ( * 4683280 )
NEW Metal3 ( 3920 605360 ) ( * 613200 )
NEW Metal3 ( 3920 613200 ) ( 5040 * )
NEW Metal3 ( 5040 613200 ) ( * 614320 )
NEW Metal3 ( 3920 614320 0 ) ( 5040 * )
NEW Metal2 ( 226800 605360 ) ( * 4673200 )
NEW Metal3 ( 226800 4673200 ) ( 4001200 * )
NEW Metal3 ( 3920 605360 ) ( 226800 * )
NEW Metal2 ( 226800 4673200 ) Via2_VH
NEW Metal3 ( 4001200 4673200 ) Via3_HV
NEW Metal2 ( 4001200 4683280 ) Via2_VH
NEW Metal3 ( 4001200 4683280 ) Via3_HV
NEW Metal2 ( 226800 605360 ) Via2_VH
NEW Metal3 ( 4001200 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[37] ( PIN io_in[37] ) ( computer io_in[37] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 269360 ) ( * 280560 )
NEW Metal3 ( 3920 280560 ) ( 5040 * )
NEW Metal3 ( 5040 280560 ) ( * 281680 )
NEW Metal3 ( 3920 281680 0 ) ( 5040 * )
NEW Metal4 ( 4078480 4672080 ) ( * 4683280 )
NEW Metal3 ( 3920 269360 ) ( 193200 * )
NEW Metal3 ( 193200 4672080 ) ( 4078480 * )
NEW Metal2 ( 193200 269360 ) ( * 4672080 )
NEW Metal3 ( 4078480 4672080 ) Via3_HV
NEW Metal2 ( 4078480 4683280 ) Via2_VH
NEW Metal3 ( 4078480 4683280 ) Via3_HV
NEW Metal2 ( 193200 269360 ) Via2_VH
NEW Metal2 ( 193200 4672080 ) Via2_VH
NEW Metal3 ( 4078480 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_in[3] ( PIN io_in[3] ) ( computer io_in[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 1450960 4688880 0 ) ( * 4754960 )
NEW Metal3 ( 1450960 4754960 ) ( 5770800 * )
NEW Metal2 ( 5770800 1075760 ) ( * 4754960 )
NEW Metal3 ( 5770800 1075760 ) ( 5995920 * 0 )
NEW Metal2 ( 1450960 4754960 ) Via2_VH
NEW Metal2 ( 5770800 4754960 ) Via2_VH
NEW Metal2 ( 5770800 1075760 ) Via2_VH ;
- io_in[4] ( PIN io_in[4] ) ( computer io_in[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 1528240 4688880 0 ) ( * 4756080 )
NEW Metal3 ( 1528240 4756080 ) ( 5754000 * )
NEW Metal3 ( 5995920 1411760 ) ( * 1414000 )
NEW Metal3 ( 5994800 1414000 ) ( 5995920 * )
NEW Metal3 ( 5994800 1414000 ) ( * 1415120 )
NEW Metal3 ( 5994800 1415120 ) ( 5995920 * 0 )
NEW Metal3 ( 5754000 1411760 ) ( 5995920 * )
NEW Metal2 ( 5754000 1411760 ) ( * 4756080 )
NEW Metal2 ( 1528240 4756080 ) Via2_VH
NEW Metal2 ( 5754000 1411760 ) Via2_VH
NEW Metal2 ( 5754000 4756080 ) Via2_VH ;
- io_in[5] ( PIN io_in[5] ) ( computer io_in[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1605520 4688880 0 ) ( * 4757200 )
NEW Metal3 ( 1605520 4757200 ) ( 4830000 * )
NEW Metal2 ( 4830000 1747760 ) ( * 4757200 )
NEW Metal3 ( 5995920 1747760 ) ( * 1753360 )
NEW Metal3 ( 5994800 1753360 ) ( 5995920 * )
NEW Metal3 ( 5994800 1753360 ) ( * 1754480 )
NEW Metal3 ( 5994800 1754480 ) ( 5995920 * 0 )
NEW Metal3 ( 4830000 1747760 ) ( 5995920 * )
NEW Metal2 ( 1605520 4757200 ) Via2_VH
NEW Metal2 ( 4830000 4757200 ) Via2_VH
NEW Metal2 ( 4830000 1747760 ) Via2_VH ;
- io_in[6] ( PIN io_in[6] ) ( computer io_in[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 1682800 4688880 0 ) ( * 4724720 )
NEW Metal3 ( 1682800 4724720 ) ( 4863600 * )
NEW Metal3 ( 5995920 2083760 ) ( * 2092720 )
NEW Metal3 ( 5994800 2092720 ) ( 5995920 * )
NEW Metal3 ( 5994800 2092720 ) ( * 2093840 )
NEW Metal3 ( 5994800 2093840 ) ( 5995920 * 0 )
NEW Metal3 ( 4863600 2083760 ) ( 5995920 * )
NEW Metal2 ( 4863600 2083760 ) ( * 4724720 )
NEW Metal2 ( 1682800 4724720 ) Via2_VH
NEW Metal2 ( 4863600 2083760 ) Via2_VH
NEW Metal2 ( 4863600 4724720 ) Via2_VH ;
- io_in[7] ( PIN io_in[7] ) ( computer io_in[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 4225200 2537360 ) ( * 4775120 )
NEW Metal2 ( 1760080 4688880 0 ) ( * 4775120 )
NEW Metal3 ( 1760080 4775120 ) ( 4225200 * )
NEW Metal3 ( 5995920 2537360 ) ( * 2545200 )
NEW Metal3 ( 5994800 2545200 ) ( 5995920 * )
NEW Metal3 ( 5994800 2545200 ) ( * 2546320 )
NEW Metal3 ( 5994800 2546320 ) ( 5995920 * 0 )
NEW Metal3 ( 4225200 2537360 ) ( 5995920 * )
NEW Metal2 ( 4225200 4775120 ) Via2_VH
NEW Metal2 ( 4225200 2537360 ) Via2_VH
NEW Metal2 ( 1760080 4775120 ) Via2_VH ;
- io_in[8] ( PIN io_in[8] ) ( computer io_in[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 5956720 3001040 ) ( * 4805360 )
NEW Metal2 ( 1831760 4688880 ) ( 1837360 * 0 )
NEW Metal2 ( 1831760 4688880 ) ( * 4805360 )
NEW Metal3 ( 1831760 4805360 ) ( 5956720 * )
NEW Metal3 ( 5956720 3001040 ) ( 5995920 * 0 )
NEW Metal2 ( 5956720 3001040 ) Via2_VH
NEW Metal2 ( 5956720 4805360 ) Via2_VH
NEW Metal2 ( 1831760 4805360 ) Via2_VH ;
- io_in[9] ( PIN io_in[9] ) ( computer io_in[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 1914640 4688880 0 ) ( * 4693360 )
NEW Metal2 ( 4275600 3444560 ) ( * 4693360 )
NEW Metal3 ( 1914640 4693360 ) ( 4275600 * )
NEW Metal3 ( 5995920 3444560 ) ( * 3450160 )
NEW Metal3 ( 5994800 3450160 ) ( 5995920 * )
NEW Metal3 ( 5994800 3450160 ) ( * 3451280 )
NEW Metal3 ( 5994800 3451280 ) ( 5995920 * 0 )
NEW Metal3 ( 4275600 3444560 ) ( 5995920 * )
NEW Metal2 ( 1914640 4693360 ) Via2_VH
NEW Metal2 ( 4275600 4693360 ) Via2_VH
NEW Metal2 ( 4275600 3444560 ) Via2_VH ;
- io_oeb[0] ( PIN io_oeb[0] ) ( computer io_oeb[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 5703600 269360 ) ( * 4687760 )
NEW Metal3 ( 1244880 4687760 ) ( 5703600 * )
NEW Metal3 ( 5995920 269360 ) ( * 282800 )
NEW Metal3 ( 5994800 282800 ) ( 5995920 * )
NEW Metal3 ( 5994800 282800 ) ( * 283920 )
NEW Metal3 ( 5994800 283920 ) ( 5995920 * 0 )
NEW Metal3 ( 5703600 269360 ) ( 5995920 * )
NEW Metal2 ( 1244880 4687760 ) Via2_VH
NEW Metal2 ( 5703600 269360 ) Via2_VH
NEW Metal2 ( 5703600 4687760 ) Via2_VH ;
- io_oeb[10] ( PIN io_oeb[10] ) ( computer io_oeb[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 4292400 4116560 ) ( * 4668720 )
NEW Metal4 ( 2017680 4668720 ) ( * 4683280 )
NEW Metal3 ( 2017680 4668720 ) ( 4292400 * )
NEW Metal3 ( 5995920 4116560 ) ( * 4128880 )
NEW Metal3 ( 5994800 4128880 ) ( 5995920 * )
NEW Metal3 ( 5994800 4128880 ) ( * 4130000 )
NEW Metal3 ( 5994800 4130000 ) ( 5995920 * 0 )
NEW Metal3 ( 4292400 4116560 ) ( 5995920 * )
NEW Metal2 ( 4292400 4116560 ) Via2_VH
NEW Metal2 ( 4292400 4668720 ) Via2_VH
NEW Metal2 ( 2017680 4683280 ) Via2_VH
NEW Metal3 ( 2017680 4683280 ) Via3_HV
NEW Metal3 ( 2017680 4668720 ) Via3_HV
NEW Metal3 ( 2017680 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_oeb[11] ( PIN io_oeb[11] ) ( computer io_oeb[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 2094960 4688880 0 ) ( * 4694480 )
NEW Metal2 ( 4310320 4570160 ) ( * 4694480 )
NEW Metal3 ( 2094960 4694480 ) ( 4310320 * )
NEW Metal3 ( 5995920 4570160 ) ( * 4581360 )
NEW Metal3 ( 5994800 4581360 ) ( 5995920 * )
NEW Metal3 ( 5994800 4581360 ) ( * 4582480 )
NEW Metal3 ( 5994800 4582480 ) ( 5995920 * 0 )
NEW Metal3 ( 4310320 4570160 ) ( 5995920 * )
NEW Metal2 ( 2094960 4694480 ) Via2_VH
NEW Metal2 ( 4310320 4694480 ) Via2_VH
NEW Metal2 ( 4310320 4570160 ) Via2_VH ;
- io_oeb[12] ( PIN io_oeb[12] ) ( computer io_oeb[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 2167760 4688880 ) ( 2172240 * 0 )
NEW Metal2 ( 2167760 4688880 ) ( * 5023760 )
NEW Metal3 ( 5995920 5023760 ) ( * 5033840 )
NEW Metal3 ( 5994800 5033840 ) ( 5995920 * )
NEW Metal3 ( 5994800 5033840 ) ( * 5034960 )
NEW Metal3 ( 5994800 5034960 ) ( 5995920 * 0 )
NEW Metal3 ( 2167760 5023760 ) ( 5995920 * )
NEW Metal2 ( 2167760 5023760 ) Via2_VH ;
- io_oeb[13] ( PIN io_oeb[13] ) ( computer io_oeb[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 2241680 4688880 ) ( 2249520 * 0 )
NEW Metal3 ( 5995920 5477360 ) ( * 5486320 )
NEW Metal3 ( 5994800 5486320 ) ( 5995920 * )
NEW Metal3 ( 5994800 5486320 ) ( * 5487440 )
NEW Metal3 ( 5994800 5487440 ) ( 5995920 * 0 )
NEW Metal3 ( 2234960 5477360 ) ( 5995920 * )
NEW Metal2 ( 2234960 4905600 ) ( 2241680 * )
NEW Metal2 ( 2241680 4688880 ) ( * 4905600 )
NEW Metal2 ( 2234960 4905600 ) ( * 5477360 )
NEW Metal2 ( 2234960 5477360 ) Via2_VH ;
- io_oeb[14] ( PIN io_oeb[14] ) ( computer io_oeb[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 2326800 4688880 0 ) ( * 4712400 )
NEW Metal2 ( 5958960 4712400 ) ( * 5939920 )
NEW Metal3 ( 2326800 4712400 ) ( 5958960 * )
NEW Metal3 ( 5958960 5939920 ) ( 5995920 * 0 )
NEW Metal2 ( 2326800 4712400 ) Via2_VH
NEW Metal2 ( 5958960 4712400 ) Via2_VH
NEW Metal2 ( 5958960 5939920 ) Via2_VH ;
- io_oeb[15] ( PIN io_oeb[15] ) ( computer io_oeb[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 2404080 4688880 0 ) ( * 4714640 )
NEW Metal2 ( 5393360 5995920 ) ( 5401200 * )
NEW Metal2 ( 5401200 5994800 ) ( * 5995920 )
NEW Metal2 ( 5401200 5994800 ) ( 5402320 * )
NEW Metal2 ( 5402320 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 5393360 4714640 ) ( * 5995920 )
NEW Metal3 ( 2404080 4714640 ) ( 5393360 * )
NEW Metal2 ( 2404080 4714640 ) Via2_VH
NEW Metal2 ( 5393360 4714640 ) Via2_VH ;
- io_oeb[16] ( PIN io_oeb[16] ) ( computer io_oeb[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 4739280 5955600 ) ( * 5995920 0 )
NEW Metal2 ( 2473520 4688880 ) ( 2481360 * 0 )
NEW Metal3 ( 3435600 5955600 ) ( 4739280 * )
NEW Metal2 ( 2473520 4688880 ) ( * 4897200 )
NEW Metal3 ( 2473520 4897200 ) ( 3435600 * )
NEW Metal2 ( 3435600 4897200 ) ( * 5955600 )
NEW Metal2 ( 4739280 5955600 ) Via2_VH
NEW Metal2 ( 3435600 5955600 ) Via2_VH
NEW Metal2 ( 2473520 4897200 ) Via2_VH
NEW Metal2 ( 3435600 4897200 ) Via2_VH ;
- io_oeb[17] ( PIN io_oeb[17] ) ( computer io_oeb[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 2554160 4688880 ) ( 2558640 * 0 )
NEW Metal2 ( 2554160 4688880 ) ( * 4915120 )
NEW Metal2 ( 4066160 5995920 ) ( 4075120 * )
NEW Metal2 ( 4075120 5994800 ) ( * 5995920 )
NEW Metal2 ( 4075120 5994800 ) ( 4076240 * )
NEW Metal2 ( 4076240 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 4066160 4915120 ) ( * 5995920 )
NEW Metal3 ( 2554160 4915120 ) ( 4066160 * )
NEW Metal2 ( 2554160 4915120 ) Via2_VH
NEW Metal2 ( 4066160 4915120 ) Via2_VH ;
- io_oeb[18] ( PIN io_oeb[18] ) ( computer io_oeb[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 2635920 4688880 0 ) ( * 4780720 )
NEW Metal2 ( 2830800 4780720 ) ( * 5956720 )
NEW Metal3 ( 2635920 4780720 ) ( 2830800 * )
NEW Metal3 ( 2830800 5956720 ) ( 3413200 * )
NEW Metal2 ( 3413200 5956720 ) ( * 5995920 0 )
NEW Metal2 ( 2635920 4780720 ) Via2_VH
NEW Metal2 ( 2830800 4780720 ) Via2_VH
NEW Metal2 ( 2830800 5956720 ) Via2_VH
NEW Metal2 ( 3413200 5956720 ) Via2_VH ;
- io_oeb[19] ( PIN io_oeb[19] ) ( computer io_oeb[19] ) + USE SIGNAL
+ ROUTED Metal2 ( 2705360 4688880 ) ( 2713200 * 0 )
NEW Metal3 ( 2705360 4874800 ) ( 2738960 * )
NEW Metal2 ( 2705360 4688880 ) ( * 4874800 )
NEW Metal2 ( 2738960 5995920 ) ( 2749040 * )
NEW Metal2 ( 2749040 5994800 ) ( * 5995920 )
NEW Metal2 ( 2749040 5994800 ) ( 2750160 * )
NEW Metal2 ( 2750160 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 2738960 4874800 ) ( * 5995920 )
NEW Metal2 ( 2705360 4874800 ) Via2_VH
NEW Metal2 ( 2738960 4874800 ) Via2_VH ;
- io_oeb[1] ( PIN io_oeb[1] ) ( computer io_oeb[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1322160 4688880 0 ) ( * 4738160 )
NEW Metal3 ( 1322160 4738160 ) ( 5518800 * )
NEW Metal2 ( 5518800 622160 ) ( * 4738160 )
NEW Metal3 ( 5518800 622160 ) ( 5728800 * )
NEW Metal3 ( 5728800 622160 ) ( * 623280 )
NEW Metal3 ( 5728800 623280 ) ( 5995920 * 0 )
NEW Metal2 ( 1322160 4738160 ) Via2_VH
NEW Metal2 ( 5518800 4738160 ) Via2_VH
NEW Metal2 ( 5518800 622160 ) Via2_VH ;
- io_oeb[20] ( PIN io_oeb[20] ) ( computer io_oeb[20] ) + USE SIGNAL
+ ROUTED Metal3 ( 2089360 5956720 ) ( 2158800 * )
NEW Metal2 ( 2790480 4688880 0 ) ( * 4779600 )
NEW Metal2 ( 2158800 4779600 ) ( * 5956720 )
NEW Metal2 ( 2089360 5956720 ) ( * 5995920 0 )
NEW Metal3 ( 2158800 4779600 ) ( 2790480 * )
NEW Metal2 ( 2158800 4779600 ) Via2_VH
NEW Metal2 ( 2089360 5956720 ) Via2_VH
NEW Metal2 ( 2158800 5956720 ) Via2_VH
NEW Metal2 ( 2790480 4779600 ) Via2_VH ;
- io_oeb[21] ( PIN io_oeb[21] ) ( computer io_oeb[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 2859920 4688880 ) ( 2867760 * 0 )
NEW Metal2 ( 1411760 5995920 ) ( 1422960 * )
NEW Metal2 ( 1422960 5994800 ) ( * 5995920 )
NEW Metal2 ( 1422960 5994800 ) ( 1424080 * )
NEW Metal2 ( 1424080 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 1411760 5770800 ) ( * 5995920 )
NEW Metal2 ( 2856560 4905600 ) ( 2859920 * )
NEW Metal2 ( 2859920 4688880 ) ( * 4905600 )
NEW Metal2 ( 2856560 4905600 ) ( * 5770800 )
NEW Metal3 ( 1411760 5770800 ) ( 2856560 * )
NEW Metal2 ( 1411760 5770800 ) Via2_VH
NEW Metal2 ( 2856560 5770800 ) Via2_VH ;
- io_oeb[22] ( PIN io_oeb[22] ) ( computer io_oeb[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 756560 5995920 ) ( 759920 * )
NEW Metal2 ( 759920 5994800 ) ( * 5995920 )
NEW Metal2 ( 759920 5994800 ) ( 761040 * )
NEW Metal2 ( 761040 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 756560 4718000 ) ( * 5995920 )
NEW Metal2 ( 2945040 4688880 0 ) ( * 4718000 )
NEW Metal3 ( 756560 4718000 ) ( 2945040 * )
NEW Metal2 ( 756560 4718000 ) Via2_VH
NEW Metal2 ( 2945040 4718000 ) Via2_VH ;
- io_oeb[23] ( PIN io_oeb[23] ) ( computer io_oeb[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 3022320 4688880 0 ) ( * 4715760 )
NEW Metal3 ( 84560 4715760 ) ( 3022320 * )
NEW Metal2 ( 84560 5995920 ) ( 96880 * )
NEW Metal2 ( 96880 5994800 ) ( * 5995920 )
NEW Metal2 ( 96880 5994800 ) ( 98000 * )
NEW Metal2 ( 98000 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 84560 4715760 ) ( * 5995920 )
NEW Metal2 ( 84560 4715760 ) Via2_VH
NEW Metal2 ( 3022320 4715760 ) Via2_VH ;
- io_oeb[24] ( PIN io_oeb[24] ) ( computer io_oeb[24] ) + USE SIGNAL
+ ROUTED Metal2 ( 3091760 4688880 ) ( 3099600 * 0 )
NEW Metal3 ( 3920 5594960 ) ( * 5602800 )
NEW Metal3 ( 3920 5602800 ) ( 5040 * )
NEW Metal3 ( 5040 5602800 ) ( * 5603920 )
NEW Metal3 ( 3920 5603920 0 ) ( 5040 * )
NEW Metal2 ( 3091760 4688880 ) ( * 5594960 )
NEW Metal3 ( 3920 5594960 ) ( 3091760 * )
NEW Metal2 ( 3091760 5594960 ) Via2_VH ;
- io_oeb[25] ( PIN io_oeb[25] ) ( computer io_oeb[25] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 5160400 0 ) ( 84000 * )
NEW Metal3 ( 84000 5158160 ) ( * 5160400 )
NEW Metal3 ( 84000 5158160 ) ( 3175760 * )
NEW Metal2 ( 3175760 4905600 ) ( 3176880 * )
NEW Metal2 ( 3176880 4688880 0 ) ( * 4905600 )
NEW Metal2 ( 3175760 4905600 ) ( * 5158160 )
NEW Metal2 ( 3175760 5158160 ) Via2_VH ;
- io_oeb[26] ( PIN io_oeb[26] ) ( computer io_oeb[26] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 4704560 ) ( * 4715760 )
NEW Metal3 ( 3920 4715760 ) ( 5040 * )
NEW Metal3 ( 5040 4715760 ) ( * 4716880 )
NEW Metal3 ( 3920 4716880 0 ) ( 5040 * )
NEW Metal2 ( 151760 4704560 ) ( * 4741520 )
NEW Metal3 ( 3920 4704560 ) ( 151760 * )
NEW Metal2 ( 3254160 4688880 0 ) ( * 4741520 )
NEW Metal3 ( 151760 4741520 ) ( 3254160 * )
NEW Metal2 ( 151760 4704560 ) Via2_VH
NEW Metal2 ( 151760 4741520 ) Via2_VH
NEW Metal2 ( 3254160 4741520 ) Via2_VH ;
- io_oeb[27] ( PIN io_oeb[27] ) ( computer io_oeb[27] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 4267760 ) ( * 4272240 )
NEW Metal3 ( 3920 4272240 ) ( 5040 * )
NEW Metal3 ( 5040 4272240 ) ( * 4273360 )
NEW Metal3 ( 3920 4273360 0 ) ( 5040 * )
NEW Metal2 ( 3326960 4688880 ) ( 3331440 * 0 )
NEW Metal2 ( 1169840 4267760 ) ( * 4924080 )
NEW Metal2 ( 3326960 4688880 ) ( * 4924080 )
NEW Metal3 ( 3920 4267760 ) ( 1169840 * )
NEW Metal3 ( 1169840 4924080 ) ( 3326960 * )
NEW Metal2 ( 1169840 4267760 ) Via2_VH
NEW Metal2 ( 1169840 4924080 ) Via2_VH
NEW Metal2 ( 3326960 4924080 ) Via2_VH ;
- io_oeb[28] ( PIN io_oeb[28] ) ( computer io_oeb[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3830960 0 ) ( 1066800 * )
NEW Metal2 ( 3408720 4688880 0 ) ( * 4744880 )
NEW Metal3 ( 1066800 4744880 ) ( 3408720 * )
NEW Metal2 ( 1066800 3830960 ) ( * 4744880 )
NEW Metal2 ( 1066800 3830960 ) Via2_VH
NEW Metal2 ( 1066800 4744880 ) Via2_VH
NEW Metal2 ( 3408720 4744880 ) Via2_VH ;
- io_oeb[29] ( PIN io_oeb[29] ) ( computer io_oeb[29] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3377360 ) ( * 3385200 )
NEW Metal3 ( 3920 3385200 ) ( 5040 * )
NEW Metal3 ( 5040 3385200 ) ( * 3386320 )
NEW Metal3 ( 3920 3386320 0 ) ( 5040 * )
NEW Metal2 ( 3486000 4688880 0 ) ( * 4743760 )
NEW Metal3 ( 1050000 4743760 ) ( 3486000 * )
NEW Metal3 ( 3920 3377360 ) ( 1050000 * )
NEW Metal2 ( 1050000 3377360 ) ( * 4743760 )
NEW Metal2 ( 1050000 4743760 ) Via2_VH
NEW Metal2 ( 3486000 4743760 ) Via2_VH
NEW Metal2 ( 1050000 3377360 ) Via2_VH ;
- io_oeb[2] ( PIN io_oeb[2] ) ( computer io_oeb[2] ) + USE SIGNAL
+ ROUTED Metal4 ( 1399440 4670960 ) ( * 4683280 )
NEW Metal3 ( 1399440 4670960 ) ( 5552400 * )
NEW Metal3 ( 5995920 958160 ) ( * 961520 )
NEW Metal3 ( 5994800 961520 ) ( 5995920 * )
NEW Metal3 ( 5994800 961520 ) ( * 962640 )
NEW Metal3 ( 5994800 962640 ) ( 5995920 * 0 )
NEW Metal3 ( 5552400 958160 ) ( 5995920 * )
NEW Metal2 ( 5552400 958160 ) ( * 4670960 )
NEW Metal3 ( 1399440 4670960 ) Via3_HV
NEW Metal2 ( 1399440 4683280 ) Via2_VH
NEW Metal3 ( 1399440 4683280 ) Via3_HV
NEW Metal2 ( 5552400 958160 ) Via2_VH
NEW Metal2 ( 5552400 4670960 ) Via2_VH
NEW Metal3 ( 1399440 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_oeb[30] ( PIN io_oeb[30] ) ( computer io_oeb[30] ) + USE SIGNAL
+ ROUTED Metal2 ( 3563280 4688880 0 ) ( 3565520 * )
NEW Metal2 ( 3565520 4688880 ) ( * 4690000 )
NEW Metal3 ( 344400 4690000 ) ( 3565520 * )
NEW Metal3 ( 3920 2942800 0 ) ( 84000 * )
NEW Metal3 ( 84000 2940560 ) ( * 2942800 )
NEW Metal3 ( 84000 2940560 ) ( 344400 * )
NEW Metal2 ( 344400 2940560 ) ( * 4690000 )
NEW Metal2 ( 3565520 4690000 ) Via2_VH
NEW Metal2 ( 344400 4690000 ) Via2_VH
NEW Metal2 ( 344400 2940560 ) Via2_VH ;
- io_oeb[31] ( PIN io_oeb[31] ) ( computer io_oeb[31] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 2486960 ) ( * 2498160 )
NEW Metal3 ( 3920 2498160 ) ( 5040 * )
NEW Metal3 ( 5040 2498160 ) ( * 2499280 )
NEW Metal3 ( 3920 2499280 0 ) ( 5040 * )
NEW Metal3 ( 3920 2486960 ) ( 1033200 * )
NEW Metal2 ( 1033200 2486960 ) ( * 4664240 )
NEW Metal3 ( 1033200 4664240 ) ( 3640560 * )
NEW Metal4 ( 3640560 4664240 ) ( * 4683280 )
NEW Metal2 ( 3640560 4683280 ) Via2_VH
NEW Metal3 ( 3640560 4683280 ) Via3_HV
NEW Metal2 ( 1033200 2486960 ) Via2_VH
NEW Metal2 ( 1033200 4664240 ) Via2_VH
NEW Metal3 ( 3640560 4664240 ) Via3_HV
NEW Metal3 ( 3640560 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_oeb[32] ( PIN io_oeb[32] ) ( computer io_oeb[32] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 2050160 ) ( * 2054640 )
NEW Metal3 ( 3920 2054640 ) ( 5040 * )
NEW Metal3 ( 5040 2054640 ) ( * 2055760 )
NEW Metal3 ( 3920 2055760 0 ) ( 5040 * )
NEW Metal2 ( 260400 2050160 ) ( * 4662000 )
NEW Metal3 ( 3920 2050160 ) ( 260400 * )
NEW Metal3 ( 260400 4662000 ) ( 3717840 * )
NEW Metal4 ( 3717840 4662000 ) ( * 4683280 )
NEW Metal2 ( 260400 2050160 ) Via2_VH
NEW Metal2 ( 260400 4662000 ) Via2_VH
NEW Metal2 ( 3717840 4683280 ) Via2_VH
NEW Metal3 ( 3717840 4683280 ) Via3_HV
NEW Metal3 ( 3717840 4662000 ) Via3_HV
NEW Metal3 ( 3717840 4683280 ) RECT ( -660 -280 0 280 ) ;
- io_oeb[33] ( PIN io_oeb[33] ) ( computer io_oeb[33] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 1614480 0 ) ( 42000 * )
NEW Metal2 ( 3787280 4688880 ) ( 3795120 * 0 )
NEW Metal2 ( 42000 1614480 ) ( * 4990160 )
NEW Metal2 ( 3780560 4905600 ) ( * 4990160 )
NEW Metal2 ( 3780560 4905600 ) ( 3787280 * )
NEW Metal2 ( 3787280 4688880 ) ( * 4905600 )
NEW Metal3 ( 42000 4990160 ) ( 3780560 * )
NEW Metal2 ( 42000 1614480 ) Via2_VH
NEW Metal2 ( 42000 4990160 ) Via2_VH
NEW Metal2 ( 3780560 4990160 ) Via2_VH ;
- io_oeb[34] ( PIN io_oeb[34] ) ( computer io_oeb[34] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 1159760 ) ( * 1167600 )
NEW Metal3 ( 3920 1167600 ) ( 5040 * )
NEW Metal3 ( 5040 1167600 ) ( * 1168720 )
NEW Metal3 ( 3920 1168720 0 ) ( 5040 * )
NEW Metal2 ( 512400 1159760 ) ( * 4740400 )
NEW Metal3 ( 3920 1159760 ) ( 512400 * )
NEW Metal2 ( 3872400 4688880 0 ) ( * 4740400 )
NEW Metal3 ( 512400 4740400 ) ( 3872400 * )
NEW Metal2 ( 512400 1159760 ) Via2_VH
NEW Metal2 ( 512400 4740400 ) Via2_VH
NEW Metal2 ( 3872400 4740400 ) Via2_VH ;
- io_oeb[35] ( PIN io_oeb[35] ) ( computer io_oeb[35] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 727440 0 ) ( 75600 * )
NEW Metal2 ( 75600 727440 ) ( * 4688880 )
NEW Metal3 ( 75600 4688880 ) ( 3949680 * )
NEW Metal2 ( 75600 727440 ) Via2_VH
NEW Metal2 ( 75600 4688880 ) Via2_VH
NEW Metal2 ( 3949680 4688880 ) Via2_VH ;
- io_oeb[36] ( PIN io_oeb[36] ) ( computer io_oeb[36] ) + USE SIGNAL
+ ROUTED Metal2 ( 4026960 4688880 0 ) ( * 4706800 )
NEW Metal3 ( 3920 394800 0 ) ( 43120 * )
NEW Metal2 ( 43120 394800 ) ( * 1386000 )
NEW Metal3 ( 43120 1386000 ) ( 4153520 * )
NEW Metal3 ( 4026960 4706800 ) ( 4153520 * )
NEW Metal2 ( 4153520 1386000 ) ( * 4706800 )
NEW Metal2 ( 43120 1386000 ) Via2_VH
NEW Metal2 ( 4026960 4706800 ) Via2_VH
NEW Metal2 ( 43120 394800 ) Via2_VH
NEW Metal2 ( 4153520 1386000 ) Via2_VH
NEW Metal2 ( 4153520 4706800 ) Via2_VH ;
- io_oeb[37] ( PIN io_oeb[37] ) ( computer io_oeb[37] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 62160 0 ) ( 42000 * )
NEW Metal2 ( 42000 62160 ) ( * 1352400 )
NEW Metal3 ( 4104240 4704560 ) ( 4155760 * )
NEW Metal2 ( 4104240 4688880 0 ) ( * 4704560 )
NEW Metal3 ( 42000 1352400 ) ( 4155760 * )
NEW Metal2 ( 4155760 1352400 ) ( * 4704560 )
NEW Metal2 ( 42000 62160 ) Via2_VH
NEW Metal2 ( 42000 1352400 ) Via2_VH
NEW Metal2 ( 4155760 4704560 ) Via2_VH
NEW Metal2 ( 4104240 4704560 ) Via2_VH
NEW Metal2 ( 4155760 1352400 ) Via2_VH ;
- io_oeb[3] ( PIN io_oeb[3] ) ( computer io_oeb[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 1468880 4688880 ) ( 1476720 * 0 )
NEW Metal2 ( 1468880 4688880 ) ( * 4789680 )
NEW Metal3 ( 1468880 4789680 ) ( 4326000 * )
NEW Metal2 ( 4326000 1294160 ) ( * 4789680 )
NEW Metal3 ( 5995920 1294160 ) ( * 1300880 )
NEW Metal3 ( 5994800 1300880 ) ( 5995920 * )
NEW Metal3 ( 5994800 1300880 ) ( * 1302000 )
NEW Metal3 ( 5994800 1302000 ) ( 5995920 * 0 )
NEW Metal3 ( 4326000 1294160 ) ( 5995920 * )
NEW Metal2 ( 1468880 4789680 ) Via2_VH
NEW Metal2 ( 4326000 1294160 ) Via2_VH
NEW Metal2 ( 4326000 4789680 ) Via2_VH ;
- io_oeb[4] ( PIN io_oeb[4] ) ( computer io_oeb[4] ) + USE SIGNAL
+ ROUTED Metal3 ( 1612800 4675440 ) ( * 4683280 )
NEW Metal3 ( 1554000 4683280 ) ( 1612800 * )
NEW Metal3 ( 1612800 4675440 ) ( 5115600 * )
NEW Metal3 ( 5995920 1630160 ) ( * 1640240 )
NEW Metal3 ( 5994800 1640240 ) ( 5995920 * )
NEW Metal3 ( 5994800 1640240 ) ( * 1641360 )
NEW Metal3 ( 5994800 1641360 ) ( 5995920 * 0 )
NEW Metal3 ( 5115600 1630160 ) ( 5995920 * )
NEW Metal2 ( 5115600 1630160 ) ( * 4675440 )
NEW Metal2 ( 1554000 4683280 ) Via2_VH
NEW Metal2 ( 5115600 1630160 ) Via2_VH
NEW Metal2 ( 5115600 4675440 ) Via2_VH ;
- io_oeb[5] ( PIN io_oeb[5] ) ( computer io_oeb[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1631280 4688880 0 ) ( * 4692240 )
NEW Metal3 ( 1631280 4692240 ) ( 4359600 * )
NEW Metal2 ( 4359600 1966160 ) ( * 4692240 )
NEW Metal3 ( 5995920 1966160 ) ( * 1979600 )
NEW Metal3 ( 5994800 1979600 ) ( 5995920 * )
NEW Metal3 ( 5994800 1979600 ) ( * 1980720 )
NEW Metal3 ( 5994800 1980720 ) ( 5995920 * 0 )
NEW Metal3 ( 4359600 1966160 ) ( 5995920 * )
NEW Metal2 ( 1631280 4692240 ) Via2_VH
NEW Metal2 ( 4359600 4692240 ) Via2_VH
NEW Metal2 ( 4359600 1966160 ) Via2_VH ;
- io_oeb[6] ( PIN io_oeb[6] ) ( computer io_oeb[6] ) + USE SIGNAL
+ ROUTED Metal3 ( 1708560 4683280 ) ( 1730400 * )
NEW Metal3 ( 1730400 4676560 ) ( * 4683280 )
NEW Metal3 ( 1730400 4676560 ) ( 4393200 * )
NEW Metal3 ( 4393200 2318960 ) ( 5728800 * )
NEW Metal3 ( 5728800 2318960 ) ( * 2320080 )
NEW Metal3 ( 5728800 2320080 ) ( 5995920 * 0 )
NEW Metal2 ( 4393200 2318960 ) ( * 4676560 )
NEW Metal2 ( 1708560 4683280 ) Via2_VH
NEW Metal2 ( 4393200 2318960 ) Via2_VH
NEW Metal2 ( 4393200 4676560 ) Via2_VH ;
- io_oeb[7] ( PIN io_oeb[7] ) ( computer io_oeb[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 4309200 2772560 ) ( * 4761680 )
NEW Metal2 ( 1785840 4688880 0 ) ( * 4761680 )
NEW Metal3 ( 1785840 4761680 ) ( 4309200 * )
NEW Metal3 ( 4309200 2772560 ) ( 5995920 * 0 )
NEW Metal2 ( 4309200 4761680 ) Via2_VH
NEW Metal2 ( 4309200 2772560 ) Via2_VH
NEW Metal2 ( 1785840 4761680 ) Via2_VH ;
- io_oeb[8] ( PIN io_oeb[8] ) ( computer io_oeb[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1855280 4688880 ) ( 1863120 * 0 )
NEW Metal2 ( 1848560 4905600 ) ( * 4940880 )
NEW Metal2 ( 1848560 4905600 ) ( 1855280 * )
NEW Metal2 ( 1855280 4688880 ) ( * 4905600 )
NEW Metal2 ( 5957840 3227280 ) ( * 4940880 )
NEW Metal3 ( 1848560 4940880 ) ( 5957840 * )
NEW Metal3 ( 5957840 3227280 ) ( 5995920 * 0 )
NEW Metal2 ( 1848560 4940880 ) Via2_VH
NEW Metal2 ( 5957840 4940880 ) Via2_VH
NEW Metal2 ( 5957840 3227280 ) Via2_VH ;
- io_oeb[9] ( PIN io_oeb[9] ) ( computer io_oeb[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 1940400 4688880 0 ) ( * 4760560 )
NEW Metal2 ( 4477200 3662960 ) ( * 4760560 )
NEW Metal3 ( 1940400 4760560 ) ( 4477200 * )
NEW Metal3 ( 5995920 3662960 ) ( * 3676400 )
NEW Metal3 ( 5994800 3676400 ) ( 5995920 * )
NEW Metal3 ( 5994800 3676400 ) ( * 3677520 )
NEW Metal3 ( 5994800 3677520 ) ( 5995920 * 0 )
NEW Metal3 ( 4477200 3662960 ) ( 5995920 * )
NEW Metal2 ( 1940400 4760560 ) Via2_VH
NEW Metal2 ( 4477200 4760560 ) Via2_VH
NEW Metal2 ( 4477200 3662960 ) Via2_VH ;
- io_out[0] ( PIN io_out[0] ) ( computer io_out[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 5922000 173040 ) ( * 4771760 )
NEW Metal2 ( 1270640 4688880 0 ) ( * 4771760 )
NEW Metal3 ( 1270640 4771760 ) ( 5922000 * )
NEW Metal3 ( 5922000 173040 ) ( 5995920 * 0 )
NEW Metal2 ( 5922000 4771760 ) Via2_VH
NEW Metal2 ( 5922000 173040 ) Via2_VH
NEW Metal2 ( 1270640 4771760 ) Via2_VH ;
- io_out[10] ( PIN io_out[10] ) ( computer io_out[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 4510800 4015760 ) ( * 4666480 )
NEW Metal3 ( 2042320 4683280 ) ( 2043440 * )
NEW Metal3 ( 4510800 4015760 ) ( 5728800 * )
NEW Metal3 ( 5728800 4015760 ) ( * 4016880 )
NEW Metal3 ( 5728800 4016880 ) ( 5995920 * 0 )
NEW Metal4 ( 2042320 4666480 ) ( * 4683280 )
NEW Metal3 ( 2042320 4666480 ) ( 4510800 * )
NEW Metal2 ( 4510800 4015760 ) Via2_VH
NEW Metal2 ( 4510800 4666480 ) Via2_VH
NEW Metal3 ( 2042320 4683280 ) Via3_HV
NEW Metal2 ( 2043440 4683280 ) Via2_VH
NEW Metal3 ( 2042320 4666480 ) Via3_HV ;
- io_out[11] ( PIN io_out[11] ) ( computer io_out[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 2117360 4688880 ) ( 2120720 * 0 )
NEW Metal2 ( 2117360 4688880 ) ( * 4806480 )
NEW Metal2 ( 4544400 4469360 ) ( * 4806480 )
NEW Metal3 ( 4544400 4469360 ) ( 5995920 * 0 )
NEW Metal3 ( 2117360 4806480 ) ( 4544400 * )
NEW Metal2 ( 4544400 4469360 ) Via2_VH
NEW Metal2 ( 2117360 4806480 ) Via2_VH
NEW Metal2 ( 4544400 4806480 ) Via2_VH ;
- io_out[12] ( PIN io_out[12] ) ( computer io_out[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 2198000 4688880 0 ) ( * 4780720 )
NEW Metal3 ( 2198000 4780720 ) ( 2494800 * )
NEW Metal3 ( 2494800 4922960 ) ( 5995920 * 0 )
NEW Metal2 ( 2494800 4780720 ) ( * 4922960 )
NEW Metal2 ( 2198000 4780720 ) Via2_VH
NEW Metal2 ( 2494800 4780720 ) Via2_VH
NEW Metal2 ( 2494800 4922960 ) Via2_VH ;
- io_out[13] ( PIN io_out[13] ) ( computer io_out[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 2268560 4688880 ) ( 2275280 * 0 )
NEW Metal2 ( 2268560 4688880 ) ( * 5359760 )
NEW Metal3 ( 5995920 5359760 ) ( * 5373200 )
NEW Metal3 ( 5994800 5373200 ) ( 5995920 * )
NEW Metal3 ( 5994800 5373200 ) ( * 5374320 )
NEW Metal3 ( 5994800 5374320 ) ( 5995920 * 0 )
NEW Metal3 ( 2268560 5359760 ) ( 5995920 * )
NEW Metal2 ( 2268560 5359760 ) Via2_VH ;
- io_out[14] ( PIN io_out[14] ) ( computer io_out[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 2352560 4688880 0 ) ( * 4796400 )
NEW Metal3 ( 2352560 4796400 ) ( 2780400 * )
NEW Metal2 ( 2780400 4796400 ) ( * 5813360 )
NEW Metal3 ( 5995920 5813360 ) ( * 5825680 )
NEW Metal3 ( 5994800 5825680 ) ( 5995920 * )
NEW Metal3 ( 5994800 5825680 ) ( * 5826800 )
NEW Metal3 ( 5994800 5826800 ) ( 5995920 * 0 )
NEW Metal3 ( 2780400 5813360 ) ( 5995920 * )
NEW Metal2 ( 2352560 4796400 ) Via2_VH
NEW Metal2 ( 2780400 4796400 ) Via2_VH
NEW Metal2 ( 2780400 5813360 ) Via2_VH ;
- io_out[15] ( PIN io_out[15] ) ( computer io_out[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 2422000 4688880 ) ( 2429840 * 0 )
NEW Metal2 ( 2422000 4688880 ) ( * 4788000 )
NEW Metal2 ( 2419760 4788000 ) ( 2422000 * )
NEW Metal2 ( 2419760 4788000 ) ( * 5888400 )
NEW Metal3 ( 2419760 5888400 ) ( 5560240 * )
NEW Metal2 ( 5560240 5888400 ) ( * 5964000 )
NEW Metal2 ( 5560240 5964000 ) ( 5561360 * )
NEW Metal2 ( 5561360 5964000 ) ( * 5995920 )
NEW Metal2 ( 5561360 5995920 ) ( 5566960 * )
NEW Metal2 ( 5566960 5994800 ) ( * 5995920 )
NEW Metal2 ( 5566960 5994800 ) ( 5568080 * )
NEW Metal2 ( 5568080 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 2419760 5888400 ) Via2_VH
NEW Metal2 ( 5560240 5888400 ) Via2_VH ;
- io_out[16] ( PIN io_out[16] ) ( computer io_out[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 4762800 5014800 ) ( * 5955600 )
NEW Metal2 ( 4906160 5955600 ) ( * 5995920 0 )
NEW Metal2 ( 2503760 4688880 ) ( 2507120 * 0 )
NEW Metal3 ( 2503760 5014800 ) ( 4762800 * )
NEW Metal3 ( 4762800 5955600 ) ( 4906160 * )
NEW Metal2 ( 2503760 4688880 ) ( * 5014800 )
NEW Metal2 ( 4762800 5014800 ) Via2_VH
NEW Metal2 ( 4762800 5955600 ) Via2_VH
NEW Metal2 ( 4906160 5955600 ) Via2_VH
NEW Metal2 ( 2503760 5014800 ) Via2_VH ;
- io_out[17] ( PIN io_out[17] ) ( computer io_out[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 2584400 4688880 0 ) ( * 4719120 )
NEW Metal2 ( 4234160 5995920 ) ( 4240880 * )
NEW Metal2 ( 4240880 5994800 ) ( * 5995920 )
NEW Metal2 ( 4240880 5994800 ) ( 4242000 * )
NEW Metal2 ( 4242000 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 4234160 4719120 ) ( * 5995920 )
NEW Metal3 ( 2584400 4719120 ) ( 4234160 * )
NEW Metal2 ( 2584400 4719120 ) Via2_VH
NEW Metal2 ( 4234160 4719120 ) Via2_VH ;
- io_out[18] ( PIN io_out[18] ) ( computer io_out[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 2661680 4688880 0 ) ( * 4711280 )
NEW Metal2 ( 3578960 4711280 ) ( * 5995920 0 )
NEW Metal3 ( 2661680 4711280 ) ( 3578960 * )
NEW Metal2 ( 2661680 4711280 ) Via2_VH
NEW Metal2 ( 3578960 4711280 ) Via2_VH ;
- io_out[19] ( PIN io_out[19] ) ( computer io_out[19] ) + USE SIGNAL
+ ROUTED Metal2 ( 2738960 4688880 0 ) ( 2741200 * )
NEW Metal2 ( 2741200 4688880 ) ( * 4881520 )
NEW Metal3 ( 2741200 4881520 ) ( 2906960 * )
NEW Metal2 ( 2906960 5995920 ) ( 2914800 * )
NEW Metal2 ( 2914800 5994800 ) ( * 5995920 )
NEW Metal2 ( 2914800 5994800 ) ( 2915920 * )
NEW Metal2 ( 2915920 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 2906960 4881520 ) ( * 5995920 )
NEW Metal2 ( 2741200 4881520 ) Via2_VH
NEW Metal2 ( 2906960 4881520 ) Via2_VH ;
- io_out[1] ( PIN io_out[1] ) ( computer io_out[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1347920 4688880 0 ) ( * 4772880 )
NEW Metal3 ( 1347920 4772880 ) ( 5586000 * )
NEW Metal3 ( 5995920 504560 ) ( * 509040 )
NEW Metal3 ( 5994800 509040 ) ( 5995920 * )
NEW Metal3 ( 5994800 509040 ) ( * 510160 )
NEW Metal3 ( 5994800 510160 ) ( 5995920 * 0 )
NEW Metal3 ( 5586000 504560 ) ( 5995920 * )
NEW Metal2 ( 5586000 504560 ) ( * 4772880 )
NEW Metal2 ( 1347920 4772880 ) Via2_VH
NEW Metal2 ( 5586000 504560 ) Via2_VH
NEW Metal2 ( 5586000 4772880 ) Via2_VH ;
- io_out[20] ( PIN io_out[20] ) ( computer io_out[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 2808400 4688880 ) ( 2816240 * 0 )
NEW Metal2 ( 2806160 4905600 ) ( 2808400 * )
NEW Metal2 ( 2808400 4688880 ) ( * 4905600 )
NEW Metal2 ( 2806160 4905600 ) ( * 5401200 )
NEW Metal3 ( 2255120 5947760 ) ( 2276400 * )
NEW Metal3 ( 2276400 5401200 ) ( 2806160 * )
NEW Metal2 ( 2276400 5401200 ) ( * 5947760 )
NEW Metal2 ( 2255120 5947760 ) ( * 5995920 0 )
NEW Metal2 ( 2806160 5401200 ) Via2_VH
NEW Metal2 ( 2276400 5401200 ) Via2_VH
NEW Metal2 ( 2255120 5947760 ) Via2_VH
NEW Metal2 ( 2276400 5947760 ) Via2_VH ;
- io_out[21] ( PIN io_out[21] ) ( computer io_out[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 2893520 4688880 0 ) ( * 4720240 )
NEW Metal3 ( 1579760 4720240 ) ( 2893520 * )
NEW Metal2 ( 1579760 5995920 ) ( 1588720 * )
NEW Metal2 ( 1588720 5994800 ) ( * 5995920 )
NEW Metal2 ( 1588720 5994800 ) ( 1589840 * )
NEW Metal2 ( 1589840 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 1579760 4720240 ) ( * 5995920 )
NEW Metal2 ( 2893520 4720240 ) Via2_VH
NEW Metal2 ( 1579760 4720240 ) Via2_VH ;
- io_out[22] ( PIN io_out[22] ) ( computer io_out[22] ) + USE SIGNAL
+ ROUTED Metal3 ( 929040 5947760 ) ( 949200 * )
NEW Metal2 ( 949200 5804400 ) ( * 5947760 )
NEW Metal2 ( 929040 5947760 ) ( * 5995920 0 )
NEW Metal2 ( 2962960 4688880 ) ( 2970800 * 0 )
NEW Metal2 ( 2957360 4905600 ) ( 2962960 * )
NEW Metal2 ( 2962960 4688880 ) ( * 4905600 )
NEW Metal3 ( 949200 5804400 ) ( 2957360 * )
NEW Metal2 ( 2957360 4905600 ) ( * 5804400 )
NEW Metal2 ( 929040 5947760 ) Via2_VH
NEW Metal2 ( 949200 5947760 ) Via2_VH
NEW Metal2 ( 949200 5804400 ) Via2_VH
NEW Metal2 ( 2957360 5804400 ) Via2_VH ;
- io_out[23] ( PIN io_out[23] ) ( computer io_out[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 3048080 4688880 0 ) ( * 4716880 )
NEW Metal2 ( 252560 5995920 ) ( 262640 * )
NEW Metal2 ( 262640 5994800 ) ( * 5995920 )
NEW Metal2 ( 262640 5994800 ) ( 263760 * )
NEW Metal2 ( 263760 5994800 ) ( * 5995920 0 )
NEW Metal2 ( 252560 4716880 ) ( * 5995920 )
NEW Metal3 ( 252560 4716880 ) ( 3048080 * )
NEW Metal2 ( 252560 4716880 ) Via2_VH
NEW Metal2 ( 3048080 4716880 ) Via2_VH ;
- io_out[24] ( PIN io_out[24] ) ( computer io_out[24] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 5714800 0 ) ( 44240 * )
NEW Metal2 ( 3125360 4688880 0 ) ( * 4713520 )
NEW Metal2 ( 44240 4713520 ) ( * 5714800 )
NEW Metal3 ( 44240 4713520 ) ( 3125360 * )
NEW Metal2 ( 44240 4713520 ) Via2_VH
NEW Metal2 ( 44240 5714800 ) Via2_VH
NEW Metal2 ( 3125360 4713520 ) Via2_VH ;
- io_out[25] ( PIN io_out[25] ) ( computer io_out[25] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 5258960 ) ( * 5270160 )
NEW Metal3 ( 3920 5270160 ) ( 5040 * )
NEW Metal3 ( 5040 5270160 ) ( * 5271280 )
NEW Metal3 ( 3920 5271280 0 ) ( 5040 * )
NEW Metal2 ( 1201200 4914000 ) ( * 5258960 )
NEW Metal2 ( 3194800 4688880 ) ( 3202640 * 0 )
NEW Metal3 ( 1201200 4914000 ) ( 3192560 * )
NEW Metal3 ( 3920 5258960 ) ( 1201200 * )
NEW Metal2 ( 3192560 4905600 ) ( * 4914000 )
NEW Metal2 ( 3192560 4905600 ) ( 3194800 * )
NEW Metal2 ( 3194800 4688880 ) ( * 4905600 )
NEW Metal2 ( 1201200 4914000 ) Via2_VH
NEW Metal2 ( 1201200 5258960 ) Via2_VH
NEW Metal2 ( 3192560 4914000 ) Via2_VH ;
- io_out[26] ( PIN io_out[26] ) ( computer io_out[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 3276560 4688880 ) ( 3279920 * 0 )
NEW Metal2 ( 40880 4813200 ) ( * 4827760 )
NEW Metal3 ( 3920 4827760 0 ) ( 40880 * )
NEW Metal2 ( 3276560 4688880 ) ( * 4813200 )
NEW Metal3 ( 40880 4813200 ) ( 3276560 * )
NEW Metal2 ( 40880 4813200 ) Via2_VH
NEW Metal2 ( 40880 4827760 ) Via2_VH
NEW Metal2 ( 3276560 4813200 ) Via2_VH ;
- io_out[27] ( PIN io_out[27] ) ( computer io_out[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 3357200 4688880 0 ) ( * 4774000 )
NEW Metal2 ( 310800 4385360 ) ( * 4774000 )
NEW Metal3 ( 310800 4774000 ) ( 3357200 * )
NEW Metal3 ( 3920 4385360 0 ) ( 310800 * )
NEW Metal2 ( 310800 4774000 ) Via2_VH
NEW Metal2 ( 3357200 4774000 ) Via2_VH
NEW Metal2 ( 310800 4385360 ) Via2_VH ;
- io_out[28] ( PIN io_out[28] ) ( computer io_out[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3931760 ) ( * 3939600 )
NEW Metal3 ( 3920 3939600 ) ( 5040 * )
NEW Metal3 ( 5040 3939600 ) ( * 3940720 )
NEW Metal3 ( 3920 3940720 0 ) ( 5040 * )
NEW Metal2 ( 3427760 4688880 ) ( 3434480 * 0 )
NEW Metal3 ( 3920 3931760 ) ( 394800 * )
NEW Metal2 ( 394800 3931760 ) ( * 4788560 )
NEW Metal3 ( 394800 4788560 ) ( 3427760 * )
NEW Metal2 ( 3427760 4688880 ) ( * 4788560 )
NEW Metal2 ( 394800 3931760 ) Via2_VH
NEW Metal2 ( 394800 4788560 ) Via2_VH
NEW Metal2 ( 3427760 4788560 ) Via2_VH ;
- io_out[29] ( PIN io_out[29] ) ( computer io_out[29] ) + USE SIGNAL
+ ROUTED Metal2 ( 3511760 4688880 0 ) ( * 4742640 )
NEW Metal2 ( 982800 3494960 ) ( * 4742640 )
NEW Metal3 ( 3920 3497200 0 ) ( 84000 * )
NEW Metal3 ( 84000 3494960 ) ( * 3497200 )
NEW Metal3 ( 84000 3494960 ) ( 982800 * )
NEW Metal3 ( 982800 4742640 ) ( 3511760 * )
NEW Metal2 ( 982800 3494960 ) Via2_VH
NEW Metal2 ( 982800 4742640 ) Via2_VH
NEW Metal2 ( 3511760 4742640 ) Via2_VH ;
- io_out[2] ( PIN io_out[2] ) ( computer io_out[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 1417360 4688880 ) ( 1425200 * 0 )
NEW Metal2 ( 1417360 4688880 ) ( * 4822160 )
NEW Metal3 ( 1417360 4822160 ) ( 4662000 * )
NEW Metal2 ( 4662000 840560 ) ( * 4822160 )
NEW Metal3 ( 5995920 840560 ) ( * 848400 )
NEW Metal3 ( 5994800 848400 ) ( 5995920 * )
NEW Metal3 ( 5994800 848400 ) ( * 849520 )
NEW Metal3 ( 5994800 849520 ) ( 5995920 * 0 )
NEW Metal3 ( 4662000 840560 ) ( 5995920 * )
NEW Metal2 ( 1417360 4822160 ) Via2_VH
NEW Metal2 ( 4662000 840560 ) Via2_VH
NEW Metal2 ( 4662000 4822160 ) Via2_VH ;
- io_out[30] ( PIN io_out[30] ) ( computer io_out[30] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 3041360 ) ( * 3052560 )
NEW Metal3 ( 3920 3052560 ) ( 5040 * )
NEW Metal3 ( 5040 3052560 ) ( * 3053680 )
NEW Metal3 ( 3920 3053680 0 ) ( 5040 * )
NEW Metal2 ( 3581200 4688880 ) ( 3589040 * 0 )
NEW Metal2 ( 714000 3041360 ) ( * 4823280 )
NEW Metal2 ( 3581200 4688880 ) ( * 4823280 )
NEW Metal3 ( 3920 3041360 ) ( 714000 * )
NEW Metal3 ( 714000 4823280 ) ( 3581200 * )
NEW Metal2 ( 714000 3041360 ) Via2_VH
NEW Metal2 ( 714000 4823280 ) Via2_VH
NEW Metal2 ( 3581200 4823280 ) Via2_VH ;
- io_out[31] ( PIN io_out[31] ) ( computer io_out[31] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 2604560 ) ( * 2609040 )
NEW Metal3 ( 3920 2609040 ) ( 5040 * )
NEW Metal3 ( 5040 2609040 ) ( * 2610160 )
NEW Metal3 ( 3920 2610160 0 ) ( 5040 * )
NEW Metal3 ( 3920 2604560 ) ( 865200 * )
NEW Metal2 ( 3662960 4688880 ) ( 3666320 * 0 )
NEW Metal2 ( 865200 2604560 ) ( * 4790800 )
NEW Metal3 ( 865200 4790800 ) ( 3662960 * )
NEW Metal2 ( 3662960 4688880 ) ( * 4790800 )
NEW Metal2 ( 865200 2604560 ) Via2_VH
NEW Metal2 ( 865200 4790800 ) Via2_VH
NEW Metal2 ( 3662960 4790800 ) Via2_VH ;
- io_out[32] ( PIN io_out[32] ) ( computer io_out[32] ) + USE SIGNAL
+ ROUTED Metal2 ( 3735760 4688880 ) ( 3743600 * 0 )
NEW Metal2 ( 1168720 2167760 ) ( * 4890480 )
NEW Metal2 ( 3735760 4688880 ) ( * 4890480 )
NEW Metal3 ( 3920 2167760 0 ) ( 1168720 * )
NEW Metal3 ( 1168720 4890480 ) ( 3735760 * )
NEW Metal2 ( 1168720 2167760 ) Via2_VH
NEW Metal2 ( 1168720 4890480 ) Via2_VH
NEW Metal2 ( 3735760 4890480 ) Via2_VH ;
- io_out[33] ( PIN io_out[33] ) ( computer io_out[33] ) + USE SIGNAL
+ ROUTED Metal3 ( 3920 1714160 ) ( * 1722000 )
NEW Metal3 ( 3920 1722000 ) ( 5040 * )
NEW Metal3 ( 5040 1722000 ) ( * 1723120 )
NEW Metal3 ( 3920 1723120 0 ) ( 5040 * )
NEW Metal2 ( 3814160 4688880 ) ( 3820880 * 0 )
NEW Metal2 ( 680400 1714160 ) ( * 4841200 )
NEW Metal2 ( 3814160 4688880 ) ( * 4841200 )
NEW Metal3 ( 3920 1714160 ) ( 680400 * )
NEW Metal3 ( 680400 4841200 ) ( 3814160 * )
NEW Metal2 ( 680400 1714160 ) Via2_VH
NEW Metal2 ( 680400 4841200 ) Via2_VH
NEW Metal2 ( 3814160 4841200 ) Via2_VH ;
- io_out[34] ( PIN io_out[34] ) ( computer io_out[34] ) + USE SIGNAL
+ ROUTED Metal2 ( 1167600 1277360 ) ( * 4873680 )
NEW Metal3 ( 3920 1279600 0 ) ( 84000 * )
NEW Metal3 ( 84000 1277360 ) ( * 1279600 )
NEW Metal3 ( 84000 1277360 ) ( 1167600 * )
NEW Metal3 ( 1167600 4873680 ) ( 3898160 * )
NEW Metal2 ( 3898160 4688880 0 ) ( * 4873680 )
NEW Metal2 ( 1167600 1277360 ) Via2_VH
NEW Metal2 ( 1167600 4873680 ) Via2_VH
NEW Metal2 ( 3898160 4873680 ) Via2_VH ;
- io_out[35] ( PIN io_out[35] ) ( computer io_out[35] ) + USE SIGNAL
+ ROUTED Metal2 ( 3967600 4688880 ) ( 3975440 * 0 )
NEW Metal3 ( 3920 823760 ) ( * 834960 )
NEW Metal3 ( 3920 834960 ) ( 5040 * )
NEW Metal3 ( 5040 834960 ) ( * 836080 )
NEW Metal3 ( 3920 836080 0 ) ( 5040 * )
NEW Metal2 ( 3967600 4688880 ) ( * 4840080 )
NEW Metal3 ( 3920 823760 ) ( 630000 * )
NEW Metal2 ( 630000 823760 ) ( * 4840080 )
NEW Metal3 ( 630000 4840080 ) ( 3967600 * )
NEW Metal2 ( 3967600 4840080 ) Via2_VH
NEW Metal2 ( 630000 823760 ) Via2_VH
NEW Metal2 ( 630000 4840080 ) Via2_VH ;
- io_out[36] ( PIN io_out[36] ) ( computer io_out[36] ) + USE SIGNAL
+ ROUTED Metal2 ( 4052720 4688880 0 ) ( * 4705680 )
NEW Metal3 ( 3920 504560 0 ) ( 4151280 * )
NEW Metal3 ( 4052720 4705680 ) ( 4151280 * )
NEW Metal2 ( 4151280 504560 ) ( * 4705680 )
NEW Metal2 ( 4052720 4705680 ) Via2_VH
NEW Metal2 ( 4151280 504560 ) Via2_VH
NEW Metal2 ( 4151280 4705680 ) Via2_VH ;
- io_out[37] ( PIN io_out[37] ) ( computer io_out[37] ) + USE SIGNAL
+ ROUTED Metal3 ( 4135600 4683280 ) ( 4150160 * )
NEW Metal2 ( 4130000 4683280 0 ) ( 4135600 * )
NEW Metal3 ( 3920 170800 0 ) ( 84000 * )
NEW Metal3 ( 84000 168560 ) ( * 170800 )
NEW Metal3 ( 84000 168560 ) ( 4150160 * )
NEW Metal2 ( 4150160 168560 ) ( * 4683280 )
NEW Metal2 ( 4150160 4683280 ) Via2_VH
NEW Metal2 ( 4135600 4683280 ) Via2_VH
NEW Metal2 ( 4150160 168560 ) Via2_VH ;
- io_out[3] ( PIN io_out[3] ) ( computer io_out[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 1502480 4688880 0 ) ( * 4691120 )
NEW Metal3 ( 1502480 4691120 ) ( 4628400 * )
NEW Metal3 ( 5995920 1176560 ) ( * 1187760 )
NEW Metal3 ( 5994800 1187760 ) ( 5995920 * )
NEW Metal3 ( 5994800 1187760 ) ( * 1188880 )
NEW Metal3 ( 5994800 1188880 ) ( 5995920 * 0 )
NEW Metal3 ( 4628400 1176560 ) ( 5995920 * )
NEW Metal2 ( 4628400 1176560 ) ( * 4691120 )
NEW Metal2 ( 1502480 4691120 ) Via2_VH
NEW Metal2 ( 4628400 1176560 ) Via2_VH
NEW Metal2 ( 4628400 4691120 ) Via2_VH ;
- io_out[4] ( PIN io_out[4] ) ( computer io_out[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 1579760 4687760 0 ) ( 1582000 * )
NEW Metal2 ( 1582000 4687760 ) ( * 4838960 )
NEW Metal3 ( 1582000 4838960 ) ( 5737200 * )
NEW Metal2 ( 5737200 1529360 ) ( * 4838960 )
NEW Metal3 ( 5737200 1529360 ) ( 5995920 * 0 )
NEW Metal2 ( 1582000 4838960 ) Via2_VH
NEW Metal2 ( 5737200 1529360 ) Via2_VH
NEW Metal2 ( 5737200 4838960 ) Via2_VH ;
- io_out[5] ( PIN io_out[5] ) ( computer io_out[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1657040 4688880 0 ) ( * 4758320 )
NEW Metal3 ( 1657040 4758320 ) ( 4578000 * )
NEW Metal3 ( 4578000 1865360 ) ( 5728800 * )
NEW Metal3 ( 5728800 1865360 ) ( * 1867600 )
NEW Metal3 ( 5728800 1867600 ) ( 5995920 * 0 )
NEW Metal2 ( 4578000 1865360 ) ( * 4758320 )
NEW Metal2 ( 1657040 4758320 ) Via2_VH
NEW Metal2 ( 4578000 1865360 ) Via2_VH
NEW Metal2 ( 4578000 4758320 ) Via2_VH ;
- io_out[6] ( PIN io_out[6] ) ( computer io_out[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 5905200 2209200 ) ( * 4855760 )
NEW Metal2 ( 1730960 4688880 ) ( 1734320 * 0 )
NEW Metal2 ( 1730960 4688880 ) ( * 4855760 )
NEW Metal3 ( 1730960 4855760 ) ( 5905200 * )
NEW Metal3 ( 5905200 2209200 ) ( 5995920 * 0 )
NEW Metal2 ( 5905200 2209200 ) Via2_VH
NEW Metal2 ( 5905200 4855760 ) Via2_VH
NEW Metal2 ( 1730960 4855760 ) Via2_VH ;
- io_out[7] ( PIN io_out[7] ) ( computer io_out[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 4762800 2654960 ) ( * 4856880 )
NEW Metal2 ( 1803760 4688880 ) ( 1811600 * 0 )
NEW Metal3 ( 5995920 2654960 ) ( * 2658320 )
NEW Metal3 ( 5994800 2658320 ) ( 5995920 * )
NEW Metal3 ( 5994800 2658320 ) ( * 2659440 )
NEW Metal3 ( 5994800 2659440 ) ( 5995920 * 0 )
NEW Metal3 ( 4762800 2654960 ) ( 5995920 * )
NEW Metal2 ( 1803760 4688880 ) ( * 4856880 )
NEW Metal3 ( 1803760 4856880 ) ( 4762800 * )
NEW Metal2 ( 4762800 2654960 ) Via2_VH
NEW Metal2 ( 4762800 4856880 ) Via2_VH
NEW Metal2 ( 1803760 4856880 ) Via2_VH ;
- io_out[8] ( PIN io_out[8] ) ( computer io_out[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1882160 4688880 ) ( 1888880 * 0 )
NEW Metal2 ( 1882160 4688880 ) ( * 4973360 )
NEW Metal2 ( 5955600 3114160 ) ( * 4973360 )
NEW Metal3 ( 1882160 4973360 ) ( 5955600 * )
NEW Metal3 ( 5955600 3114160 ) ( 5995920 * 0 )
NEW Metal2 ( 1882160 4973360 ) Via2_VH
NEW Metal2 ( 5955600 3114160 ) Via2_VH
NEW Metal2 ( 5955600 4973360 ) Via2_VH ;
- io_out[9] ( PIN io_out[9] ) ( computer io_out[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 2116240 4712400 ) ( * 4722480 )
NEW Metal2 ( 5960080 3566640 ) ( * 4722480 )
NEW Metal2 ( 1966160 4688880 0 ) ( * 4712400 )
NEW Metal3 ( 1966160 4712400 ) ( 2116240 * )
NEW Metal3 ( 2116240 4722480 ) ( 5960080 * )
NEW Metal3 ( 5960080 3566640 ) ( 5995920 * 0 )
NEW Metal2 ( 2116240 4712400 ) Via2_VH
NEW Metal2 ( 2116240 4722480 ) Via2_VH
NEW Metal2 ( 5960080 3566640 ) Via2_VH
NEW Metal2 ( 5960080 4722480 ) Via2_VH
NEW Metal2 ( 1966160 4712400 ) Via2_VH ;
- la_data_in[0] ( PIN la_data_in[0] ) ( computer la_data_in[0] ) + USE SIGNAL
+ ROUTED Metal3 ( 1411760 42000 ) ( 1418480 * )
NEW Metal2 ( 1418480 3920 ) ( * 42000 )
NEW Metal2 ( 1418480 3920 ) ( 1424080 * )
NEW Metal2 ( 1424080 3920 ) ( * 5040 )
NEW Metal2 ( 1424080 5040 ) ( 1425200 * )
NEW Metal2 ( 1425200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1886640 1690640 ) ( 1890000 * 0 )
NEW Metal2 ( 1886640 1587600 ) ( * 1690640 )
NEW Metal2 ( 1411760 42000 ) ( * 1587600 )
NEW Metal3 ( 1411760 1587600 ) ( 1886640 * )
NEW Metal2 ( 1411760 42000 ) Via2_VH
NEW Metal2 ( 1418480 42000 ) Via2_VH
NEW Metal2 ( 1886640 1587600 ) Via2_VH
NEW Metal2 ( 1411760 1587600 ) Via2_VH ;
- la_data_in[100] ( PIN la_data_in[100] ) ( computer la_data_in[100] ) + USE SIGNAL
+ ROUTED Metal2 ( 4785200 3920 0 ) ( * 110320 )
NEW Metal3 ( 3563280 110320 ) ( 4785200 * )
NEW Metal2 ( 3563280 110320 ) ( * 1680000 )
NEW Metal2 ( 3563280 1680000 ) ( 3566640 * )
NEW Metal2 ( 3566640 1680000 ) ( * 1690640 )
NEW Metal2 ( 3566640 1690640 ) ( 3570000 * 0 )
NEW Metal2 ( 3563280 110320 ) Via2_VH
NEW Metal2 ( 4785200 110320 ) Via2_VH ;
- la_data_in[101] ( PIN la_data_in[101] ) ( computer la_data_in[101] ) + USE SIGNAL
+ ROUTED Metal2 ( 4810960 3920 ) ( 4817680 * )
NEW Metal2 ( 4817680 3920 ) ( * 5040 )
NEW Metal2 ( 4817680 5040 ) ( 4818800 * )
NEW Metal2 ( 4818800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3578960 227920 ) ( 4806480 * )
NEW Metal2 ( 4806480 201600 ) ( * 227920 )
NEW Metal2 ( 4806480 201600 ) ( 4810960 * )
NEW Metal2 ( 4810960 3920 ) ( * 201600 )
NEW Metal1 ( 3578960 1621200 ) ( 3586800 * )
NEW Metal2 ( 3578960 227920 ) ( * 1621200 )
NEW Metal2 ( 3586800 1621200 ) ( * 1690640 0 )
NEW Metal2 ( 3578960 227920 ) Via2_VH
NEW Metal2 ( 4806480 227920 ) Via2_VH
NEW Metal1 ( 3578960 1621200 ) Via1_HV
NEW Metal1 ( 3586800 1621200 ) Via1_HV ;
- la_data_in[102] ( PIN la_data_in[102] ) ( computer la_data_in[102] ) + USE SIGNAL
+ ROUTED Metal3 ( 3596880 93520 ) ( 4852400 * )
NEW Metal2 ( 4852400 3920 0 ) ( * 93520 )
NEW Metal1 ( 3596880 1599920 ) ( 3603600 * )
NEW Metal2 ( 3596880 93520 ) ( * 1599920 )
NEW Metal2 ( 3603600 1599920 ) ( * 1690640 0 )
NEW Metal2 ( 3596880 93520 ) Via2_VH
NEW Metal2 ( 4852400 93520 ) Via2_VH
NEW Metal1 ( 3596880 1599920 ) Via1_HV
NEW Metal1 ( 3603600 1599920 ) Via1_HV ;
- la_data_in[103] ( PIN la_data_in[103] ) ( computer la_data_in[103] ) + USE SIGNAL
+ ROUTED Metal2 ( 4879280 3920 ) ( 4884880 * )
NEW Metal2 ( 4884880 3920 ) ( * 5040 )
NEW Metal2 ( 4884880 5040 ) ( 4886000 * )
NEW Metal2 ( 4886000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3612560 311920 ) ( 4873680 * )
NEW Metal2 ( 4873680 201600 ) ( * 311920 )
NEW Metal2 ( 4873680 201600 ) ( 4879280 * )
NEW Metal2 ( 4879280 3920 ) ( * 201600 )
NEW Metal1 ( 3612560 1614480 ) ( 3618160 * )
NEW Metal2 ( 3612560 311920 ) ( * 1614480 )
NEW Metal2 ( 3618160 1690640 ) ( 3620400 * 0 )
NEW Metal2 ( 3618160 1614480 ) ( * 1690640 )
NEW Metal2 ( 3612560 311920 ) Via2_VH
NEW Metal2 ( 4873680 311920 ) Via2_VH
NEW Metal1 ( 3612560 1614480 ) Via1_HV
NEW Metal1 ( 3618160 1614480 ) Via1_HV ;
- la_data_in[104] ( PIN la_data_in[104] ) ( computer la_data_in[104] ) + USE SIGNAL
+ ROUTED Metal1 ( 4906160 42000 ) ( 4912880 * )
NEW Metal2 ( 4912880 3920 ) ( * 42000 )
NEW Metal2 ( 4912880 3920 ) ( 4918480 * )
NEW Metal2 ( 4918480 3920 ) ( * 5040 )
NEW Metal2 ( 4918480 5040 ) ( 4919600 * )
NEW Metal2 ( 4919600 3920 0 ) ( * 5040 )
NEW Metal2 ( 4906160 42000 ) ( * 1640240 )
NEW Metal3 ( 3637200 1640240 ) ( 4906160 * )
NEW Metal2 ( 3637200 1640240 ) ( * 1690640 0 )
NEW Metal1 ( 4906160 42000 ) Via1_HV
NEW Metal1 ( 4912880 42000 ) Via1_HV
NEW Metal2 ( 4906160 1640240 ) Via2_VH
NEW Metal2 ( 3637200 1640240 ) Via2_VH ;
- la_data_in[105] ( PIN la_data_in[105] ) ( computer la_data_in[105] ) + USE SIGNAL
+ ROUTED Metal2 ( 4946480 3920 ) ( 4952080 * )
NEW Metal2 ( 4952080 3920 ) ( * 5040 )
NEW Metal2 ( 4952080 5040 ) ( 4953200 * )
NEW Metal2 ( 4953200 3920 0 ) ( * 5040 )
NEW Metal2 ( 4940880 201600 ) ( 4946480 * )
NEW Metal2 ( 4946480 3920 ) ( * 201600 )
NEW Metal2 ( 4940880 201600 ) ( * 345520 )
NEW Metal3 ( 3647280 345520 ) ( 4940880 * )
NEW Metal2 ( 3651760 1690640 ) ( 3654000 * 0 )
NEW Metal1 ( 3647280 1543920 ) ( 3651760 * )
NEW Metal2 ( 3647280 345520 ) ( * 1543920 )
NEW Metal2 ( 3651760 1543920 ) ( * 1690640 )
NEW Metal2 ( 4940880 345520 ) Via2_VH
NEW Metal2 ( 3647280 345520 ) Via2_VH
NEW Metal1 ( 3647280 1543920 ) Via1_HV
NEW Metal1 ( 3651760 1543920 ) Via1_HV ;
- la_data_in[106] ( PIN la_data_in[106] ) ( computer la_data_in[106] ) + USE SIGNAL
+ ROUTED Metal1 ( 4973360 42000 ) ( 4980080 * )
NEW Metal2 ( 4980080 3920 ) ( * 42000 )
NEW Metal2 ( 4980080 3920 ) ( 4985680 * )
NEW Metal2 ( 4985680 3920 ) ( * 5040 )
NEW Metal2 ( 4985680 5040 ) ( 4986800 * )
NEW Metal2 ( 4986800 3920 0 ) ( * 5040 )
NEW Metal2 ( 4973360 42000 ) ( * 495600 )
NEW Metal3 ( 3666320 495600 ) ( 4973360 * )
NEW Metal2 ( 3666320 495600 ) ( * 1562400 )
NEW Metal2 ( 3666320 1562400 ) ( 3667440 * )
NEW Metal2 ( 3667440 1690640 ) ( 3670800 * 0 )
NEW Metal2 ( 3667440 1562400 ) ( * 1690640 )
NEW Metal1 ( 4973360 42000 ) Via1_HV
NEW Metal1 ( 4980080 42000 ) Via1_HV
NEW Metal2 ( 4973360 495600 ) Via2_VH
NEW Metal2 ( 3666320 495600 ) Via2_VH ;
- la_data_in[107] ( PIN la_data_in[107] ) ( computer la_data_in[107] ) + USE SIGNAL
+ ROUTED Metal1 ( 5006960 42000 ) ( 5013680 * )
NEW Metal2 ( 5013680 3920 ) ( * 42000 )
NEW Metal2 ( 5013680 3920 ) ( 5019280 * )
NEW Metal2 ( 5019280 3920 ) ( * 5040 )
NEW Metal2 ( 5019280 5040 ) ( 5020400 * )
NEW Metal2 ( 5020400 3920 0 ) ( * 5040 )
NEW Metal2 ( 5006960 42000 ) ( * 478800 )
NEW Metal2 ( 3682000 1651440 ) ( 3684240 * )
NEW Metal3 ( 3682000 478800 ) ( 5006960 * )
NEW Metal2 ( 3682000 478800 ) ( * 1651440 )
NEW Metal2 ( 3684240 1690640 ) ( 3687600 * 0 )
NEW Metal2 ( 3684240 1651440 ) ( * 1690640 )
NEW Metal1 ( 5006960 42000 ) Via1_HV
NEW Metal1 ( 5013680 42000 ) Via1_HV
NEW Metal2 ( 5006960 478800 ) Via2_VH
NEW Metal2 ( 3682000 478800 ) Via2_VH ;
- la_data_in[108] ( PIN la_data_in[108] ) ( computer la_data_in[108] ) + USE SIGNAL
+ ROUTED Metal1 ( 5040560 42000 ) ( 5047280 * )
NEW Metal2 ( 5047280 3920 ) ( * 42000 )
NEW Metal2 ( 5047280 3920 ) ( 5052880 * )
NEW Metal2 ( 5052880 3920 ) ( * 5040 )
NEW Metal2 ( 5052880 5040 ) ( 5054000 * )
NEW Metal2 ( 5054000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3698800 446320 ) ( 5040560 * )
NEW Metal2 ( 3698800 446320 ) ( * 1495200 )
NEW Metal2 ( 3698800 1495200 ) ( 3701040 * )
NEW Metal2 ( 5040560 42000 ) ( * 446320 )
NEW Metal2 ( 3701040 1690640 ) ( 3704400 * 0 )
NEW Metal2 ( 3701040 1495200 ) ( * 1690640 )
NEW Metal2 ( 3698800 446320 ) Via2_VH
NEW Metal1 ( 5040560 42000 ) Via1_HV
NEW Metal1 ( 5047280 42000 ) Via1_HV
NEW Metal2 ( 5040560 446320 ) Via2_VH ;
- la_data_in[109] ( PIN la_data_in[109] ) ( computer la_data_in[109] ) + USE SIGNAL
+ ROUTED Metal1 ( 5074160 42000 ) ( 5080880 * )
NEW Metal2 ( 5080880 3920 ) ( * 42000 )
NEW Metal2 ( 5080880 3920 ) ( 5086480 * )
NEW Metal2 ( 5086480 3920 ) ( * 5040 )
NEW Metal2 ( 5086480 5040 ) ( 5087600 * )
NEW Metal2 ( 5087600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3721200 1622320 ) ( 5074160 * )
NEW Metal2 ( 5074160 42000 ) ( * 1622320 )
NEW Metal2 ( 3721200 1622320 ) ( * 1690640 0 )
NEW Metal2 ( 3721200 1622320 ) Via2_VH
NEW Metal1 ( 5074160 42000 ) Via1_HV
NEW Metal1 ( 5080880 42000 ) Via1_HV
NEW Metal2 ( 5074160 1622320 ) Via2_VH ;
- la_data_in[10] ( PIN la_data_in[10] ) ( computer la_data_in[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 1754480 3920 ) ( 1760080 * )
NEW Metal2 ( 1760080 3920 ) ( * 5040 )
NEW Metal2 ( 1760080 5040 ) ( 1761200 * )
NEW Metal2 ( 1761200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1751120 201600 ) ( 1754480 * )
NEW Metal2 ( 1754480 3920 ) ( * 201600 )
NEW Metal2 ( 1751120 201600 ) ( * 1624560 )
NEW Metal3 ( 1751120 1624560 ) ( 2058000 * )
NEW Metal2 ( 2058000 1624560 ) ( * 1690640 0 )
NEW Metal2 ( 1751120 1624560 ) Via2_VH
NEW Metal2 ( 2058000 1624560 ) Via2_VH ;
- la_data_in[110] ( PIN la_data_in[110] ) ( computer la_data_in[110] ) + USE SIGNAL
+ ROUTED Metal2 ( 3762640 1639120 ) ( * 1646960 )
NEW Metal3 ( 3738000 1646960 ) ( 3762640 * )
NEW Metal1 ( 5107760 42000 ) ( 5114480 * )
NEW Metal2 ( 5114480 3920 ) ( * 42000 )
NEW Metal2 ( 5114480 3920 ) ( 5120080 * )
NEW Metal2 ( 5120080 3920 ) ( * 5040 )
NEW Metal2 ( 5120080 5040 ) ( 5121200 * )
NEW Metal2 ( 5121200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3762640 1639120 ) ( 5107760 * )
NEW Metal2 ( 5107760 42000 ) ( * 1639120 )
NEW Metal2 ( 3738000 1646960 ) ( * 1690640 0 )
NEW Metal2 ( 3762640 1639120 ) Via2_VH
NEW Metal2 ( 3762640 1646960 ) Via2_VH
NEW Metal2 ( 3738000 1646960 ) Via2_VH
NEW Metal1 ( 5107760 42000 ) Via1_HV
NEW Metal1 ( 5114480 42000 ) Via1_HV
NEW Metal2 ( 5107760 1639120 ) Via2_VH ;
- la_data_in[111] ( PIN la_data_in[111] ) ( computer la_data_in[111] ) + USE SIGNAL
+ ROUTED Metal1 ( 5141360 42000 ) ( 5148080 * )
NEW Metal2 ( 5148080 3920 ) ( * 42000 )
NEW Metal2 ( 5148080 3920 ) ( 5153680 * )
NEW Metal2 ( 5153680 3920 ) ( * 5040 )
NEW Metal2 ( 5153680 5040 ) ( 5154800 * )
NEW Metal2 ( 5154800 3920 0 ) ( * 5040 )
NEW Metal2 ( 5141360 42000 ) ( * 1621200 )
NEW Metal3 ( 3754800 1621200 ) ( 5141360 * )
NEW Metal2 ( 3754800 1621200 ) ( * 1690640 0 )
NEW Metal2 ( 3754800 1621200 ) Via2_VH
NEW Metal1 ( 5141360 42000 ) Via1_HV
NEW Metal1 ( 5148080 42000 ) Via1_HV
NEW Metal2 ( 5141360 1621200 ) Via2_VH ;
- la_data_in[112] ( PIN la_data_in[112] ) ( computer la_data_in[112] ) + USE SIGNAL
+ ROUTED Metal1 ( 3763760 1613360 ) ( 3769360 * )
NEW Metal2 ( 5181680 3920 ) ( 5187280 * )
NEW Metal2 ( 5187280 3920 ) ( * 5040 )
NEW Metal2 ( 5187280 5040 ) ( 5188400 * )
NEW Metal2 ( 5188400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3763760 411600 ) ( * 1613360 )
NEW Metal2 ( 5176080 201600 ) ( 5181680 * )
NEW Metal2 ( 5181680 3920 ) ( * 201600 )
NEW Metal2 ( 5176080 201600 ) ( * 411600 )
NEW Metal3 ( 3763760 411600 ) ( 5176080 * )
NEW Metal2 ( 3769360 1690640 ) ( 3771600 * 0 )
NEW Metal2 ( 3769360 1613360 ) ( * 1690640 )
NEW Metal1 ( 3763760 1613360 ) Via1_HV
NEW Metal1 ( 3769360 1613360 ) Via1_HV
NEW Metal2 ( 3763760 411600 ) Via2_VH
NEW Metal2 ( 5176080 411600 ) Via2_VH ;
- la_data_in[113] ( PIN la_data_in[113] ) ( computer la_data_in[113] ) + USE SIGNAL
+ ROUTED Metal1 ( 3780560 1616720 ) ( 3786160 * )
NEW Metal2 ( 5215280 3920 ) ( 5220880 * )
NEW Metal2 ( 5220880 3920 ) ( * 5040 )
NEW Metal2 ( 5220880 5040 ) ( 5222000 * )
NEW Metal2 ( 5222000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3780560 361200 ) ( * 1616720 )
NEW Metal2 ( 5209680 201600 ) ( 5215280 * )
NEW Metal2 ( 5215280 3920 ) ( * 201600 )
NEW Metal2 ( 5209680 201600 ) ( * 361200 )
NEW Metal3 ( 3780560 361200 ) ( 5209680 * )
NEW Metal2 ( 3786160 1690640 ) ( 3788400 * 0 )
NEW Metal2 ( 3786160 1616720 ) ( * 1690640 )
NEW Metal1 ( 3780560 1616720 ) Via1_HV
NEW Metal1 ( 3786160 1616720 ) Via1_HV
NEW Metal2 ( 3780560 361200 ) Via2_VH
NEW Metal2 ( 5209680 361200 ) Via2_VH ;
- la_data_in[114] ( PIN la_data_in[114] ) ( computer la_data_in[114] ) + USE SIGNAL
+ ROUTED Metal1 ( 3797360 1613360 ) ( 3802960 * )
NEW Metal2 ( 5248880 3920 ) ( 5254480 * )
NEW Metal2 ( 5254480 3920 ) ( * 5040 )
NEW Metal2 ( 5254480 5040 ) ( 5255600 * )
NEW Metal2 ( 5255600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3797360 328720 ) ( * 1613360 )
NEW Metal2 ( 5243280 201600 ) ( 5248880 * )
NEW Metal2 ( 5248880 3920 ) ( * 201600 )
NEW Metal2 ( 5243280 201600 ) ( * 328720 )
NEW Metal3 ( 3797360 328720 ) ( 5243280 * )
NEW Metal2 ( 3802960 1690640 ) ( 3805200 * 0 )
NEW Metal2 ( 3802960 1613360 ) ( * 1690640 )
NEW Metal1 ( 3797360 1613360 ) Via1_HV
NEW Metal1 ( 3802960 1613360 ) Via1_HV
NEW Metal2 ( 3797360 328720 ) Via2_VH
NEW Metal2 ( 5243280 328720 ) Via2_VH ;
- la_data_in[115] ( PIN la_data_in[115] ) ( computer la_data_in[115] ) + USE SIGNAL
+ ROUTED Metal2 ( 3816400 1651440 ) ( 3818640 * )
NEW Metal2 ( 3816400 294000 ) ( * 1651440 )
NEW Metal2 ( 5281360 3920 ) ( 5288080 * )
NEW Metal2 ( 5288080 3920 ) ( * 5040 )
NEW Metal2 ( 5288080 5040 ) ( 5289200 * )
NEW Metal2 ( 5289200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3816400 294000 ) ( 5276880 * )
NEW Metal2 ( 5276880 201600 ) ( * 294000 )
NEW Metal2 ( 5276880 201600 ) ( 5281360 * )
NEW Metal2 ( 5281360 3920 ) ( * 201600 )
NEW Metal2 ( 3818640 1690640 ) ( 3822000 * 0 )
NEW Metal2 ( 3818640 1651440 ) ( * 1690640 )
NEW Metal2 ( 3816400 294000 ) Via2_VH
NEW Metal2 ( 5276880 294000 ) Via2_VH ;
- la_data_in[116] ( PIN la_data_in[116] ) ( computer la_data_in[116] ) + USE SIGNAL
+ ROUTED Metal3 ( 3830960 1651440 ) ( 3836560 * )
NEW Metal2 ( 3830960 25200 ) ( * 1651440 )
NEW Metal2 ( 5322800 3920 0 ) ( * 25200 )
NEW Metal3 ( 3830960 25200 ) ( 5322800 * )
NEW Metal2 ( 3836560 1690640 ) ( 3838800 * 0 )
NEW Metal2 ( 3836560 1651440 ) ( * 1690640 )
NEW Metal2 ( 3830960 25200 ) Via2_VH
NEW Metal2 ( 3830960 1651440 ) Via2_VH
NEW Metal2 ( 3836560 1651440 ) Via2_VH
NEW Metal2 ( 5322800 25200 ) Via2_VH ;
- la_data_in[117] ( PIN la_data_in[117] ) ( computer la_data_in[117] ) + USE SIGNAL
+ ROUTED Metal2 ( 3848880 1651440 ) ( 3852240 * )
NEW Metal1 ( 5342960 42000 ) ( 5349680 * )
NEW Metal2 ( 5349680 3920 ) ( * 42000 )
NEW Metal2 ( 5349680 3920 ) ( 5355280 * )
NEW Metal2 ( 5355280 3920 ) ( * 5040 )
NEW Metal2 ( 5355280 5040 ) ( 5356400 * )
NEW Metal2 ( 5356400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3848880 529200 ) ( 5342960 * )
NEW Metal2 ( 3848880 529200 ) ( * 1651440 )
NEW Metal2 ( 5342960 42000 ) ( * 529200 )
NEW Metal2 ( 3852240 1690640 ) ( 3855600 * 0 )
NEW Metal2 ( 3852240 1651440 ) ( * 1690640 )
NEW Metal2 ( 3848880 529200 ) Via2_VH
NEW Metal1 ( 5342960 42000 ) Via1_HV
NEW Metal1 ( 5349680 42000 ) Via1_HV
NEW Metal2 ( 5342960 529200 ) Via2_VH ;
- la_data_in[118] ( PIN la_data_in[118] ) ( computer la_data_in[118] ) + USE SIGNAL
+ ROUTED Metal2 ( 5388880 560 ) ( * 5040 )
NEW Metal2 ( 5388880 5040 ) ( 5390000 * )
NEW Metal2 ( 5390000 3920 0 ) ( * 5040 )
NEW Metal1 ( 3864560 1618960 ) ( 3870160 * )
NEW Metal3 ( 3864560 560 ) ( 5388880 * )
NEW Metal2 ( 3864560 560 ) ( * 1618960 )
NEW Metal2 ( 3870160 1690640 ) ( 3872400 * 0 )
NEW Metal2 ( 3870160 1618960 ) ( * 1690640 )
NEW Metal2 ( 5388880 560 ) Via2_VH
NEW Metal2 ( 3864560 560 ) Via2_VH
NEW Metal1 ( 3864560 1618960 ) Via1_HV
NEW Metal1 ( 3870160 1618960 ) Via1_HV ;
- la_data_in[119] ( PIN la_data_in[119] ) ( computer la_data_in[119] ) + USE SIGNAL
+ ROUTED Metal2 ( 5416880 3920 ) ( 5422480 * )
NEW Metal2 ( 5422480 3920 ) ( * 5040 )
NEW Metal2 ( 5422480 5040 ) ( 5423600 * )
NEW Metal2 ( 5423600 3920 0 ) ( * 5040 )
NEW Metal2 ( 5411280 201600 ) ( 5416880 * )
NEW Metal2 ( 5416880 3920 ) ( * 201600 )
NEW Metal2 ( 5411280 201600 ) ( * 562800 )
NEW Metal2 ( 3882480 562800 ) ( * 1495200 )
NEW Metal2 ( 3882480 1495200 ) ( 3885840 * )
NEW Metal3 ( 3882480 562800 ) ( 5411280 * )
NEW Metal2 ( 3885840 1690640 ) ( 3889200 * 0 )
NEW Metal2 ( 3885840 1495200 ) ( * 1690640 )
NEW Metal2 ( 5411280 562800 ) Via2_VH
NEW Metal2 ( 3882480 562800 ) Via2_VH ;
- la_data_in[11] ( PIN la_data_in[11] ) ( computer la_data_in[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 1788080 3920 ) ( 1793680 * )
NEW Metal2 ( 1793680 3920 ) ( * 5040 )
NEW Metal2 ( 1793680 5040 ) ( 1794800 * )
NEW Metal2 ( 1794800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1784720 201600 ) ( 1788080 * )
NEW Metal2 ( 1788080 3920 ) ( * 201600 )
NEW Metal2 ( 1784720 201600 ) ( * 1625680 )
NEW Metal3 ( 1784720 1625680 ) ( 2074800 * )
NEW Metal2 ( 2074800 1625680 ) ( * 1690640 0 )
NEW Metal2 ( 1784720 1625680 ) Via2_VH
NEW Metal2 ( 2074800 1625680 ) Via2_VH ;
- la_data_in[120] ( PIN la_data_in[120] ) ( computer la_data_in[120] ) + USE SIGNAL
+ ROUTED Metal3 ( 5434800 45360 ) ( 5457200 * )
NEW Metal2 ( 5457200 3920 0 ) ( * 45360 )
NEW Metal2 ( 5434800 45360 ) ( * 1605520 )
NEW Metal3 ( 3902640 1605520 ) ( 5434800 * )
NEW Metal2 ( 3902640 1690640 ) ( 3906000 * 0 )
NEW Metal2 ( 3902640 1605520 ) ( * 1690640 )
NEW Metal2 ( 5434800 45360 ) Via2_VH
NEW Metal2 ( 5457200 45360 ) Via2_VH
NEW Metal2 ( 5434800 1605520 ) Via2_VH
NEW Metal2 ( 3902640 1605520 ) Via2_VH ;
- la_data_in[121] ( PIN la_data_in[121] ) ( computer la_data_in[121] ) + USE SIGNAL
+ ROUTED Metal2 ( 5490800 3920 0 ) ( * 109200 )
NEW Metal3 ( 3914960 1654800 ) ( 3920560 * )
NEW Metal2 ( 3914960 109200 ) ( * 1654800 )
NEW Metal3 ( 3914960 109200 ) ( 5490800 * )
NEW Metal2 ( 3920560 1690640 ) ( 3922800 * 0 )
NEW Metal2 ( 3920560 1654800 ) ( * 1690640 )
NEW Metal2 ( 5490800 109200 ) Via2_VH
NEW Metal2 ( 3914960 1654800 ) Via2_VH
NEW Metal2 ( 3920560 1654800 ) Via2_VH
NEW Metal2 ( 3914960 109200 ) Via2_VH ;
- la_data_in[122] ( PIN la_data_in[122] ) ( computer la_data_in[122] ) + USE SIGNAL
+ ROUTED Metal1 ( 5510960 42000 ) ( 5517680 * )
NEW Metal2 ( 5517680 3920 ) ( * 42000 )
NEW Metal2 ( 5517680 3920 ) ( 5523280 * )
NEW Metal2 ( 5523280 3920 ) ( * 5040 )
NEW Metal2 ( 5523280 5040 ) ( 5524400 * )
NEW Metal2 ( 5524400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3934000 1453200 ) ( 5510960 * )
NEW Metal2 ( 3934000 1453200 ) ( * 1495200 )
NEW Metal2 ( 3934000 1495200 ) ( 3936240 * )
NEW Metal2 ( 5510960 42000 ) ( * 1453200 )
NEW Metal2 ( 3936240 1690640 ) ( 3939600 * 0 )
NEW Metal2 ( 3936240 1495200 ) ( * 1690640 )
NEW Metal2 ( 3934000 1453200 ) Via2_VH
NEW Metal1 ( 5510960 42000 ) Via1_HV
NEW Metal1 ( 5517680 42000 ) Via1_HV
NEW Metal2 ( 5510960 1453200 ) Via2_VH ;
- la_data_in[123] ( PIN la_data_in[123] ) ( computer la_data_in[123] ) + USE SIGNAL
+ ROUTED Metal1 ( 3948560 1622320 ) ( 3954160 * )
NEW Metal2 ( 5551280 3920 ) ( 5556880 * )
NEW Metal2 ( 5556880 3920 ) ( * 5040 )
NEW Metal2 ( 5556880 5040 ) ( 5558000 * )
NEW Metal2 ( 5558000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3948560 176400 ) ( * 1622320 )
NEW Metal3 ( 3948560 176400 ) ( 5551280 * )
NEW Metal2 ( 5551280 3920 ) ( * 176400 )
NEW Metal2 ( 3954160 1690640 ) ( 3956400 * 0 )
NEW Metal2 ( 3954160 1622320 ) ( * 1690640 )
NEW Metal1 ( 3948560 1622320 ) Via1_HV
NEW Metal1 ( 3954160 1622320 ) Via1_HV
NEW Metal2 ( 3948560 176400 ) Via2_VH
NEW Metal2 ( 5551280 176400 ) Via2_VH ;
- la_data_in[124] ( PIN la_data_in[124] ) ( computer la_data_in[124] ) + USE SIGNAL
+ ROUTED Metal2 ( 5584880 3920 ) ( 5590480 * )
NEW Metal2 ( 5590480 3920 ) ( * 5040 )
NEW Metal2 ( 5590480 5040 ) ( 5591600 * )
NEW Metal2 ( 5591600 3920 0 ) ( * 5040 )
NEW Metal3 ( 5578160 154000 ) ( 5584880 * )
NEW Metal2 ( 5584880 3920 ) ( * 154000 )
NEW Metal3 ( 3969840 1587600 ) ( 5578160 * )
NEW Metal2 ( 5578160 154000 ) ( * 1587600 )
NEW Metal2 ( 3969840 1690640 ) ( 3973200 * 0 )
NEW Metal2 ( 3969840 1587600 ) ( * 1690640 )
NEW Metal2 ( 3969840 1587600 ) Via2_VH
NEW Metal2 ( 5578160 154000 ) Via2_VH
NEW Metal2 ( 5584880 154000 ) Via2_VH
NEW Metal2 ( 5578160 1587600 ) Via2_VH ;
- la_data_in[125] ( PIN la_data_in[125] ) ( computer la_data_in[125] ) + USE SIGNAL
+ ROUTED Metal1 ( 3982160 1621200 ) ( 3987760 * )
NEW Metal2 ( 3982160 92400 ) ( * 1621200 )
NEW Metal2 ( 5625200 3920 0 ) ( * 92400 )
NEW Metal3 ( 3982160 92400 ) ( 5625200 * )
NEW Metal2 ( 3987760 1690640 ) ( 3990000 * 0 )
NEW Metal2 ( 3987760 1621200 ) ( * 1690640 )
NEW Metal1 ( 3982160 1621200 ) Via1_HV
NEW Metal1 ( 3987760 1621200 ) Via1_HV
NEW Metal2 ( 3982160 92400 ) Via2_VH
NEW Metal2 ( 5625200 92400 ) Via2_VH ;
- la_data_in[126] ( PIN la_data_in[126] ) ( computer la_data_in[126] ) + USE SIGNAL
+ ROUTED Metal3 ( 3998960 1651440 ) ( 4004560 * )
NEW Metal2 ( 5652080 3920 ) ( 5657680 * )
NEW Metal2 ( 5657680 3920 ) ( * 5040 )
NEW Metal2 ( 5657680 5040 ) ( 5658800 * )
NEW Metal2 ( 5658800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3998960 243600 ) ( * 1651440 )
NEW Metal2 ( 5645360 201600 ) ( * 243600 )
NEW Metal2 ( 5645360 201600 ) ( 5652080 * )
NEW Metal2 ( 5652080 3920 ) ( * 201600 )
NEW Metal3 ( 3998960 243600 ) ( 5645360 * )
NEW Metal2 ( 4004560 1690640 ) ( 4006800 * 0 )
NEW Metal2 ( 4004560 1651440 ) ( * 1690640 )
NEW Metal2 ( 3998960 243600 ) Via2_VH
NEW Metal2 ( 3998960 1651440 ) Via2_VH
NEW Metal2 ( 4004560 1651440 ) Via2_VH
NEW Metal2 ( 5645360 243600 ) Via2_VH ;
- la_data_in[127] ( PIN la_data_in[127] ) ( computer la_data_in[127] ) + USE SIGNAL
+ ROUTED Metal3 ( 5670000 45360 ) ( 5692400 * )
NEW Metal2 ( 5692400 3920 0 ) ( * 45360 )
NEW Metal2 ( 5670000 45360 ) ( * 1671600 )
NEW Metal3 ( 4023600 1671600 ) ( 5670000 * )
NEW Metal2 ( 4023600 1671600 ) ( * 1690640 0 )
NEW Metal2 ( 4023600 1671600 ) Via2_VH
NEW Metal2 ( 5670000 45360 ) Via2_VH
NEW Metal2 ( 5692400 45360 ) Via2_VH
NEW Metal2 ( 5670000 1671600 ) Via2_VH ;
- la_data_in[12] ( PIN la_data_in[12] ) ( computer la_data_in[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 2088240 1690640 ) ( 2091600 * 0 )
NEW Metal2 ( 1821680 3920 ) ( 1827280 * )
NEW Metal2 ( 1827280 3920 ) ( * 5040 )
NEW Metal2 ( 1827280 5040 ) ( 1828400 * )
NEW Metal2 ( 1828400 3920 0 ) ( * 5040 )
NEW Metal2 ( 1818320 201600 ) ( 1821680 * )
NEW Metal2 ( 1821680 3920 ) ( * 201600 )
NEW Metal2 ( 1818320 201600 ) ( * 1588720 )
NEW Metal3 ( 1818320 1588720 ) ( 2088240 * )
NEW Metal2 ( 2088240 1588720 ) ( * 1690640 )
NEW Metal2 ( 1818320 1588720 ) Via2_VH
NEW Metal2 ( 2088240 1588720 ) Via2_VH ;
- la_data_in[13] ( PIN la_data_in[13] ) ( computer la_data_in[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 1855280 3920 ) ( 1860880 * )
NEW Metal2 ( 1860880 3920 ) ( * 5040 )
NEW Metal2 ( 1860880 5040 ) ( 1862000 * )
NEW Metal2 ( 1862000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2105040 1690640 ) ( 2108400 * 0 )
NEW Metal2 ( 1848560 201600 ) ( 1855280 * )
NEW Metal2 ( 1855280 3920 ) ( * 201600 )
NEW Metal2 ( 1848560 201600 ) ( * 1590960 )
NEW Metal3 ( 1848560 1590960 ) ( 2105040 * )
NEW Metal2 ( 2105040 1590960 ) ( * 1690640 )
NEW Metal2 ( 1848560 1590960 ) Via2_VH
NEW Metal2 ( 2105040 1590960 ) Via2_VH ;
- la_data_in[14] ( PIN la_data_in[14] ) ( computer la_data_in[14] ) + USE SIGNAL
+ ROUTED Metal1 ( 1882160 42000 ) ( 1888880 * )
NEW Metal2 ( 1888880 3920 ) ( * 42000 )
NEW Metal2 ( 1888880 3920 ) ( 1894480 * )
NEW Metal2 ( 1894480 3920 ) ( * 5040 )
NEW Metal2 ( 1894480 5040 ) ( 1895600 * )
NEW Metal2 ( 1895600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1882160 42000 ) ( * 1620080 )
NEW Metal3 ( 1882160 1620080 ) ( 1965600 * )
NEW Metal3 ( 1965600 1620080 ) ( * 1621200 )
NEW Metal3 ( 1965600 1621200 ) ( 2125200 * )
NEW Metal2 ( 2125200 1621200 ) ( * 1690640 0 )
NEW Metal1 ( 1882160 42000 ) Via1_HV
NEW Metal1 ( 1888880 42000 ) Via1_HV
NEW Metal2 ( 1882160 1620080 ) Via2_VH
NEW Metal2 ( 2125200 1621200 ) Via2_VH ;
- la_data_in[15] ( PIN la_data_in[15] ) ( computer la_data_in[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 1931440 3920 0 ) ( * 59920 )
NEW Metal2 ( 2138640 1690640 ) ( 2142000 * 0 )
NEW Metal3 ( 1931440 59920 ) ( 2135280 * )
NEW Metal2 ( 2138640 1680000 ) ( * 1690640 )
NEW Metal2 ( 2137520 1680000 ) ( 2138640 * )
NEW Metal2 ( 2137520 1646400 ) ( * 1680000 )
NEW Metal2 ( 2135280 1646400 ) ( 2137520 * )
NEW Metal2 ( 2135280 59920 ) ( * 1646400 )
NEW Metal2 ( 1931440 59920 ) Via2_VH
NEW Metal2 ( 2135280 59920 ) Via2_VH ;
- la_data_in[16] ( PIN la_data_in[16] ) ( computer la_data_in[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 1956080 3920 ) ( 1961680 * )
NEW Metal2 ( 1961680 3920 ) ( * 5040 )
NEW Metal2 ( 1961680 5040 ) ( 1962800 * )
NEW Metal2 ( 1962800 3920 0 ) ( * 5040 )
NEW Metal3 ( 1952720 1650320 ) ( * 1654800 )
NEW Metal2 ( 2158800 1654800 ) ( * 1690640 0 )
NEW Metal2 ( 1952720 201600 ) ( 1956080 * )
NEW Metal2 ( 1956080 3920 ) ( * 201600 )
NEW Metal2 ( 1952720 201600 ) ( * 1650320 )
NEW Metal3 ( 1952720 1654800 ) ( 2158800 * )
NEW Metal2 ( 1952720 1650320 ) Via2_VH
NEW Metal2 ( 2158800 1654800 ) Via2_VH ;
- la_data_in[17] ( PIN la_data_in[17] ) ( computer la_data_in[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 2175600 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 1998640 3920 0 ) ( * 67760 )
NEW Metal3 ( 1998640 67760 ) ( 2058000 * )
NEW Metal2 ( 2058000 67760 ) ( * 1495200 )
NEW Metal2 ( 2058000 1495200 ) ( 2061360 * )
NEW Metal2 ( 2061360 1495200 ) ( * 1657040 )
NEW Metal3 ( 2061360 1657040 ) ( 2175600 * )
NEW Metal2 ( 2175600 1657040 ) Via2_VH
NEW Metal2 ( 1998640 67760 ) Via2_VH
NEW Metal2 ( 2058000 67760 ) Via2_VH
NEW Metal2 ( 2061360 1657040 ) Via2_VH ;
- la_data_in[18] ( PIN la_data_in[18] ) ( computer la_data_in[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 2185680 1655920 ) ( * 1673840 )
NEW Metal2 ( 2185680 1673840 ) ( 2189040 * )
NEW Metal2 ( 2189040 1673840 ) ( * 1690640 )
NEW Metal2 ( 2189040 1690640 ) ( 2192400 * 0 )
NEW Metal2 ( 2023280 3920 ) ( 2028880 * )
NEW Metal2 ( 2028880 3920 ) ( * 5040 )
NEW Metal2 ( 2028880 5040 ) ( 2030000 * )
NEW Metal2 ( 2030000 3920 0 ) ( * 5040 )
NEW Metal3 ( 2021040 1646960 ) ( 2030000 * )
NEW Metal2 ( 2030000 1646960 ) ( * 1655920 )
NEW Metal2 ( 2021040 201600 ) ( 2023280 * )
NEW Metal2 ( 2023280 3920 ) ( * 201600 )
NEW Metal2 ( 2021040 201600 ) ( * 1646960 )
NEW Metal3 ( 2030000 1655920 ) ( 2185680 * )
NEW Metal2 ( 2185680 1655920 ) Via2_VH
NEW Metal2 ( 2021040 1646960 ) Via2_VH
NEW Metal2 ( 2030000 1646960 ) Via2_VH
NEW Metal2 ( 2030000 1655920 ) Via2_VH ;
- la_data_in[19] ( PIN la_data_in[19] ) ( computer la_data_in[19] ) + USE SIGNAL
+ ROUTED Metal1 ( 2050160 42000 ) ( 2056880 * )
NEW Metal2 ( 2056880 3920 ) ( * 42000 )
NEW Metal2 ( 2056880 3920 ) ( 2062480 * )
NEW Metal2 ( 2062480 3920 ) ( * 5040 )
NEW Metal2 ( 2062480 5040 ) ( 2063600 * )
NEW Metal2 ( 2063600 3920 0 ) ( * 5040 )
NEW Metal2 ( 2209200 1622320 ) ( * 1690640 0 )
NEW Metal2 ( 2050160 42000 ) ( * 1622320 )
NEW Metal3 ( 2050160 1622320 ) ( 2209200 * )
NEW Metal1 ( 2050160 42000 ) Via1_HV
NEW Metal1 ( 2056880 42000 ) Via1_HV
NEW Metal2 ( 2209200 1622320 ) Via2_VH
NEW Metal2 ( 2050160 1622320 ) Via2_VH ;
- la_data_in[1] ( PIN la_data_in[1] ) ( computer la_data_in[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1452080 3920 ) ( 1457680 * )
NEW Metal2 ( 1457680 3920 ) ( * 5040 )
NEW Metal2 ( 1457680 5040 ) ( 1458800 * )
NEW Metal2 ( 1458800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1906800 1622320 ) ( * 1690640 0 )
NEW Metal2 ( 1448720 201600 ) ( 1452080 * )
NEW Metal2 ( 1452080 3920 ) ( * 201600 )
NEW Metal2 ( 1448720 201600 ) ( * 1622320 )
NEW Metal3 ( 1448720 1622320 ) ( 1906800 * )
NEW Metal2 ( 1448720 1622320 ) Via2_VH
NEW Metal2 ( 1906800 1622320 ) Via2_VH ;
- la_data_in[20] ( PIN la_data_in[20] ) ( computer la_data_in[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 2099440 3920 0 ) ( * 50960 )
NEW Metal3 ( 2099440 50960 ) ( 2158800 * )
NEW Metal2 ( 2158800 50960 ) ( * 1650320 )
NEW Metal2 ( 2226000 1650320 ) ( * 1690640 0 )
NEW Metal3 ( 2158800 1650320 ) ( 2226000 * )
NEW Metal2 ( 2099440 50960 ) Via2_VH
NEW Metal2 ( 2158800 50960 ) Via2_VH
NEW Metal2 ( 2158800 1650320 ) Via2_VH
NEW Metal2 ( 2226000 1650320 ) Via2_VH ;
- la_data_in[21] ( PIN la_data_in[21] ) ( computer la_data_in[21] ) + USE SIGNAL
+ ROUTED Metal3 ( 2133040 90160 ) ( 2192400 * )
NEW Metal2 ( 2133040 3920 0 ) ( * 90160 )
NEW Metal2 ( 2192400 90160 ) ( * 1657040 )
NEW Metal2 ( 2242800 1657040 ) ( * 1690640 0 )
NEW Metal3 ( 2192400 1657040 ) ( 2242800 * )
NEW Metal2 ( 2192400 1657040 ) Via2_VH
NEW Metal2 ( 2133040 90160 ) Via2_VH
NEW Metal2 ( 2192400 90160 ) Via2_VH
NEW Metal2 ( 2242800 1657040 ) Via2_VH ;
- la_data_in[22] ( PIN la_data_in[22] ) ( computer la_data_in[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 2166640 3920 0 ) ( * 58800 )
NEW Metal3 ( 2166640 58800 ) ( 2209200 * )
NEW Metal3 ( 2212560 1652560 ) ( 2259600 * )
NEW Metal2 ( 2259600 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 2209200 58800 ) ( * 1495200 )
NEW Metal2 ( 2209200 1495200 ) ( 2212560 * )
NEW Metal2 ( 2212560 1495200 ) ( * 1652560 )
NEW Metal2 ( 2166640 58800 ) Via2_VH
NEW Metal2 ( 2209200 58800 ) Via2_VH
NEW Metal2 ( 2212560 1652560 ) Via2_VH
NEW Metal2 ( 2259600 1652560 ) Via2_VH ;
- la_data_in[23] ( PIN la_data_in[23] ) ( computer la_data_in[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 2200240 3920 0 ) ( * 92400 )
NEW Metal3 ( 2200240 92400 ) ( 2269680 * )
NEW Metal2 ( 2269680 92400 ) ( * 1680000 )
NEW Metal2 ( 2269680 1680000 ) ( 2273040 * )
NEW Metal2 ( 2273040 1680000 ) ( * 1690640 )
NEW Metal2 ( 2273040 1690640 ) ( 2276400 * 0 )
NEW Metal2 ( 2200240 92400 ) Via2_VH
NEW Metal2 ( 2269680 92400 ) Via2_VH ;
- la_data_in[24] ( PIN la_data_in[24] ) ( computer la_data_in[24] ) + USE SIGNAL
+ ROUTED Metal2 ( 2233840 3920 0 ) ( * 42000 )
NEW Metal3 ( 2233840 42000 ) ( 2242800 * )
NEW Metal2 ( 2242800 42000 ) ( * 1650320 )
NEW Metal3 ( 2242800 1650320 ) ( 2293200 * )
NEW Metal2 ( 2293200 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 2233840 42000 ) Via2_VH
NEW Metal2 ( 2242800 42000 ) Via2_VH
NEW Metal2 ( 2242800 1650320 ) Via2_VH
NEW Metal2 ( 2293200 1650320 ) Via2_VH ;
- la_data_in[25] ( PIN la_data_in[25] ) ( computer la_data_in[25] ) + USE SIGNAL
+ ROUTED Metal2 ( 2267440 3920 0 ) ( * 42000 )
NEW Metal2 ( 2267440 42000 ) ( 2268560 * )
NEW Metal3 ( 2268560 95760 ) ( 2304400 * )
NEW Metal2 ( 2268560 42000 ) ( * 95760 )
NEW Metal2 ( 2307760 1690640 ) ( 2310000 * 0 )
NEW Metal3 ( 2304400 1536080 ) ( 2307760 * )
NEW Metal2 ( 2304400 95760 ) ( * 1536080 )
NEW Metal2 ( 2307760 1536080 ) ( * 1690640 )
NEW Metal2 ( 2268560 95760 ) Via2_VH
NEW Metal2 ( 2304400 95760 ) Via2_VH
NEW Metal2 ( 2304400 1536080 ) Via2_VH
NEW Metal2 ( 2307760 1536080 ) Via2_VH ;
- la_data_in[26] ( PIN la_data_in[26] ) ( computer la_data_in[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 2320080 117600 ) ( * 201600 )
NEW Metal2 ( 2320080 201600 ) ( 2321200 * )
NEW Metal2 ( 2321200 201600 ) ( * 1495200 )
NEW Metal2 ( 2321200 1495200 ) ( 2323440 * )
NEW Metal2 ( 2301040 3920 0 ) ( * 42000 )
NEW Metal3 ( 2301040 42000 ) ( 2317840 * )
NEW Metal2 ( 2317840 42000 ) ( * 117600 )
NEW Metal2 ( 2317840 117600 ) ( 2320080 * )
NEW Metal2 ( 2323440 1690640 ) ( 2326800 * 0 )
NEW Metal2 ( 2323440 1495200 ) ( * 1690640 )
NEW Metal2 ( 2301040 42000 ) Via2_VH
NEW Metal2 ( 2317840 42000 ) Via2_VH ;
- la_data_in[27] ( PIN la_data_in[27] ) ( computer la_data_in[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 2334640 3920 0 ) ( * 43120 )
NEW Metal3 ( 2334640 43120 ) ( 2336880 * )
NEW Metal2 ( 2341360 1690640 ) ( 2343600 * 0 )
NEW Metal3 ( 2336880 1531600 ) ( 2341360 * )
NEW Metal2 ( 2336880 43120 ) ( * 1531600 )
NEW Metal2 ( 2341360 1531600 ) ( * 1690640 )
NEW Metal2 ( 2334640 43120 ) Via2_VH
NEW Metal2 ( 2336880 43120 ) Via2_VH
NEW Metal2 ( 2336880 1531600 ) Via2_VH
NEW Metal2 ( 2341360 1531600 ) Via2_VH ;
- la_data_in[28] ( PIN la_data_in[28] ) ( computer la_data_in[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 2352560 42000 ) ( 2359280 * )
NEW Metal2 ( 2359280 3920 ) ( * 42000 )
NEW Metal2 ( 2359280 3920 ) ( 2364880 * )
NEW Metal2 ( 2364880 3920 ) ( * 5040 )
NEW Metal2 ( 2364880 5040 ) ( 2366000 * )
NEW Metal2 ( 2366000 3920 0 ) ( * 5040 )
NEW Metal3 ( 2352560 1621200 ) ( 2358160 * )
NEW Metal2 ( 2352560 42000 ) ( * 1621200 )
NEW Metal2 ( 2358160 1690640 ) ( 2360400 * 0 )
NEW Metal2 ( 2358160 1621200 ) ( * 1690640 )
NEW Metal2 ( 2352560 42000 ) Via2_VH
NEW Metal2 ( 2359280 42000 ) Via2_VH
NEW Metal2 ( 2352560 1621200 ) Via2_VH
NEW Metal2 ( 2358160 1621200 ) Via2_VH ;
- la_data_in[29] ( PIN la_data_in[29] ) ( computer la_data_in[29] ) + USE SIGNAL
+ ROUTED Metal2 ( 2391760 3920 ) ( 2398480 * )
NEW Metal2 ( 2398480 3920 ) ( * 5040 )
NEW Metal2 ( 2398480 5040 ) ( 2399600 * )
NEW Metal2 ( 2399600 3920 0 ) ( * 5040 )
NEW Metal2 ( 2391760 3920 ) ( * 1648080 )
NEW Metal3 ( 2377200 1648080 ) ( 2391760 * )
NEW Metal2 ( 2377200 1648080 ) ( * 1690640 0 )
NEW Metal2 ( 2391760 1648080 ) Via2_VH
NEW Metal2 ( 2377200 1648080 ) Via2_VH ;
- la_data_in[2] ( PIN la_data_in[2] ) ( computer la_data_in[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 1485680 3920 ) ( 1491280 * )
NEW Metal2 ( 1491280 3920 ) ( * 5040 )
NEW Metal2 ( 1491280 5040 ) ( 1492400 * )
NEW Metal2 ( 1492400 3920 0 ) ( * 5040 )
NEW Metal1 ( 1480080 167440 ) ( 1485680 * )
NEW Metal2 ( 1485680 3920 ) ( * 167440 )
NEW Metal2 ( 1480080 167440 ) ( * 1589840 )
NEW Metal3 ( 1480080 1589840 ) ( 1920240 * )
NEW Metal2 ( 1920240 1690640 ) ( 1923600 * 0 )
NEW Metal2 ( 1920240 1589840 ) ( * 1690640 )
NEW Metal1 ( 1480080 167440 ) Via1_HV
NEW Metal1 ( 1485680 167440 ) Via1_HV
NEW Metal2 ( 1480080 1589840 ) Via2_VH
NEW Metal2 ( 1920240 1589840 ) Via2_VH ;
- la_data_in[30] ( PIN la_data_in[30] ) ( computer la_data_in[30] ) + USE SIGNAL
+ ROUTED Metal2 ( 2419760 53200 ) ( 2423120 * )
NEW Metal2 ( 2423120 46480 ) ( * 53200 )
NEW Metal2 ( 2423120 46480 ) ( 2425360 * )
NEW Metal2 ( 2425360 3920 ) ( * 46480 )
NEW Metal2 ( 2425360 3920 ) ( 2432080 * )
NEW Metal2 ( 2432080 3920 ) ( * 5040 )
NEW Metal2 ( 2432080 5040 ) ( 2433200 * )
NEW Metal2 ( 2433200 3920 0 ) ( * 5040 )
NEW Metal3 ( 2394000 1651440 ) ( 2419760 * )
NEW Metal2 ( 2419760 53200 ) ( * 1651440 )
NEW Metal2 ( 2394000 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 2419760 1651440 ) Via2_VH
NEW Metal2 ( 2394000 1651440 ) Via2_VH ;
- la_data_in[31] ( PIN la_data_in[31] ) ( computer la_data_in[31] ) + USE SIGNAL
+ ROUTED Metal3 ( 2410800 1652560 ) ( 2427600 * )
NEW Metal2 ( 2427600 49840 ) ( * 1652560 )
NEW Metal2 ( 2466800 3920 0 ) ( * 49840 )
NEW Metal3 ( 2427600 49840 ) ( 2466800 * )
NEW Metal2 ( 2410800 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 2427600 49840 ) Via2_VH
NEW Metal2 ( 2427600 1652560 ) Via2_VH
NEW Metal2 ( 2410800 1652560 ) Via2_VH
NEW Metal2 ( 2466800 49840 ) Via2_VH ;
- la_data_in[32] ( PIN la_data_in[32] ) ( computer la_data_in[32] ) + USE SIGNAL
+ ROUTED Metal3 ( 2479120 44240 ) ( 2500400 * )
NEW Metal2 ( 2500400 3920 0 ) ( * 44240 )
NEW Metal3 ( 2427600 1657040 ) ( 2478000 * )
NEW Metal2 ( 2478000 201600 ) ( 2479120 * )
NEW Metal2 ( 2479120 44240 ) ( * 201600 )
NEW Metal2 ( 2478000 201600 ) ( * 1657040 )
NEW Metal2 ( 2427600 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 2427600 1657040 ) Via2_VH
NEW Metal2 ( 2479120 44240 ) Via2_VH
NEW Metal2 ( 2500400 44240 ) Via2_VH
NEW Metal2 ( 2478000 1657040 ) Via2_VH ;
- la_data_in[33] ( PIN la_data_in[33] ) ( computer la_data_in[33] ) + USE SIGNAL
+ ROUTED Metal3 ( 2436560 67760 ) ( 2503760 * )
NEW Metal2 ( 2503760 43120 ) ( * 67760 )
NEW Metal3 ( 2503760 43120 ) ( 2534000 * )
NEW Metal2 ( 2534000 3920 0 ) ( * 43120 )
NEW Metal2 ( 2436560 67760 ) ( * 1495200 )
NEW Metal2 ( 2436560 1495200 ) ( 2441040 * )
NEW Metal2 ( 2441040 1690640 ) ( 2444400 * 0 )
NEW Metal2 ( 2441040 1495200 ) ( * 1690640 )
NEW Metal2 ( 2436560 67760 ) Via2_VH
NEW Metal2 ( 2503760 67760 ) Via2_VH
NEW Metal2 ( 2503760 43120 ) Via2_VH
NEW Metal2 ( 2534000 43120 ) Via2_VH ;
- la_data_in[34] ( PIN la_data_in[34] ) ( computer la_data_in[34] ) + USE SIGNAL
+ ROUTED Metal2 ( 2567600 3920 0 ) ( * 48720 )
NEW Metal3 ( 2530640 48720 ) ( 2567600 * )
NEW Metal3 ( 2461200 1651440 ) ( 2530640 * )
NEW Metal2 ( 2530640 48720 ) ( * 1651440 )
NEW Metal2 ( 2461200 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 2567600 48720 ) Via2_VH
NEW Metal2 ( 2530640 48720 ) Via2_VH
NEW Metal2 ( 2530640 1651440 ) Via2_VH
NEW Metal2 ( 2461200 1651440 ) Via2_VH ;
- la_data_in[35] ( PIN la_data_in[35] ) ( computer la_data_in[35] ) + USE SIGNAL
+ ROUTED Metal2 ( 2601200 3920 0 ) ( * 78960 )
NEW Metal3 ( 2470160 78960 ) ( 2601200 * )
NEW Metal1 ( 2470160 1652560 ) ( * 1653680 )
NEW Metal1 ( 2470160 1653680 ) ( 2474640 * )
NEW Metal2 ( 2470160 78960 ) ( * 1652560 )
NEW Metal2 ( 2474640 1690640 ) ( 2478000 * 0 )
NEW Metal2 ( 2474640 1653680 ) ( * 1690640 )
NEW Metal2 ( 2601200 78960 ) Via2_VH
NEW Metal2 ( 2470160 78960 ) Via2_VH
NEW Metal1 ( 2470160 1652560 ) Via1_HV
NEW Metal1 ( 2474640 1653680 ) Via1_HV ;
- la_data_in[36] ( PIN la_data_in[36] ) ( computer la_data_in[36] ) + USE SIGNAL
+ ROUTED Metal3 ( 2598960 43120 ) ( 2634800 * )
NEW Metal2 ( 2634800 3920 0 ) ( * 43120 )
NEW Metal2 ( 2598960 43120 ) ( * 110320 )
NEW Metal1 ( 2486960 1638000 ) ( 2492560 * )
NEW Metal3 ( 2486960 110320 ) ( 2598960 * )
NEW Metal2 ( 2486960 110320 ) ( * 1638000 )
NEW Metal2 ( 2492560 1690640 ) ( 2494800 * 0 )
NEW Metal2 ( 2492560 1638000 ) ( * 1690640 )
NEW Metal2 ( 2598960 43120 ) Via2_VH
NEW Metal2 ( 2634800 43120 ) Via2_VH
NEW Metal2 ( 2598960 110320 ) Via2_VH
NEW Metal1 ( 2486960 1638000 ) Via1_HV
NEW Metal1 ( 2492560 1638000 ) Via1_HV
NEW Metal2 ( 2486960 110320 ) Via2_VH ;
- la_data_in[37] ( PIN la_data_in[37] ) ( computer la_data_in[37] ) + USE SIGNAL
+ ROUTED Metal2 ( 2638160 35280 ) ( * 59920 )
NEW Metal3 ( 2638160 35280 ) ( 2668400 * )
NEW Metal2 ( 2668400 3920 0 ) ( * 35280 )
NEW Metal3 ( 2507120 59920 ) ( 2638160 * )
NEW Metal1 ( 2503760 1614480 ) ( 2511600 * )
NEW Metal1 ( 2503760 192080 ) ( 2507120 * )
NEW Metal2 ( 2507120 59920 ) ( * 192080 )
NEW Metal2 ( 2503760 192080 ) ( * 1614480 )
NEW Metal2 ( 2511600 1614480 ) ( * 1690640 0 )
NEW Metal2 ( 2638160 59920 ) Via2_VH
NEW Metal2 ( 2638160 35280 ) Via2_VH
NEW Metal2 ( 2668400 35280 ) Via2_VH
NEW Metal2 ( 2507120 59920 ) Via2_VH
NEW Metal1 ( 2503760 1614480 ) Via1_HV
NEW Metal1 ( 2511600 1614480 ) Via1_HV
NEW Metal1 ( 2503760 192080 ) Via1_HV
NEW Metal1 ( 2507120 192080 ) Via1_HV ;
- la_data_in[38] ( PIN la_data_in[38] ) ( computer la_data_in[38] ) + USE SIGNAL
+ ROUTED Metal3 ( 2679600 42000 ) ( 2702000 * )
NEW Metal2 ( 2702000 3920 0 ) ( * 42000 )
NEW Metal2 ( 2521680 159600 ) ( * 1495200 )
NEW Metal2 ( 2521680 1495200 ) ( 2525040 * )
NEW Metal3 ( 2521680 159600 ) ( 2679600 * )
NEW Metal2 ( 2679600 42000 ) ( * 159600 )
NEW Metal2 ( 2525040 1690640 ) ( 2528400 * 0 )
NEW Metal2 ( 2525040 1495200 ) ( * 1690640 )
NEW Metal2 ( 2679600 42000 ) Via2_VH
NEW Metal2 ( 2702000 42000 ) Via2_VH
NEW Metal2 ( 2521680 159600 ) Via2_VH
NEW Metal2 ( 2679600 159600 ) Via2_VH ;
- la_data_in[39] ( PIN la_data_in[39] ) ( computer la_data_in[39] ) + USE SIGNAL
+ ROUTED Metal2 ( 2537360 1651440 ) ( 2541840 * )
NEW Metal2 ( 2735600 3920 0 ) ( * 76720 )
NEW Metal3 ( 2537360 76720 ) ( 2735600 * )
NEW Metal2 ( 2537360 76720 ) ( * 1651440 )
NEW Metal2 ( 2541840 1690640 ) ( 2545200 * 0 )
NEW Metal2 ( 2541840 1651440 ) ( * 1690640 )
NEW Metal2 ( 2537360 76720 ) Via2_VH
NEW Metal2 ( 2735600 76720 ) Via2_VH ;
- la_data_in[3] ( PIN la_data_in[3] ) ( computer la_data_in[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 1519280 3920 ) ( 1524880 * )
NEW Metal2 ( 1524880 3920 ) ( * 5040 )
NEW Metal2 ( 1524880 5040 ) ( 1526000 * )
NEW Metal2 ( 1526000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1839600 1660400 ) ( 1940400 * )
NEW Metal2 ( 1519280 3920 ) ( * 160720 )
NEW Metal3 ( 1519280 160720 ) ( 1839600 * )
NEW Metal2 ( 1839600 160720 ) ( * 1660400 )
NEW Metal2 ( 1940400 1660400 ) ( * 1690640 0 )
NEW Metal2 ( 1940400 1660400 ) Via2_VH
NEW Metal2 ( 1839600 1660400 ) Via2_VH
NEW Metal2 ( 1519280 160720 ) Via2_VH
NEW Metal2 ( 1839600 160720 ) Via2_VH ;
- la_data_in[40] ( PIN la_data_in[40] ) ( computer la_data_in[40] ) + USE SIGNAL
+ ROUTED Metal2 ( 2555280 1651440 ) ( 2558640 * )
NEW Metal2 ( 2555280 75600 ) ( * 1651440 )
NEW Metal2 ( 2769200 3920 0 ) ( * 75600 )
NEW Metal3 ( 2555280 75600 ) ( 2769200 * )
NEW Metal2 ( 2558640 1690640 ) ( 2562000 * 0 )
NEW Metal2 ( 2558640 1651440 ) ( * 1690640 )
NEW Metal2 ( 2555280 75600 ) Via2_VH
NEW Metal2 ( 2769200 75600 ) Via2_VH ;
- la_data_in[41] ( PIN la_data_in[41] ) ( computer la_data_in[41] ) + USE SIGNAL
+ ROUTED Metal2 ( 2572080 1651440 ) ( 2575440 * )
NEW Metal2 ( 2572080 113680 ) ( * 1651440 )
NEW Metal2 ( 2802800 3920 0 ) ( * 113680 )
NEW Metal3 ( 2572080 113680 ) ( 2802800 * )
NEW Metal2 ( 2575440 1690640 ) ( 2578800 * 0 )
NEW Metal2 ( 2575440 1651440 ) ( * 1690640 )
NEW Metal2 ( 2572080 113680 ) Via2_VH
NEW Metal2 ( 2802800 113680 ) Via2_VH ;
- la_data_in[42] ( PIN la_data_in[42] ) ( computer la_data_in[42] ) + USE SIGNAL
+ ROUTED Metal3 ( 2587760 1651440 ) ( 2593360 * )
NEW Metal2 ( 2587760 109200 ) ( * 1651440 )
NEW Metal2 ( 2836400 3920 0 ) ( * 109200 )
NEW Metal3 ( 2587760 109200 ) ( 2836400 * )
NEW Metal2 ( 2593360 1690640 ) ( 2595600 * 0 )
NEW Metal2 ( 2593360 1651440 ) ( * 1690640 )
NEW Metal2 ( 2587760 1651440 ) Via2_VH
NEW Metal2 ( 2593360 1651440 ) Via2_VH
NEW Metal2 ( 2587760 109200 ) Via2_VH
NEW Metal2 ( 2836400 109200 ) Via2_VH ;
- la_data_in[43] ( PIN la_data_in[43] ) ( computer la_data_in[43] ) + USE SIGNAL
+ ROUTED Metal2 ( 2604560 1651440 ) ( 2609040 * )
NEW Metal2 ( 2870000 3920 0 ) ( * 80080 )
NEW Metal2 ( 2604560 80080 ) ( * 1651440 )
NEW Metal3 ( 2604560 80080 ) ( 2870000 * )
NEW Metal2 ( 2609040 1690640 ) ( 2612400 * 0 )
NEW Metal2 ( 2609040 1651440 ) ( * 1690640 )
NEW Metal2 ( 2604560 80080 ) Via2_VH
NEW Metal2 ( 2870000 80080 ) Via2_VH ;
- la_data_in[44] ( PIN la_data_in[44] ) ( computer la_data_in[44] ) + USE SIGNAL
+ ROUTED Metal3 ( 2864400 67760 ) ( 2903600 * )
NEW Metal2 ( 2903600 3920 0 ) ( * 67760 )
NEW Metal2 ( 2864400 67760 ) ( * 1671600 )
NEW Metal3 ( 2629200 1671600 ) ( 2864400 * )
NEW Metal2 ( 2629200 1671600 ) ( * 1690640 0 )
NEW Metal2 ( 2864400 67760 ) Via2_VH
NEW Metal2 ( 2903600 67760 ) Via2_VH
NEW Metal2 ( 2864400 1671600 ) Via2_VH
NEW Metal2 ( 2629200 1671600 ) Via2_VH ;
- la_data_in[45] ( PIN la_data_in[45] ) ( computer la_data_in[45] ) + USE SIGNAL
+ ROUTED Metal2 ( 2639280 145040 ) ( * 1495200 )
NEW Metal2 ( 2639280 1495200 ) ( 2642640 * )
NEW Metal2 ( 2929360 3920 ) ( 2936080 * )
NEW Metal2 ( 2936080 3920 ) ( * 5040 )
NEW Metal2 ( 2936080 5040 ) ( 2937200 * )
NEW Metal2 ( 2937200 3920 0 ) ( * 5040 )
NEW Metal3 ( 2639280 145040 ) ( 2929360 * )
NEW Metal2 ( 2929360 3920 ) ( * 145040 )
NEW Metal2 ( 2642640 1690640 ) ( 2646000 * 0 )
NEW Metal2 ( 2642640 1495200 ) ( * 1690640 )
NEW Metal2 ( 2639280 145040 ) Via2_VH
NEW Metal2 ( 2929360 145040 ) Via2_VH ;
- la_data_in[46] ( PIN la_data_in[46] ) ( computer la_data_in[46] ) + USE SIGNAL
+ ROUTED Metal2 ( 2656080 78960 ) ( * 1495200 )
NEW Metal2 ( 2656080 1495200 ) ( 2659440 * )
NEW Metal2 ( 2970800 3920 0 ) ( * 78960 )
NEW Metal3 ( 2656080 78960 ) ( 2970800 * )
NEW Metal2 ( 2659440 1690640 ) ( 2662800 * 0 )
NEW Metal2 ( 2659440 1495200 ) ( * 1690640 )
NEW Metal2 ( 2656080 78960 ) Via2_VH
NEW Metal2 ( 2970800 78960 ) Via2_VH ;
- la_data_in[47] ( PIN la_data_in[47] ) ( computer la_data_in[47] ) + USE SIGNAL
+ ROUTED Metal2 ( 2997680 3920 ) ( 3003280 * )
NEW Metal2 ( 3003280 3920 ) ( * 5040 )
NEW Metal2 ( 3003280 5040 ) ( 3004400 * )
NEW Metal2 ( 3004400 3920 0 ) ( * 5040 )
NEW Metal3 ( 2674000 226800 ) ( 2992080 * )
NEW Metal2 ( 2674000 226800 ) ( * 1495200 )
NEW Metal2 ( 2674000 1495200 ) ( 2676240 * )
NEW Metal2 ( 2992080 201600 ) ( * 226800 )
NEW Metal2 ( 2992080 201600 ) ( 2997680 * )
NEW Metal2 ( 2997680 3920 ) ( * 201600 )
NEW Metal2 ( 2676240 1690640 ) ( 2679600 * 0 )
NEW Metal2 ( 2676240 1495200 ) ( * 1690640 )
NEW Metal2 ( 2674000 226800 ) Via2_VH
NEW Metal2 ( 2992080 226800 ) Via2_VH ;
- la_data_in[48] ( PIN la_data_in[48] ) ( computer la_data_in[48] ) + USE SIGNAL
+ ROUTED Metal2 ( 3031280 3920 ) ( 3036880 * )
NEW Metal2 ( 3036880 3920 ) ( * 5040 )
NEW Metal2 ( 3036880 5040 ) ( 3038000 * )
NEW Metal2 ( 3038000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2881200 1453200 ) ( * 1655920 )
NEW Metal2 ( 3026800 201600 ) ( 3031280 * )
NEW Metal2 ( 3026800 201600 ) ( * 1453200 )
NEW Metal3 ( 2881200 1453200 ) ( 3026800 * )
NEW Metal2 ( 3031280 3920 ) ( * 201600 )
NEW Metal3 ( 2696400 1655920 ) ( 2881200 * )
NEW Metal2 ( 2696400 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 2881200 1453200 ) Via2_VH
NEW Metal2 ( 2881200 1655920 ) Via2_VH
NEW Metal2 ( 3026800 1453200 ) Via2_VH
NEW Metal2 ( 2696400 1655920 ) Via2_VH ;
- la_data_in[49] ( PIN la_data_in[49] ) ( computer la_data_in[49] ) + USE SIGNAL
+ ROUTED Metal3 ( 2706480 211120 ) ( 3060400 * )
NEW Metal2 ( 2710960 1690640 ) ( 2713200 * 0 )
NEW Metal3 ( 3060400 92400 ) ( 3071600 * )
NEW Metal2 ( 3071600 3920 0 ) ( * 92400 )
NEW Metal2 ( 3060400 92400 ) ( * 211120 )
NEW Metal3 ( 2706480 1537200 ) ( 2710960 * )
NEW Metal2 ( 2706480 211120 ) ( * 1537200 )
NEW Metal2 ( 2710960 1537200 ) ( * 1690640 )
NEW Metal2 ( 3060400 211120 ) Via2_VH
NEW Metal2 ( 2706480 211120 ) Via2_VH
NEW Metal2 ( 3060400 92400 ) Via2_VH
NEW Metal2 ( 3071600 92400 ) Via2_VH
NEW Metal2 ( 2706480 1537200 ) Via2_VH
NEW Metal2 ( 2710960 1537200 ) Via2_VH ;
- la_data_in[4] ( PIN la_data_in[4] ) ( computer la_data_in[4] ) + USE SIGNAL
+ ROUTED Metal1 ( 1949360 1654800 ) ( 1954960 * )
NEW Metal2 ( 1949360 1554000 ) ( * 1654800 )
NEW Metal2 ( 1552880 3920 ) ( 1558480 * )
NEW Metal2 ( 1558480 3920 ) ( * 5040 )
NEW Metal2 ( 1558480 5040 ) ( 1559600 * )
NEW Metal2 ( 1559600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1549520 201600 ) ( 1552880 * )
NEW Metal2 ( 1552880 3920 ) ( * 201600 )
NEW Metal2 ( 1549520 201600 ) ( * 1554000 )
NEW Metal3 ( 1549520 1554000 ) ( 1949360 * )
NEW Metal2 ( 1954960 1690640 ) ( 1957200 * 0 )
NEW Metal2 ( 1954960 1654800 ) ( * 1690640 )
NEW Metal1 ( 1949360 1654800 ) Via1_HV
NEW Metal1 ( 1954960 1654800 ) Via1_HV
NEW Metal2 ( 1949360 1554000 ) Via2_VH
NEW Metal2 ( 1549520 1554000 ) Via2_VH ;
- la_data_in[50] ( PIN la_data_in[50] ) ( computer la_data_in[50] ) + USE SIGNAL
+ ROUTED Metal3 ( 2814000 279440 ) ( 3094000 * )
NEW Metal2 ( 2814000 279440 ) ( * 1662640 )
NEW Metal3 ( 2730000 1662640 ) ( 2814000 * )
NEW Metal2 ( 2730000 1662640 ) ( * 1690640 0 )
NEW Metal1 ( 3094000 105840 ) ( 3105200 * )
NEW Metal2 ( 3105200 3920 0 ) ( * 105840 )
NEW Metal2 ( 3094000 105840 ) ( * 279440 )
NEW Metal2 ( 2814000 279440 ) Via2_VH
NEW Metal2 ( 3094000 279440 ) Via2_VH
NEW Metal2 ( 2814000 1662640 ) Via2_VH
NEW Metal2 ( 2730000 1662640 ) Via2_VH
NEW Metal1 ( 3094000 105840 ) Via1_HV
NEW Metal1 ( 3105200 105840 ) Via1_HV ;
- la_data_in[51] ( PIN la_data_in[51] ) ( computer la_data_in[51] ) + USE SIGNAL
+ ROUTED Metal2 ( 3138800 3920 0 ) ( * 26320 )
NEW Metal3 ( 2740080 26320 ) ( 3138800 * )
NEW Metal2 ( 2742320 1680000 ) ( 2743440 * )
NEW Metal2 ( 2743440 1680000 ) ( * 1690640 )
NEW Metal2 ( 2743440 1690640 ) ( 2746800 * 0 )
NEW Metal2 ( 2742320 1646400 ) ( * 1680000 )
NEW Metal2 ( 2740080 1646400 ) ( 2742320 * )
NEW Metal2 ( 2740080 26320 ) ( * 1646400 )
NEW Metal2 ( 3138800 26320 ) Via2_VH
NEW Metal2 ( 2740080 26320 ) Via2_VH ;
- la_data_in[52] ( PIN la_data_in[52] ) ( computer la_data_in[52] ) + USE SIGNAL
+ ROUTED Metal2 ( 2756880 77840 ) ( * 1495200 )
NEW Metal2 ( 2756880 1495200 ) ( 2760240 * )
NEW Metal2 ( 2760240 1690640 ) ( 2763600 * 0 )
NEW Metal2 ( 2760240 1495200 ) ( * 1690640 )
NEW Metal3 ( 2756880 77840 ) ( 3172400 * )
NEW Metal2 ( 3172400 3920 0 ) ( * 77840 )
NEW Metal2 ( 2756880 77840 ) Via2_VH
NEW Metal2 ( 3172400 77840 ) Via2_VH ;
- la_data_in[53] ( PIN la_data_in[53] ) ( computer la_data_in[53] ) + USE SIGNAL
+ ROUTED Metal2 ( 3199280 3920 ) ( 3204880 * )
NEW Metal2 ( 3204880 3920 ) ( * 5040 )
NEW Metal2 ( 3204880 5040 ) ( 3206000 * )
NEW Metal2 ( 3206000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2774800 128240 ) ( * 1495200 )
NEW Metal2 ( 2774800 1495200 ) ( 2777040 * )
NEW Metal2 ( 2777040 1690640 ) ( 2780400 * 0 )
NEW Metal2 ( 2777040 1495200 ) ( * 1690640 )
NEW Metal3 ( 2774800 128240 ) ( 3199280 * )
NEW Metal2 ( 3199280 3920 ) ( * 128240 )
NEW Metal2 ( 2774800 128240 ) Via2_VH
NEW Metal2 ( 3199280 128240 ) Via2_VH ;
- la_data_in[54] ( PIN la_data_in[54] ) ( computer la_data_in[54] ) + USE SIGNAL
+ ROUTED Metal2 ( 3239600 3920 0 ) ( * 111440 )
NEW Metal2 ( 2793840 1690640 ) ( 2797200 * 0 )
NEW Metal3 ( 2790480 111440 ) ( 3239600 * )
NEW Metal3 ( 2790480 1536080 ) ( 2793840 * )
NEW Metal2 ( 2790480 111440 ) ( * 1536080 )
NEW Metal2 ( 2793840 1536080 ) ( * 1690640 )
NEW Metal2 ( 2790480 111440 ) Via2_VH
NEW Metal2 ( 3239600 111440 ) Via2_VH
NEW Metal2 ( 2790480 1536080 ) Via2_VH
NEW Metal2 ( 2793840 1536080 ) Via2_VH ;
- la_data_in[55] ( PIN la_data_in[55] ) ( computer la_data_in[55] ) + USE SIGNAL
+ ROUTED Metal2 ( 3273200 3920 0 ) ( * 76720 )
NEW Metal2 ( 2807280 76720 ) ( * 1562400 )
NEW Metal2 ( 2807280 1562400 ) ( 2810640 * )
NEW Metal2 ( 2810640 1690640 ) ( 2814000 * 0 )
NEW Metal2 ( 2810640 1562400 ) ( * 1690640 )
NEW Metal3 ( 2807280 76720 ) ( 3273200 * )
NEW Metal2 ( 2807280 76720 ) Via2_VH
NEW Metal2 ( 3273200 76720 ) Via2_VH ;
- la_data_in[56] ( PIN la_data_in[56] ) ( computer la_data_in[56] ) + USE SIGNAL
+ ROUTED Metal2 ( 3306800 3920 0 ) ( * 27440 )
NEW Metal3 ( 2822960 27440 ) ( 3306800 * )
NEW Metal2 ( 2827440 1690640 ) ( 2830800 * 0 )
NEW Metal1 ( 2822960 1533840 ) ( 2827440 * )
NEW Metal2 ( 2822960 27440 ) ( * 1533840 )
NEW Metal2 ( 2827440 1533840 ) ( * 1690640 )
NEW Metal2 ( 2822960 27440 ) Via2_VH
NEW Metal2 ( 3306800 27440 ) Via2_VH
NEW Metal1 ( 2822960 1533840 ) Via1_HV
NEW Metal1 ( 2827440 1533840 ) Via1_HV ;
- la_data_in[57] ( PIN la_data_in[57] ) ( computer la_data_in[57] ) + USE SIGNAL
+ ROUTED Metal2 ( 3340400 3920 0 ) ( * 110320 )
NEW Metal2 ( 2840880 110320 ) ( * 1562400 )
NEW Metal2 ( 2840880 1562400 ) ( 2844240 * )
NEW Metal2 ( 2844240 1690640 ) ( 2847600 * 0 )
NEW Metal2 ( 2844240 1562400 ) ( * 1690640 )
NEW Metal3 ( 2840880 110320 ) ( 3340400 * )
NEW Metal2 ( 2840880 110320 ) Via2_VH
NEW Metal2 ( 3340400 110320 ) Via2_VH ;
- la_data_in[58] ( PIN la_data_in[58] ) ( computer la_data_in[58] ) + USE SIGNAL
+ ROUTED Metal2 ( 2857680 1651440 ) ( 2861040 * )
NEW Metal2 ( 3374000 3920 0 ) ( * 75600 )
NEW Metal2 ( 2857680 75600 ) ( * 1651440 )
NEW Metal2 ( 2861040 1690640 ) ( 2864400 * 0 )
NEW Metal2 ( 2861040 1651440 ) ( * 1690640 )
NEW Metal3 ( 2857680 75600 ) ( 3374000 * )
NEW Metal2 ( 2857680 75600 ) Via2_VH
NEW Metal2 ( 3374000 75600 ) Via2_VH ;
- la_data_in[59] ( PIN la_data_in[59] ) ( computer la_data_in[59] ) + USE SIGNAL
+ ROUTED Metal1 ( 3394160 78960 ) ( 3400880 * )
NEW Metal2 ( 3400880 3920 ) ( * 78960 )
NEW Metal2 ( 3400880 3920 ) ( 3406480 * )
NEW Metal2 ( 3406480 3920 ) ( * 5040 )
NEW Metal2 ( 3406480 5040 ) ( 3407600 * )
NEW Metal2 ( 3407600 3920 0 ) ( * 5040 )
NEW Metal3 ( 2877840 1555120 ) ( 3394160 * )
NEW Metal2 ( 3394160 78960 ) ( * 1555120 )
NEW Metal2 ( 2877840 1690640 ) ( 2881200 * 0 )
NEW Metal2 ( 2877840 1555120 ) ( * 1690640 )
NEW Metal2 ( 2877840 1555120 ) Via2_VH
NEW Metal1 ( 3394160 78960 ) Via1_HV
NEW Metal1 ( 3400880 78960 ) Via1_HV
NEW Metal2 ( 3394160 1555120 ) Via2_VH ;
- la_data_in[5] ( PIN la_data_in[5] ) ( computer la_data_in[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1957200 75600 ) ( * 1648080 )
NEW Metal2 ( 1595440 3920 0 ) ( * 75600 )
NEW Metal3 ( 1595440 75600 ) ( 1957200 * )
NEW Metal3 ( 1957200 1648080 ) ( 1974000 * )
NEW Metal2 ( 1974000 1648080 ) ( * 1690640 0 )
NEW Metal2 ( 1957200 75600 ) Via2_VH
NEW Metal2 ( 1957200 1648080 ) Via2_VH
NEW Metal2 ( 1595440 75600 ) Via2_VH
NEW Metal2 ( 1974000 1648080 ) Via2_VH ;
- la_data_in[60] ( PIN la_data_in[60] ) ( computer la_data_in[60] ) + USE SIGNAL
+ ROUTED Metal3 ( 2890160 1621200 ) ( 2895760 * )
NEW Metal2 ( 2890160 109200 ) ( * 1621200 )
NEW Metal2 ( 3441200 3920 0 ) ( * 109200 )
NEW Metal2 ( 2895760 1690640 ) ( 2898000 * 0 )
NEW Metal2 ( 2895760 1621200 ) ( * 1690640 )
NEW Metal3 ( 2890160 109200 ) ( 3441200 * )
NEW Metal2 ( 2890160 1621200 ) Via2_VH
NEW Metal2 ( 2895760 1621200 ) Via2_VH
NEW Metal2 ( 2890160 109200 ) Via2_VH
NEW Metal2 ( 3441200 109200 ) Via2_VH ;
- la_data_in[61] ( PIN la_data_in[61] ) ( computer la_data_in[61] ) + USE SIGNAL
+ ROUTED Metal1 ( 3461360 78960 ) ( 3468080 * )
NEW Metal2 ( 3468080 3920 ) ( * 78960 )
NEW Metal2 ( 3468080 3920 ) ( 3473680 * )
NEW Metal2 ( 3473680 3920 ) ( * 5040 )
NEW Metal2 ( 3473680 5040 ) ( 3474800 * )
NEW Metal2 ( 3474800 3920 0 ) ( * 5040 )
NEW Metal2 ( 2909200 143920 ) ( * 1495200 )
NEW Metal2 ( 2909200 1495200 ) ( 2911440 * )
NEW Metal2 ( 3461360 78960 ) ( * 143920 )
NEW Metal2 ( 2911440 1690640 ) ( 2914800 * 0 )
NEW Metal2 ( 2911440 1495200 ) ( * 1690640 )
NEW Metal3 ( 2909200 143920 ) ( 3461360 * )
NEW Metal1 ( 3461360 78960 ) Via1_HV
NEW Metal1 ( 3468080 78960 ) Via1_HV
NEW Metal2 ( 2909200 143920 ) Via2_VH
NEW Metal2 ( 3461360 143920 ) Via2_VH ;
- la_data_in[62] ( PIN la_data_in[62] ) ( computer la_data_in[62] ) + USE SIGNAL
+ ROUTED Metal2 ( 3501680 3920 ) ( 3507280 * )
NEW Metal2 ( 3507280 3920 ) ( * 5040 )
NEW Metal2 ( 3507280 5040 ) ( 3508400 * )
NEW Metal2 ( 3508400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3494960 201600 ) ( * 260400 )
NEW Metal2 ( 3494960 201600 ) ( 3501680 * )
NEW Metal2 ( 3501680 3920 ) ( * 201600 )
NEW Metal2 ( 2924880 1651440 ) ( 2928240 * )
NEW Metal3 ( 2924880 260400 ) ( 3494960 * )
NEW Metal2 ( 2924880 260400 ) ( * 1651440 )
NEW Metal2 ( 2928240 1690640 ) ( 2931600 * 0 )
NEW Metal2 ( 2928240 1651440 ) ( * 1690640 )
NEW Metal2 ( 3494960 260400 ) Via2_VH
NEW Metal2 ( 2924880 260400 ) Via2_VH ;
- la_data_in[63] ( PIN la_data_in[63] ) ( computer la_data_in[63] ) + USE SIGNAL
+ ROUTED Metal2 ( 3542000 3920 0 ) ( * 25200 )
NEW Metal1 ( 2940560 1635760 ) ( 2946160 * )
NEW Metal3 ( 2940560 25200 ) ( 3542000 * )
NEW Metal2 ( 2940560 25200 ) ( * 1635760 )
NEW Metal2 ( 2946160 1690640 ) ( 2948400 * 0 )
NEW Metal2 ( 2946160 1635760 ) ( * 1690640 )
NEW Metal2 ( 3542000 25200 ) Via2_VH
NEW Metal2 ( 2940560 25200 ) Via2_VH
NEW Metal1 ( 2940560 1635760 ) Via1_HV
NEW Metal1 ( 2946160 1635760 ) Via1_HV ;
- la_data_in[64] ( PIN la_data_in[64] ) ( computer la_data_in[64] ) + USE SIGNAL
+ ROUTED Metal2 ( 3568880 3920 ) ( 3574480 * )
NEW Metal2 ( 3574480 3920 ) ( * 5040 )
NEW Metal2 ( 3574480 5040 ) ( 3575600 * )
NEW Metal2 ( 3575600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3564400 201600 ) ( 3568880 * )
NEW Metal2 ( 3568880 3920 ) ( * 201600 )
NEW Metal3 ( 2961840 1574160 ) ( 3564400 * )
NEW Metal2 ( 3564400 201600 ) ( * 1574160 )
NEW Metal2 ( 2961840 1690640 ) ( 2965200 * 0 )
NEW Metal2 ( 2961840 1574160 ) ( * 1690640 )
NEW Metal2 ( 2961840 1574160 ) Via2_VH
NEW Metal2 ( 3564400 1574160 ) Via2_VH ;
- la_data_in[65] ( PIN la_data_in[65] ) ( computer la_data_in[65] ) + USE SIGNAL
+ ROUTED Metal3 ( 3595760 70000 ) ( 3602480 * )
NEW Metal2 ( 3602480 3920 ) ( * 70000 )
NEW Metal2 ( 3602480 3920 ) ( 3608080 * )
NEW Metal2 ( 3608080 3920 ) ( * 5040 )
NEW Metal2 ( 3608080 5040 ) ( 3609200 * )
NEW Metal2 ( 3609200 3920 0 ) ( * 5040 )
NEW Metal2 ( 2982000 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 3150000 1639120 ) ( * 1655920 )
NEW Metal3 ( 2982000 1655920 ) ( 3150000 * )
NEW Metal3 ( 3150000 1639120 ) ( 3595760 * )
NEW Metal2 ( 3595760 70000 ) ( * 1639120 )
NEW Metal2 ( 3595760 70000 ) Via2_VH
NEW Metal2 ( 3602480 70000 ) Via2_VH
NEW Metal2 ( 2982000 1655920 ) Via2_VH
NEW Metal2 ( 3150000 1655920 ) Via2_VH
NEW Metal2 ( 3150000 1639120 ) Via2_VH
NEW Metal2 ( 3595760 1639120 ) Via2_VH ;
- la_data_in[66] ( PIN la_data_in[66] ) ( computer la_data_in[66] ) + USE SIGNAL
+ ROUTED Metal2 ( 2992080 1651440 ) ( 2995440 * )
NEW Metal2 ( 2995440 1651440 ) ( * 1690640 )
NEW Metal2 ( 2995440 1690640 ) ( 2998800 * 0 )
NEW Metal2 ( 3636080 3920 ) ( 3641680 * )
NEW Metal2 ( 3641680 3920 ) ( * 5040 )
NEW Metal2 ( 3641680 5040 ) ( 3642800 * )
NEW Metal2 ( 3642800 3920 0 ) ( * 5040 )
NEW Metal3 ( 2992080 277200 ) ( 3631600 * )
NEW Metal2 ( 2992080 277200 ) ( * 1651440 )
NEW Metal2 ( 3631600 201600 ) ( * 277200 )
NEW Metal2 ( 3631600 201600 ) ( 3636080 * )
NEW Metal2 ( 3636080 3920 ) ( * 201600 )
NEW Metal2 ( 2992080 277200 ) Via2_VH
NEW Metal2 ( 3631600 277200 ) Via2_VH ;
- la_data_in[67] ( PIN la_data_in[67] ) ( computer la_data_in[67] ) + USE SIGNAL
+ ROUTED Metal2 ( 3015600 1638000 ) ( * 1690640 0 )
NEW Metal1 ( 3662960 42000 ) ( 3669680 * )
NEW Metal2 ( 3669680 3920 ) ( * 42000 )
NEW Metal2 ( 3669680 3920 ) ( 3675280 * )
NEW Metal2 ( 3675280 3920 ) ( * 5040 )
NEW Metal2 ( 3675280 5040 ) ( 3676400 * )
NEW Metal2 ( 3676400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3015600 1638000 ) ( 3662960 * )
NEW Metal2 ( 3662960 42000 ) ( * 1638000 )
NEW Metal2 ( 3015600 1638000 ) Via2_VH
NEW Metal1 ( 3662960 42000 ) Via1_HV
NEW Metal1 ( 3669680 42000 ) Via1_HV
NEW Metal2 ( 3662960 1638000 ) Via2_VH ;
- la_data_in[68] ( PIN la_data_in[68] ) ( computer la_data_in[68] ) + USE SIGNAL
+ ROUTED Metal2 ( 3025680 1652560 ) ( 3029040 * )
NEW Metal2 ( 3029040 1652560 ) ( * 1690640 )
NEW Metal2 ( 3029040 1690640 ) ( 3032400 * 0 )
NEW Metal2 ( 3025680 210000 ) ( * 1652560 )
NEW Metal2 ( 3703280 3920 ) ( 3708880 * )
NEW Metal2 ( 3708880 3920 ) ( * 5040 )
NEW Metal2 ( 3708880 5040 ) ( 3710000 * )
NEW Metal2 ( 3710000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3025680 210000 ) ( 3696560 * )
NEW Metal2 ( 3696560 201600 ) ( * 210000 )
NEW Metal2 ( 3696560 201600 ) ( 3703280 * )
NEW Metal2 ( 3703280 3920 ) ( * 201600 )
NEW Metal2 ( 3025680 210000 ) Via2_VH
NEW Metal2 ( 3696560 210000 ) Via2_VH ;
- la_data_in[69] ( PIN la_data_in[69] ) ( computer la_data_in[69] ) + USE SIGNAL
+ ROUTED Metal2 ( 3045840 1690640 ) ( 3049200 * 0 )
NEW Metal1 ( 3730160 42000 ) ( 3736880 * )
NEW Metal2 ( 3736880 3920 ) ( * 42000 )
NEW Metal2 ( 3736880 3920 ) ( 3742480 * )
NEW Metal2 ( 3742480 3920 ) ( * 5040 )
NEW Metal2 ( 3742480 5040 ) ( 3743600 * )
NEW Metal2 ( 3743600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3042480 344400 ) ( * 1495200 )
NEW Metal2 ( 3042480 1495200 ) ( 3045840 * )
NEW Metal2 ( 3045840 1495200 ) ( * 1690640 )
NEW Metal2 ( 3730160 42000 ) ( * 344400 )
NEW Metal3 ( 3042480 344400 ) ( 3730160 * )
NEW Metal1 ( 3730160 42000 ) Via1_HV
NEW Metal1 ( 3736880 42000 ) Via1_HV
NEW Metal2 ( 3042480 344400 ) Via2_VH
NEW Metal2 ( 3730160 344400 ) Via2_VH ;
- la_data_in[6] ( PIN la_data_in[6] ) ( computer la_data_in[6] ) + USE SIGNAL
+ ROUTED Metal1 ( 1614480 52080 ) ( 1620080 * )
NEW Metal2 ( 1620080 3920 ) ( * 52080 )
NEW Metal2 ( 1620080 3920 ) ( 1625680 * )
NEW Metal2 ( 1625680 3920 ) ( * 5040 )
NEW Metal2 ( 1625680 5040 ) ( 1626800 * )
NEW Metal2 ( 1626800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1614480 52080 ) ( * 1555120 )
NEW Metal3 ( 1614480 1555120 ) ( 1987440 * )
NEW Metal2 ( 1987440 1690640 ) ( 1990800 * 0 )
NEW Metal2 ( 1987440 1555120 ) ( * 1690640 )
NEW Metal1 ( 1614480 52080 ) Via1_HV
NEW Metal1 ( 1620080 52080 ) Via1_HV
NEW Metal2 ( 1614480 1555120 ) Via2_VH
NEW Metal2 ( 1987440 1555120 ) Via2_VH ;
- la_data_in[70] ( PIN la_data_in[70] ) ( computer la_data_in[70] ) + USE SIGNAL
+ ROUTED Metal2 ( 3062640 1690640 ) ( 3066000 * 0 )
NEW Metal2 ( 3770480 3920 ) ( 3776080 * )
NEW Metal2 ( 3776080 3920 ) ( * 5040 )
NEW Metal2 ( 3776080 5040 ) ( 3777200 * )
NEW Metal2 ( 3777200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3060400 378000 ) ( * 1495200 )
NEW Metal2 ( 3060400 1495200 ) ( 3062640 * )
NEW Metal2 ( 3062640 1495200 ) ( * 1690640 )
NEW Metal2 ( 3764880 201600 ) ( 3770480 * )
NEW Metal2 ( 3770480 3920 ) ( * 201600 )
NEW Metal2 ( 3764880 201600 ) ( * 378000 )
NEW Metal3 ( 3060400 378000 ) ( 3764880 * )
NEW Metal2 ( 3060400 378000 ) Via2_VH
NEW Metal2 ( 3764880 378000 ) Via2_VH ;
- la_data_in[71] ( PIN la_data_in[71] ) ( computer la_data_in[71] ) + USE SIGNAL
+ ROUTED Metal2 ( 3079440 1690640 ) ( 3082800 * 0 )
NEW Metal2 ( 3804080 3920 ) ( 3809680 * )
NEW Metal2 ( 3809680 3920 ) ( * 5040 )
NEW Metal2 ( 3809680 5040 ) ( 3810800 * )
NEW Metal2 ( 3810800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3076080 1495200 ) ( 3079440 * )
NEW Metal2 ( 3079440 1495200 ) ( * 1690640 )
NEW Metal2 ( 3804080 3920 ) ( * 126000 )
NEW Metal2 ( 3076080 126000 ) ( * 1495200 )
NEW Metal3 ( 3076080 126000 ) ( 3804080 * )
NEW Metal2 ( 3804080 126000 ) Via2_VH
NEW Metal2 ( 3076080 126000 ) Via2_VH ;
- la_data_in[72] ( PIN la_data_in[72] ) ( computer la_data_in[72] ) + USE SIGNAL
+ ROUTED Metal2 ( 3844400 3920 0 ) ( * 92400 )
NEW Metal3 ( 3091760 1621200 ) ( 3097360 * )
NEW Metal2 ( 3091760 92400 ) ( * 1621200 )
NEW Metal3 ( 3091760 92400 ) ( 3844400 * )
NEW Metal2 ( 3097360 1690640 ) ( 3099600 * 0 )
NEW Metal2 ( 3097360 1621200 ) ( * 1690640 )
NEW Metal2 ( 3844400 92400 ) Via2_VH
NEW Metal2 ( 3091760 1621200 ) Via2_VH
NEW Metal2 ( 3097360 1621200 ) Via2_VH
NEW Metal2 ( 3091760 92400 ) Via2_VH ;
- la_data_in[73] ( PIN la_data_in[73] ) ( computer la_data_in[73] ) + USE SIGNAL
+ ROUTED Metal2 ( 3871280 3920 ) ( 3876880 * )
NEW Metal2 ( 3876880 3920 ) ( * 5040 )
NEW Metal2 ( 3876880 5040 ) ( 3878000 * )
NEW Metal2 ( 3878000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3108560 195440 ) ( 3871280 * )
NEW Metal2 ( 3871280 3920 ) ( * 195440 )
NEW Metal3 ( 3108560 1621200 ) ( 3114160 * )
NEW Metal2 ( 3108560 195440 ) ( * 1621200 )
NEW Metal2 ( 3114160 1690640 ) ( 3116400 * 0 )
NEW Metal2 ( 3114160 1621200 ) ( * 1690640 )
NEW Metal2 ( 3108560 195440 ) Via2_VH
NEW Metal2 ( 3871280 195440 ) Via2_VH
NEW Metal2 ( 3108560 1621200 ) Via2_VH
NEW Metal2 ( 3114160 1621200 ) Via2_VH ;
- la_data_in[74] ( PIN la_data_in[74] ) ( computer la_data_in[74] ) + USE SIGNAL
+ ROUTED Metal3 ( 3898160 42000 ) ( 3904880 * )
NEW Metal2 ( 3904880 3920 ) ( * 42000 )
NEW Metal2 ( 3904880 3920 ) ( 3910480 * )
NEW Metal2 ( 3910480 3920 ) ( * 5040 )
NEW Metal2 ( 3910480 5040 ) ( 3911600 * )
NEW Metal2 ( 3911600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3217200 1604400 ) ( * 1657040 )
NEW Metal2 ( 3898160 42000 ) ( * 1604400 )
NEW Metal3 ( 3133200 1657040 ) ( 3217200 * )
NEW Metal3 ( 3217200 1604400 ) ( 3898160 * )
NEW Metal2 ( 3133200 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 3217200 1657040 ) Via2_VH
NEW Metal2 ( 3898160 42000 ) Via2_VH
NEW Metal2 ( 3904880 42000 ) Via2_VH
NEW Metal2 ( 3217200 1604400 ) Via2_VH
NEW Metal2 ( 3898160 1604400 ) Via2_VH
NEW Metal2 ( 3133200 1657040 ) Via2_VH ;
- la_data_in[75] ( PIN la_data_in[75] ) ( computer la_data_in[75] ) + USE SIGNAL
+ ROUTED Metal2 ( 3945200 3920 0 ) ( * 26320 )
NEW Metal3 ( 3142160 26320 ) ( 3945200 * )
NEW Metal2 ( 3145520 1680000 ) ( 3146640 * )
NEW Metal2 ( 3146640 1680000 ) ( * 1690640 )
NEW Metal2 ( 3146640 1690640 ) ( 3150000 * 0 )
NEW Metal3 ( 3142160 1534960 ) ( 3145520 * )
NEW Metal2 ( 3142160 26320 ) ( * 1534960 )
NEW Metal2 ( 3145520 1534960 ) ( * 1680000 )
NEW Metal2 ( 3142160 26320 ) Via2_VH
NEW Metal2 ( 3945200 26320 ) Via2_VH
NEW Metal2 ( 3142160 1534960 ) Via2_VH
NEW Metal2 ( 3145520 1534960 ) Via2_VH ;
- la_data_in[76] ( PIN la_data_in[76] ) ( computer la_data_in[76] ) + USE SIGNAL
+ ROUTED Metal1 ( 3965360 42000 ) ( 3972080 * )
NEW Metal2 ( 3972080 3920 ) ( * 42000 )
NEW Metal2 ( 3972080 3920 ) ( 3977680 * )
NEW Metal2 ( 3977680 3920 ) ( * 5040 )
NEW Metal2 ( 3977680 5040 ) ( 3978800 * )
NEW Metal2 ( 3978800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3965360 42000 ) ( * 1587600 )
NEW Metal3 ( 3166800 1649200 ) ( 3193680 * )
NEW Metal2 ( 3193680 1587600 ) ( * 1649200 )
NEW Metal3 ( 3193680 1587600 ) ( 3965360 * )
NEW Metal2 ( 3166800 1649200 ) ( * 1690640 0 )
NEW Metal1 ( 3965360 42000 ) Via1_HV
NEW Metal1 ( 3972080 42000 ) Via1_HV
NEW Metal2 ( 3965360 1587600 ) Via2_VH
NEW Metal2 ( 3166800 1649200 ) Via2_VH
NEW Metal2 ( 3193680 1649200 ) Via2_VH
NEW Metal2 ( 3193680 1587600 ) Via2_VH ;
- la_data_in[77] ( PIN la_data_in[77] ) ( computer la_data_in[77] ) + USE SIGNAL
+ ROUTED Metal2 ( 4011280 1680 ) ( * 5040 )
NEW Metal2 ( 4011280 5040 ) ( 4012400 * )
NEW Metal2 ( 4012400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3175760 1680 ) ( * 5040 )
NEW Metal3 ( 3175760 1680 ) ( 4011280 * )
NEW Metal3 ( 3175760 1621200 ) ( 3183600 * )
NEW Metal2 ( 3175760 5040 ) ( * 1621200 )
NEW Metal2 ( 3183600 1621200 ) ( * 1690640 0 )
NEW Metal2 ( 4011280 1680 ) Via2_VH
NEW Metal2 ( 3175760 5040 ) Via2_VH
NEW Metal2 ( 3175760 1621200 ) Via2_VH
NEW Metal2 ( 3183600 1621200 ) Via2_VH ;
- la_data_in[78] ( PIN la_data_in[78] ) ( computer la_data_in[78] ) + USE SIGNAL
+ ROUTED Metal1 ( 4032560 52080 ) ( 4039280 * )
NEW Metal2 ( 4039280 3920 ) ( * 52080 )
NEW Metal2 ( 4039280 3920 ) ( 4044880 * )
NEW Metal2 ( 4044880 3920 ) ( * 5040 )
NEW Metal2 ( 4044880 5040 ) ( 4046000 * )
NEW Metal2 ( 4046000 3920 0 ) ( * 5040 )
NEW Metal2 ( 4032560 52080 ) ( * 1607760 )
NEW Metal3 ( 3197040 1607760 ) ( 4032560 * )
NEW Metal2 ( 3197040 1690640 ) ( 3200400 * 0 )
NEW Metal2 ( 3197040 1607760 ) ( * 1690640 )
NEW Metal1 ( 4032560 52080 ) Via1_HV
NEW Metal1 ( 4039280 52080 ) Via1_HV
NEW Metal2 ( 4032560 1607760 ) Via2_VH
NEW Metal2 ( 3197040 1607760 ) Via2_VH ;
- la_data_in[79] ( PIN la_data_in[79] ) ( computer la_data_in[79] ) + USE SIGNAL
+ ROUTED Metal2 ( 4072880 3920 ) ( 4078480 * )
NEW Metal2 ( 4078480 3920 ) ( * 5040 )
NEW Metal2 ( 4078480 5040 ) ( 4079600 * )
NEW Metal2 ( 4079600 3920 0 ) ( * 5040 )
NEW Metal1 ( 4066160 142800 ) ( 4072880 * )
NEW Metal2 ( 4072880 3920 ) ( * 142800 )
NEW Metal2 ( 4066160 142800 ) ( * 1592080 )
NEW Metal2 ( 3213840 1690640 ) ( 3217200 * 0 )
NEW Metal2 ( 3213840 1592080 ) ( * 1690640 )
NEW Metal3 ( 3213840 1592080 ) ( 4066160 * )
NEW Metal1 ( 4066160 142800 ) Via1_HV
NEW Metal1 ( 4072880 142800 ) Via1_HV
NEW Metal2 ( 4066160 1592080 ) Via2_VH
NEW Metal2 ( 3213840 1592080 ) Via2_VH ;
- la_data_in[7] ( PIN la_data_in[7] ) ( computer la_data_in[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 1662640 3920 0 ) ( * 112560 )
NEW Metal3 ( 1792560 1657040 ) ( 2007600 * )
NEW Metal3 ( 1662640 112560 ) ( 1789200 * )
NEW Metal2 ( 1789200 112560 ) ( * 1495200 )
NEW Metal2 ( 1789200 1495200 ) ( 1792560 * )
NEW Metal2 ( 1792560 1495200 ) ( * 1657040 )
NEW Metal2 ( 2007600 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 1662640 112560 ) Via2_VH
NEW Metal2 ( 1792560 1657040 ) Via2_VH
NEW Metal2 ( 2007600 1657040 ) Via2_VH
NEW Metal2 ( 1789200 112560 ) Via2_VH ;
- la_data_in[80] ( PIN la_data_in[80] ) ( computer la_data_in[80] ) + USE SIGNAL
+ ROUTED Metal2 ( 3230640 1690640 ) ( 3234000 * 0 )
NEW Metal1 ( 4099760 43120 ) ( 4106480 * )
NEW Metal2 ( 4106480 3920 ) ( * 43120 )
NEW Metal2 ( 4106480 3920 ) ( 4112080 * )
NEW Metal2 ( 4112080 3920 ) ( * 5040 )
NEW Metal2 ( 4112080 5040 ) ( 4113200 * )
NEW Metal2 ( 4113200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3230640 1606640 ) ( * 1690640 )
NEW Metal2 ( 4099760 43120 ) ( * 1606640 )
NEW Metal3 ( 3230640 1606640 ) ( 4099760 * )
NEW Metal1 ( 4099760 43120 ) Via1_HV
NEW Metal1 ( 4106480 43120 ) Via1_HV
NEW Metal2 ( 3230640 1606640 ) Via2_VH
NEW Metal2 ( 4099760 1606640 ) Via2_VH ;
- la_data_in[81] ( PIN la_data_in[81] ) ( computer la_data_in[81] ) + USE SIGNAL
+ ROUTED Metal2 ( 3247440 1690640 ) ( 3250800 * 0 )
NEW Metal1 ( 4133360 42000 ) ( 4140080 * )
NEW Metal2 ( 4140080 3920 ) ( * 42000 )
NEW Metal2 ( 4140080 3920 ) ( 4145680 * )
NEW Metal2 ( 4145680 3920 ) ( * 5040 )
NEW Metal2 ( 4145680 5040 ) ( 4146800 * )
NEW Metal2 ( 4146800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3247440 1573040 ) ( * 1690640 )
NEW Metal2 ( 4133360 42000 ) ( * 1573040 )
NEW Metal3 ( 3247440 1573040 ) ( 4133360 * )
NEW Metal1 ( 4133360 42000 ) Via1_HV
NEW Metal1 ( 4140080 42000 ) Via1_HV
NEW Metal2 ( 3247440 1573040 ) Via2_VH
NEW Metal2 ( 4133360 1573040 ) Via2_VH ;
- la_data_in[82] ( PIN la_data_in[82] ) ( computer la_data_in[82] ) + USE SIGNAL
+ ROUTED Metal2 ( 3264240 1690640 ) ( 3267600 * 0 )
NEW Metal2 ( 3260880 145040 ) ( * 1495200 )
NEW Metal2 ( 3260880 1495200 ) ( 3264240 * )
NEW Metal2 ( 3264240 1495200 ) ( * 1690640 )
NEW Metal2 ( 4173680 3920 ) ( 4179280 * )
NEW Metal2 ( 4179280 3920 ) ( * 5040 )
NEW Metal2 ( 4179280 5040 ) ( 4180400 * )
NEW Metal2 ( 4180400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3260880 145040 ) ( 4173680 * )
NEW Metal2 ( 4173680 3920 ) ( * 145040 )
NEW Metal2 ( 3260880 145040 ) Via2_VH
NEW Metal2 ( 4173680 145040 ) Via2_VH ;
- la_data_in[83] ( PIN la_data_in[83] ) ( computer la_data_in[83] ) + USE SIGNAL
+ ROUTED Metal2 ( 3277680 1651440 ) ( 3281040 * )
NEW Metal2 ( 3281040 1651440 ) ( * 1690640 )
NEW Metal2 ( 3281040 1690640 ) ( 3284400 * 0 )
NEW Metal2 ( 4207280 3920 ) ( 4212880 * )
NEW Metal2 ( 4212880 3920 ) ( * 5040 )
NEW Metal2 ( 4212880 5040 ) ( 4214000 * )
NEW Metal2 ( 4214000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3277680 245840 ) ( * 1651440 )
NEW Metal2 ( 4201680 201600 ) ( * 245840 )
NEW Metal2 ( 4201680 201600 ) ( 4207280 * )
NEW Metal2 ( 4207280 3920 ) ( * 201600 )
NEW Metal3 ( 3277680 245840 ) ( 4201680 * )
NEW Metal2 ( 3277680 245840 ) Via2_VH
NEW Metal2 ( 4201680 245840 ) Via2_VH ;
- la_data_in[84] ( PIN la_data_in[84] ) ( computer la_data_in[84] ) + USE SIGNAL
+ ROUTED Metal2 ( 3301200 1672720 ) ( * 1690640 0 )
NEW Metal2 ( 4240880 3920 ) ( 4246480 * )
NEW Metal2 ( 4246480 3920 ) ( * 5040 )
NEW Metal2 ( 4246480 5040 ) ( 4247600 * )
NEW Metal2 ( 4247600 3920 0 ) ( * 5040 )
NEW Metal2 ( 4235280 201600 ) ( 4240880 * )
NEW Metal2 ( 4240880 3920 ) ( * 201600 )
NEW Metal2 ( 4235280 201600 ) ( * 1672720 )
NEW Metal3 ( 3301200 1672720 ) ( 4235280 * )
NEW Metal2 ( 3301200 1672720 ) Via2_VH
NEW Metal2 ( 4235280 1672720 ) Via2_VH ;
- la_data_in[85] ( PIN la_data_in[85] ) ( computer la_data_in[85] ) + USE SIGNAL
+ ROUTED Metal2 ( 3312400 1651440 ) ( 3314640 * )
NEW Metal2 ( 3314640 1651440 ) ( * 1690640 )
NEW Metal2 ( 3314640 1690640 ) ( 3318000 * 0 )
NEW Metal1 ( 4267760 42000 ) ( 4274480 * )
NEW Metal2 ( 4274480 3920 ) ( * 42000 )
NEW Metal2 ( 4274480 3920 ) ( 4280080 * )
NEW Metal2 ( 4280080 3920 ) ( * 5040 )
NEW Metal2 ( 4280080 5040 ) ( 4281200 * )
NEW Metal2 ( 4281200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3312400 379120 ) ( * 1651440 )
NEW Metal2 ( 4267760 42000 ) ( * 379120 )
NEW Metal3 ( 3312400 379120 ) ( 4267760 * )
NEW Metal1 ( 4267760 42000 ) Via1_HV
NEW Metal1 ( 4274480 42000 ) Via1_HV
NEW Metal2 ( 3312400 379120 ) Via2_VH
NEW Metal2 ( 4267760 379120 ) Via2_VH ;
- la_data_in[86] ( PIN la_data_in[86] ) ( computer la_data_in[86] ) + USE SIGNAL
+ ROUTED Metal2 ( 3331440 1690640 ) ( 3334800 * 0 )
NEW Metal2 ( 4308080 3920 ) ( 4313680 * )
NEW Metal2 ( 4313680 3920 ) ( * 5040 )
NEW Metal2 ( 4313680 5040 ) ( 4314800 * )
NEW Metal2 ( 4314800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3326960 362320 ) ( * 1495200 )
NEW Metal2 ( 3326960 1495200 ) ( 3331440 * )
NEW Metal2 ( 3331440 1495200 ) ( * 1690640 )
NEW Metal2 ( 4302480 201600 ) ( 4308080 * )
NEW Metal2 ( 4308080 3920 ) ( * 201600 )
NEW Metal2 ( 4302480 201600 ) ( * 362320 )
NEW Metal3 ( 3326960 362320 ) ( 4302480 * )
NEW Metal2 ( 3326960 362320 ) Via2_VH
NEW Metal2 ( 4302480 362320 ) Via2_VH ;
- la_data_in[87] ( PIN la_data_in[87] ) ( computer la_data_in[87] ) + USE SIGNAL
+ ROUTED Metal2 ( 3348240 1690640 ) ( 3351600 * 0 )
NEW Metal2 ( 3348240 1612800 ) ( * 1690640 )
NEW Metal2 ( 3343760 1612800 ) ( 3348240 * )
NEW Metal2 ( 3343760 128240 ) ( * 1612800 )
NEW Metal2 ( 4340560 3920 ) ( 4347280 * )
NEW Metal2 ( 4347280 3920 ) ( * 5040 )
NEW Metal2 ( 4347280 5040 ) ( 4348400 * )
NEW Metal2 ( 4348400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3343760 128240 ) ( 4340560 * )
NEW Metal2 ( 4340560 3920 ) ( * 128240 )
NEW Metal2 ( 3343760 128240 ) Via2_VH
NEW Metal2 ( 4340560 128240 ) Via2_VH ;
- la_data_in[88] ( PIN la_data_in[88] ) ( computer la_data_in[88] ) + USE SIGNAL
+ ROUTED Metal2 ( 3361680 1651440 ) ( 3365040 * )
NEW Metal2 ( 3365040 1651440 ) ( * 1690640 )
NEW Metal2 ( 3365040 1690640 ) ( 3368400 * 0 )
NEW Metal2 ( 3361680 111440 ) ( * 1651440 )
NEW Metal3 ( 3361680 111440 ) ( 4382000 * )
NEW Metal2 ( 4382000 3920 0 ) ( * 111440 )
NEW Metal2 ( 3361680 111440 ) Via2_VH
NEW Metal2 ( 4382000 111440 ) Via2_VH ;
- la_data_in[89] ( PIN la_data_in[89] ) ( computer la_data_in[89] ) + USE SIGNAL
+ ROUTED Metal2 ( 3381840 1690640 ) ( 3385200 * 0 )
NEW Metal2 ( 4416720 3920 0 ) ( * 68880 )
NEW Metal3 ( 4416720 68880 ) ( 4420080 * )
NEW Metal2 ( 3379600 596400 ) ( * 1495200 )
NEW Metal2 ( 3379600 1495200 ) ( 3381840 * )
NEW Metal2 ( 3381840 1495200 ) ( * 1690640 )
NEW Metal3 ( 3379600 596400 ) ( 4420080 * )
NEW Metal2 ( 4420080 68880 ) ( * 596400 )
NEW Metal2 ( 4416720 68880 ) Via2_VH
NEW Metal2 ( 4420080 68880 ) Via2_VH
NEW Metal2 ( 3379600 596400 ) Via2_VH
NEW Metal2 ( 4420080 596400 ) Via2_VH ;
- la_data_in[8] ( PIN la_data_in[8] ) ( computer la_data_in[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1687280 3920 ) ( 1692880 * )
NEW Metal2 ( 1692880 3920 ) ( * 5040 )
NEW Metal2 ( 1692880 5040 ) ( 1694000 * )
NEW Metal2 ( 1694000 3920 0 ) ( * 5040 )
NEW Metal2 ( 1680560 201600 ) ( 1687280 * )
NEW Metal2 ( 1687280 3920 ) ( * 201600 )
NEW Metal2 ( 1680560 201600 ) ( * 1520400 )
NEW Metal3 ( 2016560 1653680 ) ( 2022160 * )
NEW Metal3 ( 1680560 1520400 ) ( 2016560 * )
NEW Metal2 ( 2016560 1520400 ) ( * 1653680 )
NEW Metal2 ( 2022160 1690640 ) ( 2024400 * 0 )
NEW Metal2 ( 2022160 1653680 ) ( * 1690640 )
NEW Metal2 ( 1680560 1520400 ) Via2_VH
NEW Metal2 ( 2016560 1653680 ) Via2_VH
NEW Metal2 ( 2022160 1653680 ) Via2_VH
NEW Metal2 ( 2016560 1520400 ) Via2_VH ;
- la_data_in[90] ( PIN la_data_in[90] ) ( computer la_data_in[90] ) + USE SIGNAL
+ ROUTED Metal2 ( 4441360 3920 ) ( 4448080 * )
NEW Metal2 ( 4448080 3920 ) ( * 5040 )
NEW Metal2 ( 4448080 5040 ) ( 4449200 * )
NEW Metal2 ( 4449200 3920 0 ) ( * 5040 )
NEW Metal2 ( 4435760 201600 ) ( 4441360 * )
NEW Metal2 ( 4441360 3920 ) ( * 201600 )
NEW Metal2 ( 4435760 201600 ) ( * 1557360 )
NEW Metal2 ( 3398640 1690640 ) ( 3402000 * 0 )
NEW Metal2 ( 3398640 1557360 ) ( * 1690640 )
NEW Metal3 ( 3398640 1557360 ) ( 4435760 * )
NEW Metal2 ( 4435760 1557360 ) Via2_VH
NEW Metal2 ( 3398640 1557360 ) Via2_VH ;
- la_data_in[91] ( PIN la_data_in[91] ) ( computer la_data_in[91] ) + USE SIGNAL
+ ROUTED Metal2 ( 4476080 3920 ) ( 4481680 * )
NEW Metal2 ( 4481680 3920 ) ( * 5040 )
NEW Metal2 ( 4481680 5040 ) ( 4482800 * )
NEW Metal2 ( 4482800 3920 0 ) ( * 5040 )
NEW Metal2 ( 4469360 201600 ) ( 4476080 * )
NEW Metal2 ( 4476080 3920 ) ( * 201600 )
NEW Metal2 ( 4469360 201600 ) ( * 1571920 )
NEW Metal2 ( 3415440 1690640 ) ( 3418800 * 0 )
NEW Metal2 ( 3415440 1571920 ) ( * 1690640 )
NEW Metal3 ( 3415440 1571920 ) ( 4469360 * )
NEW Metal2 ( 4469360 1571920 ) Via2_VH
NEW Metal2 ( 3415440 1571920 ) Via2_VH ;
- la_data_in[92] ( PIN la_data_in[92] ) ( computer la_data_in[92] ) + USE SIGNAL
+ ROUTED Metal2 ( 4509680 3920 ) ( 4515280 * )
NEW Metal2 ( 4515280 3920 ) ( * 5040 )
NEW Metal2 ( 4515280 5040 ) ( 4516400 * )
NEW Metal2 ( 4516400 3920 0 ) ( * 5040 )
NEW Metal2 ( 4502960 201600 ) ( 4509680 * )
NEW Metal2 ( 4509680 3920 ) ( * 201600 )
NEW Metal2 ( 4502960 201600 ) ( * 1570800 )
NEW Metal2 ( 3432240 1690640 ) ( 3435600 * 0 )
NEW Metal2 ( 3432240 1570800 ) ( * 1690640 )
NEW Metal3 ( 3432240 1570800 ) ( 4502960 * )
NEW Metal2 ( 4502960 1570800 ) Via2_VH
NEW Metal2 ( 3432240 1570800 ) Via2_VH ;
- la_data_in[93] ( PIN la_data_in[93] ) ( computer la_data_in[93] ) + USE SIGNAL
+ ROUTED Metal2 ( 4543280 3920 ) ( 4548880 * )
NEW Metal2 ( 4548880 3920 ) ( * 5040 )
NEW Metal2 ( 4548880 5040 ) ( 4550000 * )
NEW Metal2 ( 4550000 3920 0 ) ( * 5040 )
NEW Metal2 ( 4536560 201600 ) ( 4543280 * )
NEW Metal2 ( 4543280 3920 ) ( * 201600 )
NEW Metal2 ( 4536560 201600 ) ( * 1589840 )
NEW Metal3 ( 3452400 1652560 ) ( 3469200 * )
NEW Metal2 ( 3452400 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 3469200 1589840 ) ( * 1652560 )
NEW Metal3 ( 3469200 1589840 ) ( 4536560 * )
NEW Metal2 ( 4536560 1589840 ) Via2_VH
NEW Metal2 ( 3469200 1652560 ) Via2_VH
NEW Metal2 ( 3452400 1652560 ) Via2_VH
NEW Metal2 ( 3469200 1589840 ) Via2_VH ;
- la_data_in[94] ( PIN la_data_in[94] ) ( computer la_data_in[94] ) + USE SIGNAL
+ ROUTED Metal2 ( 3465840 1690640 ) ( 3469200 * 0 )
NEW Metal1 ( 4570160 42000 ) ( 4576880 * )
NEW Metal2 ( 4576880 3920 ) ( * 42000 )
NEW Metal2 ( 4576880 3920 ) ( 4582480 * )
NEW Metal2 ( 4582480 3920 ) ( * 5040 )
NEW Metal2 ( 4582480 5040 ) ( 4583600 * )
NEW Metal2 ( 4583600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3465840 1556240 ) ( * 1690640 )
NEW Metal3 ( 3465840 1556240 ) ( 4570160 * )
NEW Metal2 ( 4570160 42000 ) ( * 1556240 )
NEW Metal1 ( 4570160 42000 ) Via1_HV
NEW Metal1 ( 4576880 42000 ) Via1_HV
NEW Metal2 ( 3465840 1556240 ) Via2_VH
NEW Metal2 ( 4570160 1556240 ) Via2_VH ;
- la_data_in[95] ( PIN la_data_in[95] ) ( computer la_data_in[95] ) + USE SIGNAL
+ ROUTED Metal2 ( 3478160 1651440 ) ( 3482640 * )
NEW Metal2 ( 3482640 1651440 ) ( * 1690640 )
NEW Metal2 ( 3482640 1690640 ) ( 3486000 * 0 )
NEW Metal2 ( 4610480 3920 ) ( 4616080 * )
NEW Metal2 ( 4616080 3920 ) ( * 5040 )
NEW Metal2 ( 4616080 5040 ) ( 4617200 * )
NEW Metal2 ( 4617200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3478160 730800 ) ( 4603760 * )
NEW Metal2 ( 3478160 730800 ) ( * 1651440 )
NEW Metal2 ( 4603760 201600 ) ( 4610480 * )
NEW Metal2 ( 4610480 3920 ) ( * 201600 )
NEW Metal2 ( 4603760 201600 ) ( * 730800 )
NEW Metal2 ( 3478160 730800 ) Via2_VH
NEW Metal2 ( 4603760 730800 ) Via2_VH ;
- la_data_in[96] ( PIN la_data_in[96] ) ( computer la_data_in[96] ) + USE SIGNAL
+ ROUTED Metal2 ( 3499440 1690640 ) ( 3502800 * 0 )
NEW Metal2 ( 3499440 1538320 ) ( * 1690640 )
NEW Metal1 ( 4637360 42000 ) ( 4644080 * )
NEW Metal2 ( 4644080 3920 ) ( * 42000 )
NEW Metal2 ( 4644080 3920 ) ( 4649680 * )
NEW Metal2 ( 4649680 3920 ) ( * 5040 )
NEW Metal2 ( 4649680 5040 ) ( 4650800 * )
NEW Metal2 ( 4650800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3499440 1538320 ) ( 4637360 * )
NEW Metal2 ( 4637360 42000 ) ( * 1538320 )
NEW Metal2 ( 3499440 1538320 ) Via2_VH
NEW Metal1 ( 4637360 42000 ) Via1_HV
NEW Metal1 ( 4644080 42000 ) Via1_HV
NEW Metal2 ( 4637360 1538320 ) Via2_VH ;
- la_data_in[97] ( PIN la_data_in[97] ) ( computer la_data_in[97] ) + USE SIGNAL
+ ROUTED Metal2 ( 3511760 1651440 ) ( 3516240 * )
NEW Metal2 ( 3516240 1651440 ) ( * 1690640 )
NEW Metal2 ( 3516240 1690640 ) ( 3519600 * 0 )
NEW Metal2 ( 4677680 3920 ) ( 4683280 * )
NEW Metal2 ( 4683280 3920 ) ( * 5040 )
NEW Metal2 ( 4683280 5040 ) ( 4684400 * )
NEW Metal2 ( 4684400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3511760 764400 ) ( * 1651440 )
NEW Metal2 ( 4670960 201600 ) ( 4677680 * )
NEW Metal2 ( 4677680 3920 ) ( * 201600 )
NEW Metal2 ( 4670960 201600 ) ( * 764400 )
NEW Metal3 ( 3511760 764400 ) ( 4670960 * )
NEW Metal2 ( 3511760 764400 ) Via2_VH
NEW Metal2 ( 4670960 764400 ) Via2_VH ;
- la_data_in[98] ( PIN la_data_in[98] ) ( computer la_data_in[98] ) + USE SIGNAL
+ ROUTED Metal2 ( 3529680 1651440 ) ( 3533040 * )
NEW Metal2 ( 3533040 1651440 ) ( * 1690640 )
NEW Metal2 ( 3533040 1690640 ) ( 3536400 * 0 )
NEW Metal2 ( 4711280 3920 ) ( 4716880 * )
NEW Metal2 ( 4716880 3920 ) ( * 5040 )
NEW Metal2 ( 4716880 5040 ) ( 4718000 * )
NEW Metal2 ( 4718000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3529680 798000 ) ( * 1651440 )
NEW Metal2 ( 4705680 201600 ) ( 4711280 * )
NEW Metal2 ( 4711280 3920 ) ( * 201600 )
NEW Metal2 ( 4705680 201600 ) ( * 798000 )
NEW Metal3 ( 3529680 798000 ) ( 4705680 * )
NEW Metal2 ( 3529680 798000 ) Via2_VH
NEW Metal2 ( 4705680 798000 ) Via2_VH ;
- la_data_in[99] ( PIN la_data_in[99] ) ( computer la_data_in[99] ) + USE SIGNAL
+ ROUTED Metal2 ( 3546480 1651440 ) ( 3549840 * )
NEW Metal2 ( 3549840 1651440 ) ( * 1690640 )
NEW Metal2 ( 3549840 1690640 ) ( 3553200 * 0 )
NEW Metal2 ( 4744880 3920 ) ( 4750480 * )
NEW Metal2 ( 4750480 3920 ) ( * 5040 )
NEW Metal2 ( 4750480 5040 ) ( 4751600 * )
NEW Metal2 ( 4751600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3546480 579600 ) ( * 1651440 )
NEW Metal2 ( 4738160 201600 ) ( 4744880 * )
NEW Metal2 ( 4744880 3920 ) ( * 201600 )
NEW Metal2 ( 4738160 201600 ) ( * 579600 )
NEW Metal3 ( 3546480 579600 ) ( 4738160 * )
NEW Metal2 ( 3546480 579600 ) Via2_VH
NEW Metal2 ( 4738160 579600 ) Via2_VH ;
- la_data_in[9] ( PIN la_data_in[9] ) ( computer la_data_in[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 1720880 3920 ) ( 1726480 * )
NEW Metal2 ( 1726480 3920 ) ( * 5040 )
NEW Metal2 ( 1726480 5040 ) ( 1727600 * )
NEW Metal2 ( 1727600 3920 0 ) ( * 5040 )
NEW Metal1 ( 1714160 147280 ) ( 1720880 * )
NEW Metal2 ( 1720880 3920 ) ( * 147280 )
NEW Metal2 ( 1714160 147280 ) ( * 1522640 )
NEW Metal2 ( 2037840 1690640 ) ( 2041200 * 0 )
NEW Metal3 ( 1714160 1522640 ) ( 2034480 * )
NEW Metal3 ( 2034480 1533840 ) ( 2037840 * )
NEW Metal2 ( 2034480 1522640 ) ( * 1533840 )
NEW Metal2 ( 2037840 1533840 ) ( * 1690640 )
NEW Metal1 ( 1714160 147280 ) Via1_HV
NEW Metal1 ( 1720880 147280 ) Via1_HV
NEW Metal2 ( 1714160 1522640 ) Via2_VH
NEW Metal2 ( 2034480 1522640 ) Via2_VH
NEW Metal2 ( 2034480 1533840 ) Via2_VH
NEW Metal2 ( 2037840 1533840 ) Via2_VH ;
- la_data_out[0] ( PIN la_data_out[0] ) ( computer la_data_out[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 1428560 3920 ) ( 1435280 * )
NEW Metal2 ( 1435280 3920 ) ( * 5040 )
NEW Metal2 ( 1435280 5040 ) ( 1436400 * )
NEW Metal2 ( 1436400 3920 0 ) ( * 5040 )
NEW Metal3 ( 1883280 1621200 ) ( 1892240 * )
NEW Metal2 ( 1892240 1621200 ) ( * 1690640 )
NEW Metal2 ( 1892240 1690640 ) ( 1895600 * 0 )
NEW Metal2 ( 1428560 3920 ) ( * 176400 )
NEW Metal2 ( 1883280 176400 ) ( * 1621200 )
NEW Metal3 ( 1428560 176400 ) ( 1883280 * )
NEW Metal2 ( 1883280 1621200 ) Via2_VH
NEW Metal2 ( 1892240 1621200 ) Via2_VH
NEW Metal2 ( 1428560 176400 ) Via2_VH
NEW Metal2 ( 1883280 176400 ) Via2_VH ;
- la_data_out[100] ( PIN la_data_out[100] ) ( computer la_data_out[100] ) + USE SIGNAL
+ ROUTED Metal2 ( 4788560 3920 ) ( 4795280 * )
NEW Metal2 ( 4795280 3920 ) ( * 5040 )
NEW Metal2 ( 4795280 5040 ) ( 4796400 * )
NEW Metal2 ( 4796400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3565520 831600 ) ( 4788560 * )
NEW Metal2 ( 4788560 3920 ) ( * 831600 )
NEW Metal2 ( 3565520 831600 ) ( * 1562400 )
NEW Metal2 ( 3565520 1562400 ) ( 3568880 * )
NEW Metal2 ( 3568880 1562400 ) ( * 1680000 )
NEW Metal2 ( 3568880 1680000 ) ( 3572240 * )
NEW Metal2 ( 3572240 1680000 ) ( * 1690640 )
NEW Metal2 ( 3572240 1690640 ) ( 3575600 * 0 )
NEW Metal2 ( 3565520 831600 ) Via2_VH
NEW Metal2 ( 4788560 831600 ) Via2_VH ;
- la_data_out[101] ( PIN la_data_out[101] ) ( computer la_data_out[101] ) + USE SIGNAL
+ ROUTED Metal2 ( 4822160 3920 ) ( 4828880 * )
NEW Metal2 ( 4828880 3920 ) ( * 5040 )
NEW Metal2 ( 4828880 5040 ) ( 4830000 * )
NEW Metal2 ( 4830000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3589040 1523760 ) ( 4822160 * )
NEW Metal2 ( 4822160 3920 ) ( * 1523760 )
NEW Metal2 ( 3589040 1690640 ) ( 3592400 * 0 )
NEW Metal2 ( 3589040 1523760 ) ( * 1690640 )
NEW Metal2 ( 3589040 1523760 ) Via2_VH
NEW Metal2 ( 4822160 1523760 ) Via2_VH ;
- la_data_out[102] ( PIN la_data_out[102] ) ( computer la_data_out[102] ) + USE SIGNAL
+ ROUTED Metal2 ( 4855760 3920 ) ( 4862480 * )
NEW Metal2 ( 4862480 3920 ) ( * 5040 )
NEW Metal2 ( 4862480 5040 ) ( 4863600 * )
NEW Metal2 ( 4863600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3598000 1522640 ) ( 4855760 * )
NEW Metal2 ( 4855760 3920 ) ( * 1522640 )
NEW Metal1 ( 3598000 1621200 ) ( 3605840 * )
NEW Metal2 ( 3598000 1522640 ) ( * 1621200 )
NEW Metal2 ( 3605840 1690640 ) ( 3609200 * 0 )
NEW Metal2 ( 3605840 1621200 ) ( * 1690640 )
NEW Metal2 ( 3598000 1522640 ) Via2_VH
NEW Metal2 ( 4855760 1522640 ) Via2_VH
NEW Metal1 ( 3598000 1621200 ) Via1_HV
NEW Metal1 ( 3605840 1621200 ) Via1_HV ;
- la_data_out[103] ( PIN la_data_out[103] ) ( computer la_data_out[103] ) + USE SIGNAL
+ ROUTED Metal2 ( 4889360 3920 ) ( 4896080 * )
NEW Metal2 ( 4896080 3920 ) ( * 5040 )
NEW Metal2 ( 4896080 5040 ) ( 4897200 * )
NEW Metal2 ( 4897200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3613680 814800 ) ( 4889360 * )
NEW Metal2 ( 4889360 3920 ) ( * 814800 )
NEW Metal1 ( 3613680 1621200 ) ( 3622640 * )
NEW Metal2 ( 3613680 814800 ) ( * 1621200 )
NEW Metal2 ( 3622640 1690640 ) ( 3626000 * 0 )
NEW Metal2 ( 3622640 1621200 ) ( * 1690640 )
NEW Metal2 ( 3613680 814800 ) Via2_VH
NEW Metal2 ( 4889360 814800 ) Via2_VH
NEW Metal1 ( 3613680 1621200 ) Via1_HV
NEW Metal1 ( 3622640 1621200 ) Via1_HV ;
- la_data_out[104] ( PIN la_data_out[104] ) ( computer la_data_out[104] ) + USE SIGNAL
+ ROUTED Metal2 ( 4922960 3920 ) ( 4929680 * )
NEW Metal2 ( 4929680 3920 ) ( * 5040 )
NEW Metal2 ( 4929680 5040 ) ( 4930800 * )
NEW Metal2 ( 4930800 3920 0 ) ( * 5040 )
NEW Metal2 ( 4922960 3920 ) ( * 226800 )
NEW Metal3 ( 3629360 226800 ) ( 4922960 * )
NEW Metal1 ( 3629360 1621200 ) ( 3639440 * )
NEW Metal2 ( 3629360 226800 ) ( * 1621200 )
NEW Metal2 ( 3639440 1690640 ) ( 3642800 * 0 )
NEW Metal2 ( 3639440 1621200 ) ( * 1690640 )
NEW Metal2 ( 4922960 226800 ) Via2_VH
NEW Metal2 ( 3629360 226800 ) Via2_VH
NEW Metal1 ( 3629360 1621200 ) Via1_HV
NEW Metal1 ( 3639440 1621200 ) Via1_HV ;
- la_data_out[105] ( PIN la_data_out[105] ) ( computer la_data_out[105] ) + USE SIGNAL
+ ROUTED Metal2 ( 4956560 3920 ) ( 4963280 * )
NEW Metal2 ( 4963280 3920 ) ( * 5040 )
NEW Metal2 ( 4963280 5040 ) ( 4964400 * )
NEW Metal2 ( 4964400 3920 0 ) ( * 5040 )
NEW Metal2 ( 4956560 3920 ) ( * 277200 )
NEW Metal3 ( 3646160 277200 ) ( 4956560 * )
NEW Metal1 ( 3646160 1621200 ) ( 3656240 * )
NEW Metal2 ( 3646160 277200 ) ( * 1621200 )
NEW Metal2 ( 3656240 1690640 ) ( 3659600 * 0 )
NEW Metal2 ( 3656240 1621200 ) ( * 1690640 )
NEW Metal2 ( 4956560 277200 ) Via2_VH
NEW Metal2 ( 3646160 277200 ) Via2_VH
NEW Metal1 ( 3646160 1621200 ) Via1_HV
NEW Metal1 ( 3656240 1621200 ) Via1_HV ;
- la_data_out[106] ( PIN la_data_out[106] ) ( computer la_data_out[106] ) + USE SIGNAL
+ ROUTED Metal2 ( 4990160 3920 ) ( 4996880 * )
NEW Metal2 ( 4996880 3920 ) ( * 5040 )
NEW Metal2 ( 4996880 5040 ) ( 4998000 * )
NEW Metal2 ( 4998000 3920 0 ) ( * 5040 )
NEW Metal2 ( 4990160 3920 ) ( * 1521520 )
NEW Metal3 ( 3673040 1521520 ) ( 4990160 * )
NEW Metal2 ( 3673040 1690640 ) ( 3676400 * 0 )
NEW Metal2 ( 3673040 1521520 ) ( * 1690640 )
NEW Metal2 ( 4990160 1521520 ) Via2_VH
NEW Metal2 ( 3673040 1521520 ) Via2_VH ;
- la_data_out[107] ( PIN la_data_out[107] ) ( computer la_data_out[107] ) + USE SIGNAL
+ ROUTED Metal2 ( 5023760 3920 ) ( 5030480 * )
NEW Metal2 ( 5030480 3920 ) ( * 5040 )
NEW Metal2 ( 5030480 5040 ) ( 5031600 * )
NEW Metal2 ( 5031600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3689840 1520400 ) ( 5023760 * )
NEW Metal2 ( 5023760 3920 ) ( * 1520400 )
NEW Metal2 ( 3689840 1690640 ) ( 3693200 * 0 )
NEW Metal2 ( 3689840 1520400 ) ( * 1690640 )
NEW Metal2 ( 3689840 1520400 ) Via2_VH
NEW Metal2 ( 5023760 1520400 ) Via2_VH ;
- la_data_out[108] ( PIN la_data_out[108] ) ( computer la_data_out[108] ) + USE SIGNAL
+ ROUTED Metal1 ( 3696560 1634640 ) ( 3706640 * )
NEW Metal2 ( 5057360 3920 ) ( 5064080 * )
NEW Metal2 ( 5064080 3920 ) ( * 5040 )
NEW Metal2 ( 5064080 5040 ) ( 5065200 * )
NEW Metal2 ( 5065200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3696560 260400 ) ( 5057360 * )
NEW Metal2 ( 3696560 260400 ) ( * 1634640 )
NEW Metal2 ( 5057360 3920 ) ( * 260400 )
NEW Metal2 ( 3706640 1690640 ) ( 3710000 * 0 )
NEW Metal2 ( 3706640 1634640 ) ( * 1690640 )
NEW Metal2 ( 3696560 260400 ) Via2_VH
NEW Metal1 ( 3696560 1634640 ) Via1_HV
NEW Metal1 ( 3706640 1634640 ) Via1_HV
NEW Metal2 ( 5057360 260400 ) Via2_VH ;
- la_data_out[109] ( PIN la_data_out[109] ) ( computer la_data_out[109] ) + USE SIGNAL
+ ROUTED Metal2 ( 5090960 3920 ) ( 5097680 * )
NEW Metal2 ( 5097680 3920 ) ( * 5040 )
NEW Metal2 ( 5097680 5040 ) ( 5098800 * )
NEW Metal2 ( 5098800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3714480 546000 ) ( 5090960 * )
NEW Metal2 ( 3714480 546000 ) ( * 1495200 )
NEW Metal2 ( 3714480 1495200 ) ( 3723440 * )
NEW Metal2 ( 5090960 3920 ) ( * 546000 )
NEW Metal2 ( 3723440 1690640 ) ( 3726800 * 0 )
NEW Metal2 ( 3723440 1495200 ) ( * 1690640 )
NEW Metal2 ( 3714480 546000 ) Via2_VH
NEW Metal2 ( 5090960 546000 ) Via2_VH ;
- la_data_out[10] ( PIN la_data_out[10] ) ( computer la_data_out[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 1764560 3920 ) ( 1771280 * )
NEW Metal2 ( 1771280 3920 ) ( * 5040 )
NEW Metal2 ( 1771280 5040 ) ( 1772400 * )
NEW Metal2 ( 1772400 3920 0 ) ( * 5040 )
NEW Metal3 ( 1764560 310800 ) ( 2052400 * )
NEW Metal2 ( 2060240 1690640 ) ( 2063600 * 0 )
NEW Metal2 ( 1764560 3920 ) ( * 310800 )
NEW Metal2 ( 2052400 310800 ) ( * 1562400 )
NEW Metal2 ( 2052400 1562400 ) ( 2060240 * )
NEW Metal2 ( 2060240 1562400 ) ( * 1690640 )
NEW Metal2 ( 1764560 310800 ) Via2_VH
NEW Metal2 ( 2052400 310800 ) Via2_VH ;
- la_data_out[110] ( PIN la_data_out[110] ) ( computer la_data_out[110] ) + USE SIGNAL
+ ROUTED Metal1 ( 3730160 1627920 ) ( 3740240 * )
NEW Metal2 ( 3730160 512400 ) ( * 1627920 )
NEW Metal2 ( 5124560 3920 ) ( 5131280 * )
NEW Metal2 ( 5131280 3920 ) ( * 5040 )
NEW Metal2 ( 5131280 5040 ) ( 5132400 * )
NEW Metal2 ( 5132400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3730160 512400 ) ( 5124560 * )
NEW Metal2 ( 5124560 3920 ) ( * 512400 )
NEW Metal2 ( 3740240 1690640 ) ( 3743600 * 0 )
NEW Metal2 ( 3740240 1627920 ) ( * 1690640 )
NEW Metal2 ( 3730160 512400 ) Via2_VH
NEW Metal1 ( 3730160 1627920 ) Via1_HV
NEW Metal1 ( 3740240 1627920 ) Via1_HV
NEW Metal2 ( 5124560 512400 ) Via2_VH ;
- la_data_out[111] ( PIN la_data_out[111] ) ( computer la_data_out[111] ) + USE SIGNAL
+ ROUTED Metal2 ( 5158160 3920 ) ( 5164880 * )
NEW Metal2 ( 5164880 3920 ) ( * 5040 )
NEW Metal2 ( 5164880 5040 ) ( 5166000 * )
NEW Metal2 ( 5166000 3920 0 ) ( * 5040 )
NEW Metal2 ( 5158160 3920 ) ( * 1504720 )
NEW Metal3 ( 3757040 1504720 ) ( 5158160 * )
NEW Metal2 ( 3757040 1690640 ) ( 3760400 * 0 )
NEW Metal2 ( 3757040 1504720 ) ( * 1690640 )
NEW Metal2 ( 3757040 1504720 ) Via2_VH
NEW Metal2 ( 5158160 1504720 ) Via2_VH ;
- la_data_out[112] ( PIN la_data_out[112] ) ( computer la_data_out[112] ) + USE SIGNAL
+ ROUTED Metal3 ( 3777200 1651440 ) ( 3788400 * )
NEW Metal2 ( 5191760 3920 ) ( 5198480 * )
NEW Metal2 ( 5198480 3920 ) ( * 5040 )
NEW Metal2 ( 5198480 5040 ) ( 5199600 * )
NEW Metal2 ( 5199600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3788400 1505840 ) ( * 1651440 )
NEW Metal2 ( 5191760 3920 ) ( * 1505840 )
NEW Metal3 ( 3788400 1505840 ) ( 5191760 * )
NEW Metal2 ( 3777200 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3788400 1651440 ) Via2_VH
NEW Metal2 ( 3777200 1651440 ) Via2_VH
NEW Metal2 ( 3788400 1505840 ) Via2_VH
NEW Metal2 ( 5191760 1505840 ) Via2_VH ;
- la_data_out[113] ( PIN la_data_out[113] ) ( computer la_data_out[113] ) + USE SIGNAL
+ ROUTED Metal3 ( 3781680 1624560 ) ( 3790640 * )
NEW Metal2 ( 5225360 3920 ) ( 5232080 * )
NEW Metal2 ( 5232080 3920 ) ( * 5040 )
NEW Metal2 ( 5232080 5040 ) ( 5233200 * )
NEW Metal2 ( 5233200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3781680 378000 ) ( * 1624560 )
NEW Metal2 ( 5225360 3920 ) ( * 378000 )
NEW Metal3 ( 3781680 378000 ) ( 5225360 * )
NEW Metal2 ( 3790640 1690640 ) ( 3794000 * 0 )
NEW Metal2 ( 3790640 1624560 ) ( * 1690640 )
NEW Metal2 ( 3781680 1624560 ) Via2_VH
NEW Metal2 ( 3790640 1624560 ) Via2_VH
NEW Metal2 ( 3781680 378000 ) Via2_VH
NEW Metal2 ( 5225360 378000 ) Via2_VH ;
- la_data_out[114] ( PIN la_data_out[114] ) ( computer la_data_out[114] ) + USE SIGNAL
+ ROUTED Metal3 ( 3810800 1652560 ) ( 3872400 * )
NEW Metal2 ( 5258960 3920 ) ( 5265680 * )
NEW Metal2 ( 5265680 3920 ) ( * 5040 )
NEW Metal2 ( 5265680 5040 ) ( 5266800 * )
NEW Metal2 ( 5266800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3872400 1554000 ) ( * 1652560 )
NEW Metal3 ( 3872400 1554000 ) ( 5258960 * )
NEW Metal2 ( 5258960 3920 ) ( * 1554000 )
NEW Metal2 ( 3810800 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 3810800 1652560 ) Via2_VH
NEW Metal2 ( 3872400 1652560 ) Via2_VH
NEW Metal2 ( 3872400 1554000 ) Via2_VH
NEW Metal2 ( 5258960 1554000 ) Via2_VH ;
- la_data_out[115] ( PIN la_data_out[115] ) ( computer la_data_out[115] ) + USE SIGNAL
+ ROUTED Metal2 ( 5292560 3920 ) ( 5299280 * )
NEW Metal2 ( 5299280 3920 ) ( * 5040 )
NEW Metal2 ( 5299280 5040 ) ( 5300400 * )
NEW Metal2 ( 5300400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3824240 1537200 ) ( 5292560 * )
NEW Metal2 ( 5292560 3920 ) ( * 1537200 )
NEW Metal2 ( 3824240 1690640 ) ( 3827600 * 0 )
NEW Metal2 ( 3824240 1537200 ) ( * 1690640 )
NEW Metal2 ( 3824240 1537200 ) Via2_VH
NEW Metal2 ( 5292560 1537200 ) Via2_VH ;
- la_data_out[116] ( PIN la_data_out[116] ) ( computer la_data_out[116] ) + USE SIGNAL
+ ROUTED Metal1 ( 3832080 1630160 ) ( 3841040 * )
NEW Metal2 ( 3832080 310800 ) ( * 1630160 )
NEW Metal2 ( 5326160 3920 ) ( 5332880 * )
NEW Metal2 ( 5332880 3920 ) ( * 5040 )
NEW Metal2 ( 5332880 5040 ) ( 5334000 * )
NEW Metal2 ( 5334000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3832080 310800 ) ( 5326160 * )
NEW Metal2 ( 5326160 3920 ) ( * 310800 )
NEW Metal2 ( 3841040 1690640 ) ( 3844400 * 0 )
NEW Metal2 ( 3841040 1630160 ) ( * 1690640 )
NEW Metal2 ( 3832080 310800 ) Via2_VH
NEW Metal1 ( 3832080 1630160 ) Via1_HV
NEW Metal1 ( 3841040 1630160 ) Via1_HV
NEW Metal2 ( 5326160 310800 ) Via2_VH ;
- la_data_out[117] ( PIN la_data_out[117] ) ( computer la_data_out[117] ) + USE SIGNAL
+ ROUTED Metal2 ( 5359760 3920 ) ( 5366480 * )
NEW Metal2 ( 5366480 3920 ) ( * 5040 )
NEW Metal2 ( 5366480 5040 ) ( 5367600 * )
NEW Metal2 ( 5367600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3850000 1487920 ) ( 5359760 * )
NEW Metal2 ( 3850000 1487920 ) ( * 1495200 )
NEW Metal2 ( 3850000 1495200 ) ( 3857840 * )
NEW Metal2 ( 5359760 3920 ) ( * 1487920 )
NEW Metal2 ( 3857840 1690640 ) ( 3861200 * 0 )
NEW Metal2 ( 3857840 1495200 ) ( * 1690640 )
NEW Metal2 ( 3850000 1487920 ) Via2_VH
NEW Metal2 ( 5359760 1487920 ) Via2_VH ;
- la_data_out[118] ( PIN la_data_out[118] ) ( computer la_data_out[118] ) + USE SIGNAL
+ ROUTED Metal2 ( 5401200 3920 0 ) ( * 75600 )
NEW Metal1 ( 3865680 1644720 ) ( 3874640 * )
NEW Metal3 ( 3865680 75600 ) ( 5401200 * )
NEW Metal2 ( 3865680 75600 ) ( * 1644720 )
NEW Metal2 ( 3874640 1690640 ) ( 3878000 * 0 )
NEW Metal2 ( 3874640 1644720 ) ( * 1690640 )
NEW Metal2 ( 5401200 75600 ) Via2_VH
NEW Metal2 ( 3865680 75600 ) Via2_VH
NEW Metal1 ( 3865680 1644720 ) Via1_HV
NEW Metal1 ( 3874640 1644720 ) Via1_HV ;
- la_data_out[119] ( PIN la_data_out[119] ) ( computer la_data_out[119] ) + USE SIGNAL
+ ROUTED Metal2 ( 5426960 3920 ) ( 5433680 * )
NEW Metal2 ( 5433680 3920 ) ( * 5040 )
NEW Metal2 ( 5433680 5040 ) ( 5434800 * )
NEW Metal2 ( 5434800 3920 0 ) ( * 5040 )
NEW Metal2 ( 5426960 3920 ) ( * 1503600 )
NEW Metal3 ( 3894800 1650320 ) ( 3922800 * )
NEW Metal2 ( 3922800 1503600 ) ( * 1650320 )
NEW Metal3 ( 3922800 1503600 ) ( 5426960 * )
NEW Metal2 ( 3894800 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 5426960 1503600 ) Via2_VH
NEW Metal2 ( 3922800 1650320 ) Via2_VH
NEW Metal2 ( 3894800 1650320 ) Via2_VH
NEW Metal2 ( 3922800 1503600 ) Via2_VH ;
- la_data_out[11] ( PIN la_data_out[11] ) ( computer la_data_out[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 1923600 261520 ) ( * 1658160 )
NEW Metal2 ( 1799280 3920 ) ( 1804880 * )
NEW Metal2 ( 1804880 3920 ) ( * 5040 )
NEW Metal2 ( 1804880 5040 ) ( 1806000 * )
NEW Metal2 ( 1806000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1799280 261520 ) ( 1923600 * )
NEW Metal2 ( 1799280 3920 ) ( * 261520 )
NEW Metal3 ( 1923600 1658160 ) ( 2080400 * )
NEW Metal2 ( 2080400 1658160 ) ( * 1690640 0 )
NEW Metal2 ( 1923600 261520 ) Via2_VH
NEW Metal2 ( 1923600 1658160 ) Via2_VH
NEW Metal2 ( 1799280 261520 ) Via2_VH
NEW Metal2 ( 2080400 1658160 ) Via2_VH ;
- la_data_out[120] ( PIN la_data_out[120] ) ( computer la_data_out[120] ) + USE SIGNAL
+ ROUTED Metal2 ( 5460560 3920 ) ( 5467280 * )
NEW Metal2 ( 5467280 3920 ) ( * 5040 )
NEW Metal2 ( 5467280 5040 ) ( 5468400 * )
NEW Metal2 ( 5468400 3920 0 ) ( * 5040 )
NEW Metal2 ( 5460560 3920 ) ( * 142800 )
NEW Metal1 ( 3899280 1614480 ) ( 3908240 * )
NEW Metal2 ( 3899280 142800 ) ( * 1614480 )
NEW Metal3 ( 3899280 142800 ) ( 5460560 * )
NEW Metal2 ( 3908240 1690640 ) ( 3911600 * 0 )
NEW Metal2 ( 3908240 1614480 ) ( * 1690640 )
NEW Metal2 ( 5460560 142800 ) Via2_VH
NEW Metal1 ( 3899280 1614480 ) Via1_HV
NEW Metal1 ( 3908240 1614480 ) Via1_HV
NEW Metal2 ( 3899280 142800 ) Via2_VH ;
- la_data_out[121] ( PIN la_data_out[121] ) ( computer la_data_out[121] ) + USE SIGNAL
+ ROUTED Metal2 ( 3917200 1652560 ) ( 3925040 * )
NEW Metal2 ( 5494160 3920 ) ( 5500880 * )
NEW Metal2 ( 5500880 3920 ) ( * 5040 )
NEW Metal2 ( 5500880 5040 ) ( 5502000 * )
NEW Metal2 ( 5502000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3917200 210000 ) ( 5494160 * )
NEW Metal2 ( 3917200 210000 ) ( * 1652560 )
NEW Metal2 ( 5494160 3920 ) ( * 210000 )
NEW Metal2 ( 3925040 1690640 ) ( 3928400 * 0 )
NEW Metal2 ( 3925040 1652560 ) ( * 1690640 )
NEW Metal2 ( 3917200 210000 ) Via2_VH
NEW Metal2 ( 5494160 210000 ) Via2_VH ;
- la_data_out[122] ( PIN la_data_out[122] ) ( computer la_data_out[122] ) + USE SIGNAL
+ ROUTED Metal3 ( 3931760 1625680 ) ( 3941840 * )
NEW Metal2 ( 5514320 44240 ) ( * 58800 )
NEW Metal3 ( 5514320 44240 ) ( 5535600 * )
NEW Metal2 ( 5535600 3920 0 ) ( * 44240 )
NEW Metal3 ( 3931760 58800 ) ( 5514320 * )
NEW Metal2 ( 3931760 58800 ) ( * 1625680 )
NEW Metal2 ( 3941840 1690640 ) ( 3945200 * 0 )
NEW Metal2 ( 3941840 1625680 ) ( * 1690640 )
NEW Metal2 ( 3931760 58800 ) Via2_VH
NEW Metal2 ( 3931760 1625680 ) Via2_VH
NEW Metal2 ( 3941840 1625680 ) Via2_VH
NEW Metal2 ( 5514320 58800 ) Via2_VH
NEW Metal2 ( 5514320 44240 ) Via2_VH
NEW Metal2 ( 5535600 44240 ) Via2_VH ;
- la_data_out[123] ( PIN la_data_out[123] ) ( computer la_data_out[123] ) + USE SIGNAL
+ ROUTED Metal1 ( 3949680 1627920 ) ( 3958640 * )
NEW Metal2 ( 5561360 3920 ) ( 5568080 * )
NEW Metal2 ( 5568080 3920 ) ( * 5040 )
NEW Metal2 ( 5568080 5040 ) ( 5569200 * )
NEW Metal2 ( 5569200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3949680 344400 ) ( * 1627920 )
NEW Metal3 ( 3949680 344400 ) ( 5561360 * )
NEW Metal2 ( 5561360 3920 ) ( * 344400 )
NEW Metal2 ( 3958640 1690640 ) ( 3962000 * 0 )
NEW Metal2 ( 3958640 1627920 ) ( * 1690640 )
NEW Metal1 ( 3949680 1627920 ) Via1_HV
NEW Metal1 ( 3958640 1627920 ) Via1_HV
NEW Metal2 ( 3949680 344400 ) Via2_VH
NEW Metal2 ( 5561360 344400 ) Via2_VH ;
- la_data_out[124] ( PIN la_data_out[124] ) ( computer la_data_out[124] ) + USE SIGNAL
+ ROUTED Metal3 ( 3967600 1651440 ) ( 3975440 * )
NEW Metal2 ( 3967600 327600 ) ( * 1651440 )
NEW Metal2 ( 5594960 3920 ) ( 5601680 * )
NEW Metal2 ( 5601680 3920 ) ( * 5040 )
NEW Metal2 ( 5601680 5040 ) ( 5602800 * )
NEW Metal2 ( 5602800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3967600 327600 ) ( 5594960 * )
NEW Metal2 ( 5594960 3920 ) ( * 327600 )
NEW Metal2 ( 3975440 1690640 ) ( 3978800 * 0 )
NEW Metal2 ( 3975440 1651440 ) ( * 1690640 )
NEW Metal2 ( 3967600 1651440 ) Via2_VH
NEW Metal2 ( 3975440 1651440 ) Via2_VH
NEW Metal2 ( 3967600 327600 ) Via2_VH
NEW Metal2 ( 5594960 327600 ) Via2_VH ;
- la_data_out[125] ( PIN la_data_out[125] ) ( computer la_data_out[125] ) + USE SIGNAL
+ ROUTED Metal2 ( 5628560 3920 ) ( 5635280 * )
NEW Metal2 ( 5635280 3920 ) ( * 5040 )
NEW Metal2 ( 5635280 5040 ) ( 5636400 * )
NEW Metal2 ( 5636400 3920 0 ) ( * 5040 )
NEW Metal2 ( 5628560 3920 ) ( * 1604400 )
NEW Metal3 ( 3992240 1604400 ) ( 5628560 * )
NEW Metal2 ( 3992240 1690640 ) ( 3995600 * 0 )
NEW Metal2 ( 3992240 1604400 ) ( * 1690640 )
NEW Metal2 ( 3992240 1604400 ) Via2_VH
NEW Metal2 ( 5628560 1604400 ) Via2_VH ;
- la_data_out[126] ( PIN la_data_out[126] ) ( computer la_data_out[126] ) + USE SIGNAL
+ ROUTED Metal2 ( 5662160 3920 ) ( 5668880 * )
NEW Metal2 ( 5668880 3920 ) ( * 5040 )
NEW Metal2 ( 5668880 5040 ) ( 5670000 * )
NEW Metal2 ( 5670000 3920 0 ) ( * 5040 )
NEW Metal2 ( 4001200 1486800 ) ( * 1495200 )
NEW Metal2 ( 4001200 1495200 ) ( 4009040 * )
NEW Metal2 ( 5662160 3920 ) ( * 1486800 )
NEW Metal3 ( 4001200 1486800 ) ( 5662160 * )
NEW Metal2 ( 4009040 1690640 ) ( 4012400 * 0 )
NEW Metal2 ( 4009040 1495200 ) ( * 1690640 )
NEW Metal2 ( 4001200 1486800 ) Via2_VH
NEW Metal2 ( 5662160 1486800 ) Via2_VH ;
- la_data_out[127] ( PIN la_data_out[127] ) ( computer la_data_out[127] ) + USE SIGNAL
+ ROUTED Metal2 ( 4032560 1638000 ) ( * 1651440 )
NEW Metal2 ( 4031440 1651440 ) ( 4032560 * )
NEW Metal2 ( 5695760 3920 ) ( 5702480 * )
NEW Metal2 ( 5702480 3920 ) ( * 5040 )
NEW Metal2 ( 5702480 5040 ) ( 5703600 * )
NEW Metal2 ( 5703600 3920 0 ) ( * 5040 )
NEW Metal2 ( 5695760 3920 ) ( * 1638000 )
NEW Metal3 ( 4032560 1638000 ) ( 5695760 * )
NEW Metal2 ( 4029200 1690640 0 ) ( 4031440 * )
NEW Metal2 ( 4031440 1651440 ) ( * 1690640 )
NEW Metal2 ( 4032560 1638000 ) Via2_VH
NEW Metal2 ( 5695760 1638000 ) Via2_VH ;
- la_data_out[12] ( PIN la_data_out[12] ) ( computer la_data_out[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 2093840 1690640 ) ( 2097200 * 0 )
NEW Metal2 ( 1832880 3920 ) ( 1838480 * )
NEW Metal2 ( 1838480 3920 ) ( * 5040 )
NEW Metal2 ( 1838480 5040 ) ( 1839600 * )
NEW Metal2 ( 1839600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1832880 3920 ) ( * 159600 )
NEW Metal3 ( 1832880 159600 ) ( 2084880 * )
NEW Metal2 ( 2084880 159600 ) ( * 1562400 )
NEW Metal2 ( 2084880 1562400 ) ( 2093840 * )
NEW Metal2 ( 2093840 1562400 ) ( * 1690640 )
NEW Metal2 ( 2084880 159600 ) Via2_VH
NEW Metal2 ( 1832880 159600 ) Via2_VH ;
- la_data_out[13] ( PIN la_data_out[13] ) ( computer la_data_out[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 1868720 3920 ) ( 1872080 * )
NEW Metal2 ( 1872080 3920 ) ( * 5040 )
NEW Metal2 ( 1872080 5040 ) ( 1873200 * )
NEW Metal2 ( 1873200 3920 0 ) ( * 5040 )
NEW Metal2 ( 2110640 1690640 ) ( 2114000 * 0 )
NEW Metal2 ( 1868720 3920 ) ( * 462000 )
NEW Metal3 ( 1868720 462000 ) ( 2101680 * )
NEW Metal2 ( 2101680 462000 ) ( * 1562400 )
NEW Metal2 ( 2110640 1680000 ) ( * 1690640 )
NEW Metal2 ( 2101680 1562400 ) ( 2107280 * )
NEW Metal2 ( 2107280 1562400 ) ( * 1680000 )
NEW Metal2 ( 2107280 1680000 ) ( 2110640 * )
NEW Metal2 ( 1868720 462000 ) Via2_VH
NEW Metal2 ( 2101680 462000 ) Via2_VH ;
- la_data_out[14] ( PIN la_data_out[14] ) ( computer la_data_out[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 1900080 3920 ) ( 1905680 * )
NEW Metal2 ( 1905680 3920 ) ( * 5040 )
NEW Metal2 ( 1905680 5040 ) ( 1906800 * )
NEW Metal2 ( 1906800 3920 0 ) ( * 5040 )
NEW Metal2 ( 2127440 1690640 ) ( 2130800 * 0 )
NEW Metal2 ( 1900080 3920 ) ( * 1556240 )
NEW Metal3 ( 1900080 1556240 ) ( 2127440 * )
NEW Metal2 ( 2127440 1556240 ) ( * 1690640 )
NEW Metal2 ( 1900080 1556240 ) Via2_VH
NEW Metal2 ( 2127440 1556240 ) Via2_VH ;
- la_data_out[15] ( PIN la_data_out[15] ) ( computer la_data_out[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 1942640 3920 0 ) ( * 44240 )
NEW Metal2 ( 2144240 1690640 ) ( 2147600 * 0 )
NEW Metal3 ( 1942640 44240 ) ( 2134160 * )
NEW Metal3 ( 2134160 1621200 ) ( 2144240 * )
NEW Metal2 ( 2134160 44240 ) ( * 1621200 )
NEW Metal2 ( 2144240 1621200 ) ( * 1690640 )
NEW Metal2 ( 1942640 44240 ) Via2_VH
NEW Metal2 ( 2134160 44240 ) Via2_VH
NEW Metal2 ( 2134160 1621200 ) Via2_VH
NEW Metal2 ( 2144240 1621200 ) Via2_VH ;
- la_data_out[16] ( PIN la_data_out[16] ) ( computer la_data_out[16] ) + USE SIGNAL
+ ROUTED Metal3 ( 2152080 1651440 ) ( 2161040 * )
NEW Metal2 ( 2161040 1651440 ) ( * 1690640 )
NEW Metal2 ( 2161040 1690640 ) ( 2164400 * 0 )
NEW Metal2 ( 2152080 46480 ) ( * 1651440 )
NEW Metal2 ( 1976240 3920 0 ) ( * 46480 )
NEW Metal3 ( 1976240 46480 ) ( 2152080 * )
NEW Metal2 ( 2152080 46480 ) Via2_VH
NEW Metal2 ( 2152080 1651440 ) Via2_VH
NEW Metal2 ( 2161040 1651440 ) Via2_VH
NEW Metal2 ( 1976240 46480 ) Via2_VH ;
- la_data_out[17] ( PIN la_data_out[17] ) ( computer la_data_out[17] ) + USE SIGNAL
+ ROUTED Metal3 ( 2086000 47600 ) ( * 48720 )
NEW Metal3 ( 2086000 48720 ) ( 2170000 * )
NEW Metal2 ( 2177840 1690640 ) ( 2181200 * 0 )
NEW Metal2 ( 2170000 48720 ) ( * 1495200 )
NEW Metal2 ( 2170000 1495200 ) ( 2177840 * )
NEW Metal2 ( 2177840 1495200 ) ( * 1690640 )
NEW Metal2 ( 2009840 3920 0 ) ( * 47600 )
NEW Metal3 ( 2009840 47600 ) ( 2086000 * )
NEW Metal2 ( 2170000 48720 ) Via2_VH
NEW Metal2 ( 2009840 47600 ) Via2_VH ;
- la_data_out[18] ( PIN la_data_out[18] ) ( computer la_data_out[18] ) + USE SIGNAL
+ ROUTED Metal3 ( 2084880 48720 ) ( * 49840 )
NEW Metal3 ( 2084880 49840 ) ( 2186800 * )
NEW Metal2 ( 2186800 1659280 ) ( 2194640 * )
NEW Metal2 ( 2194640 1659280 ) ( * 1690640 )
NEW Metal2 ( 2194640 1690640 ) ( 2198000 * 0 )
NEW Metal2 ( 2186800 49840 ) ( * 1659280 )
NEW Metal2 ( 2043440 3920 0 ) ( * 48720 )
NEW Metal3 ( 2043440 48720 ) ( 2084880 * )
NEW Metal2 ( 2186800 49840 ) Via2_VH
NEW Metal2 ( 2043440 48720 ) Via2_VH ;
- la_data_out[19] ( PIN la_data_out[19] ) ( computer la_data_out[19] ) + USE SIGNAL
+ ROUTED Metal3 ( 2083760 49840 ) ( * 50960 )
NEW Metal3 ( 2083760 50960 ) ( 2088240 * )
NEW Metal2 ( 2088240 47600 ) ( * 50960 )
NEW Metal2 ( 2077040 3920 0 ) ( * 49840 )
NEW Metal3 ( 2077040 49840 ) ( 2083760 * )
NEW Metal3 ( 2088240 47600 ) ( 2203600 * )
NEW Metal2 ( 2203600 1620080 ) ( 2211440 * )
NEW Metal2 ( 2211440 1620080 ) ( * 1690640 )
NEW Metal2 ( 2211440 1690640 ) ( 2214800 * 0 )
NEW Metal2 ( 2203600 47600 ) ( * 1620080 )
NEW Metal2 ( 2088240 50960 ) Via2_VH
NEW Metal2 ( 2088240 47600 ) Via2_VH
NEW Metal2 ( 2077040 49840 ) Via2_VH
NEW Metal2 ( 2203600 47600 ) Via2_VH ;
- la_data_out[1] ( PIN la_data_out[1] ) ( computer la_data_out[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1462160 3920 ) ( 1468880 * )
NEW Metal2 ( 1468880 3920 ) ( * 5040 )
NEW Metal2 ( 1468880 5040 ) ( 1470000 * )
NEW Metal2 ( 1470000 3920 0 ) ( * 5040 )
NEW Metal2 ( 1909040 1690640 ) ( 1912400 * 0 )
NEW Metal2 ( 1462160 3920 ) ( * 1489040 )
NEW Metal2 ( 1901200 1489040 ) ( * 1495200 )
NEW Metal2 ( 1901200 1495200 ) ( 1909040 * )
NEW Metal2 ( 1909040 1495200 ) ( * 1690640 )
NEW Metal3 ( 1462160 1489040 ) ( 1901200 * )
NEW Metal2 ( 1462160 1489040 ) Via2_VH
NEW Metal2 ( 1901200 1489040 ) Via2_VH ;
- la_data_out[20] ( PIN la_data_out[20] ) ( computer la_data_out[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 2110640 3920 0 ) ( * 43120 )
NEW Metal3 ( 2110640 43120 ) ( 2220400 * )
NEW Metal2 ( 2220400 1648080 ) ( 2227120 * )
NEW Metal2 ( 2227120 1648080 ) ( * 1650320 )
NEW Metal2 ( 2227120 1650320 ) ( 2228240 * )
NEW Metal2 ( 2228240 1650320 ) ( * 1690640 )
NEW Metal2 ( 2228240 1690640 ) ( 2231600 * 0 )
NEW Metal2 ( 2220400 43120 ) ( * 1648080 )
NEW Metal2 ( 2110640 43120 ) Via2_VH
NEW Metal2 ( 2220400 43120 ) Via2_VH ;
- la_data_out[21] ( PIN la_data_out[21] ) ( computer la_data_out[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 2144240 3920 0 ) ( * 44240 )
NEW Metal3 ( 2144240 44240 ) ( 2237200 * )
NEW Metal2 ( 2237200 1653680 ) ( 2245040 * )
NEW Metal2 ( 2245040 1653680 ) ( * 1690640 )
NEW Metal2 ( 2245040 1690640 ) ( 2248400 * 0 )
NEW Metal2 ( 2237200 44240 ) ( * 1653680 )
NEW Metal2 ( 2144240 44240 ) Via2_VH
NEW Metal2 ( 2237200 44240 ) Via2_VH ;
- la_data_out[22] ( PIN la_data_out[22] ) ( computer la_data_out[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 2177840 3920 0 ) ( * 45360 )
NEW Metal3 ( 2177840 45360 ) ( 2255120 * )
NEW Metal2 ( 2261840 1690640 ) ( 2265200 * 0 )
NEW Metal2 ( 2254000 201600 ) ( 2255120 * )
NEW Metal2 ( 2255120 45360 ) ( * 201600 )
NEW Metal2 ( 2254000 201600 ) ( * 1495200 )
NEW Metal2 ( 2254000 1495200 ) ( 2261840 * )
NEW Metal2 ( 2261840 1495200 ) ( * 1690640 )
NEW Metal2 ( 2177840 45360 ) Via2_VH
NEW Metal2 ( 2255120 45360 ) Via2_VH ;
- la_data_out[23] ( PIN la_data_out[23] ) ( computer la_data_out[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 2211440 3920 0 ) ( * 50960 )
NEW Metal3 ( 2211440 50960 ) ( 2273040 * )
NEW Metal2 ( 2273040 50960 ) ( * 1562400 )
NEW Metal2 ( 2273040 1562400 ) ( 2275280 * )
NEW Metal2 ( 2275280 1562400 ) ( * 1680000 )
NEW Metal2 ( 2275280 1680000 ) ( 2278640 * )
NEW Metal2 ( 2278640 1680000 ) ( * 1690640 )
NEW Metal2 ( 2278640 1690640 ) ( 2282000 * 0 )
NEW Metal2 ( 2211440 50960 ) Via2_VH
NEW Metal2 ( 2273040 50960 ) Via2_VH ;
- la_data_out[24] ( PIN la_data_out[24] ) ( computer la_data_out[24] ) + USE SIGNAL
+ ROUTED Metal3 ( 2245040 108080 ) ( 2277520 * )
NEW Metal2 ( 2245040 3920 0 ) ( * 108080 )
NEW Metal2 ( 2277520 108080 ) ( * 1495200 )
NEW Metal2 ( 2277520 1495200 ) ( 2280880 * )
NEW Metal3 ( 2280880 1646960 ) ( 2298800 * )
NEW Metal2 ( 2280880 1495200 ) ( * 1646960 )
NEW Metal2 ( 2298800 1646960 ) ( * 1690640 0 )
NEW Metal2 ( 2245040 108080 ) Via2_VH
NEW Metal2 ( 2277520 108080 ) Via2_VH
NEW Metal2 ( 2280880 1646960 ) Via2_VH
NEW Metal2 ( 2298800 1646960 ) Via2_VH ;
- la_data_out[25] ( PIN la_data_out[25] ) ( computer la_data_out[25] ) + USE SIGNAL
+ ROUTED Metal2 ( 2278640 3920 0 ) ( * 50960 )
NEW Metal3 ( 2278640 50960 ) ( 2303280 * )
NEW Metal1 ( 2303280 1610000 ) ( 2312240 * )
NEW Metal2 ( 2303280 50960 ) ( * 1610000 )
NEW Metal2 ( 2312240 1690640 ) ( 2315600 * 0 )
NEW Metal2 ( 2312240 1610000 ) ( * 1690640 )
NEW Metal2 ( 2278640 50960 ) Via2_VH
NEW Metal2 ( 2303280 50960 ) Via2_VH
NEW Metal1 ( 2303280 1610000 ) Via1_HV
NEW Metal1 ( 2312240 1610000 ) Via1_HV ;
- la_data_out[26] ( PIN la_data_out[26] ) ( computer la_data_out[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 2302160 3920 ) ( 2308880 * )
NEW Metal2 ( 2308880 3920 ) ( * 5040 )
NEW Metal2 ( 2308880 5040 ) ( 2310000 * )
NEW Metal2 ( 2310000 3920 0 ) ( * 5040 )
NEW Metal3 ( 2302160 1646960 ) ( 2332400 * )
NEW Metal2 ( 2302160 3920 ) ( * 1646960 )
NEW Metal2 ( 2332400 1646960 ) ( * 1690640 0 )
NEW Metal2 ( 2302160 1646960 ) Via2_VH
NEW Metal2 ( 2332400 1646960 ) Via2_VH ;
- la_data_out[27] ( PIN la_data_out[27] ) ( computer la_data_out[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 2335760 3920 ) ( 2342480 * )
NEW Metal2 ( 2342480 3920 ) ( * 5040 )
NEW Metal2 ( 2342480 5040 ) ( 2343600 * )
NEW Metal2 ( 2343600 3920 0 ) ( * 5040 )
NEW Metal1 ( 2335760 1576400 ) ( 2345840 * )
NEW Metal2 ( 2335760 3920 ) ( * 1576400 )
NEW Metal2 ( 2345840 1690640 ) ( 2349200 * 0 )
NEW Metal2 ( 2345840 1576400 ) ( * 1690640 )
NEW Metal1 ( 2335760 1576400 ) Via1_HV
NEW Metal1 ( 2345840 1576400 ) Via1_HV ;
- la_data_out[28] ( PIN la_data_out[28] ) ( computer la_data_out[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 2355920 50960 ) ( 2377200 * )
NEW Metal2 ( 2377200 3920 0 ) ( * 50960 )
NEW Metal2 ( 2354800 201600 ) ( 2355920 * )
NEW Metal2 ( 2355920 50960 ) ( * 201600 )
NEW Metal2 ( 2354800 201600 ) ( * 1495200 )
NEW Metal2 ( 2354800 1495200 ) ( 2362640 * )
NEW Metal2 ( 2362640 1690640 ) ( 2366000 * 0 )
NEW Metal2 ( 2362640 1495200 ) ( * 1690640 )
NEW Metal2 ( 2355920 50960 ) Via2_VH
NEW Metal2 ( 2377200 50960 ) Via2_VH ;
- la_data_out[29] ( PIN la_data_out[29] ) ( computer la_data_out[29] ) + USE SIGNAL
+ ROUTED Metal3 ( 2370480 52080 ) ( 2410800 * )
NEW Metal2 ( 2410800 3920 0 ) ( * 52080 )
NEW Metal2 ( 2370480 52080 ) ( * 1495200 )
NEW Metal2 ( 2370480 1495200 ) ( 2379440 * )
NEW Metal2 ( 2379440 1690640 ) ( 2382800 * 0 )
NEW Metal2 ( 2379440 1495200 ) ( * 1690640 )
NEW Metal2 ( 2370480 52080 ) Via2_VH
NEW Metal2 ( 2410800 52080 ) Via2_VH ;
- la_data_out[2] ( PIN la_data_out[2] ) ( computer la_data_out[2] ) + USE SIGNAL
+ ROUTED Metal3 ( 1916880 1651440 ) ( 1925840 * )
NEW Metal2 ( 1916880 1486800 ) ( * 1651440 )
NEW Metal2 ( 1496880 3920 ) ( 1502480 * )
NEW Metal2 ( 1502480 3920 ) ( * 5040 )
NEW Metal2 ( 1502480 5040 ) ( 1503600 * )
NEW Metal2 ( 1503600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1496880 1486800 ) ( 1916880 * )
NEW Metal2 ( 1496880 3920 ) ( * 1486800 )
NEW Metal2 ( 1925840 1690640 ) ( 1929200 * 0 )
NEW Metal2 ( 1925840 1651440 ) ( * 1690640 )
NEW Metal2 ( 1916880 1486800 ) Via2_VH
NEW Metal2 ( 1916880 1651440 ) Via2_VH
NEW Metal2 ( 1925840 1651440 ) Via2_VH
NEW Metal2 ( 1496880 1486800 ) Via2_VH ;
- la_data_out[30] ( PIN la_data_out[30] ) ( computer la_data_out[30] ) + USE SIGNAL
+ ROUTED Metal1 ( 2387280 1631280 ) ( 2396240 * )
NEW Metal2 ( 2387280 48720 ) ( * 1631280 )
NEW Metal2 ( 2444400 3920 0 ) ( * 48720 )
NEW Metal3 ( 2387280 48720 ) ( 2444400 * )
NEW Metal2 ( 2396240 1690640 ) ( 2399600 * 0 )
NEW Metal2 ( 2396240 1631280 ) ( * 1690640 )
NEW Metal2 ( 2387280 48720 ) Via2_VH
NEW Metal1 ( 2387280 1631280 ) Via1_HV
NEW Metal1 ( 2396240 1631280 ) Via1_HV
NEW Metal2 ( 2444400 48720 ) Via2_VH ;
- la_data_out[31] ( PIN la_data_out[31] ) ( computer la_data_out[31] ) + USE SIGNAL
+ ROUTED Metal2 ( 2402960 92400 ) ( * 1495200 )
NEW Metal2 ( 2402960 1495200 ) ( 2413040 * )
NEW Metal3 ( 2402960 92400 ) ( 2478000 * )
NEW Metal2 ( 2478000 3920 0 ) ( * 92400 )
NEW Metal2 ( 2413040 1690640 ) ( 2416400 * 0 )
NEW Metal2 ( 2413040 1495200 ) ( * 1690640 )
NEW Metal2 ( 2402960 92400 ) Via2_VH
NEW Metal2 ( 2478000 92400 ) Via2_VH ;
- la_data_out[32] ( PIN la_data_out[32] ) ( computer la_data_out[32] ) + USE SIGNAL
+ ROUTED Metal1 ( 2420880 1614480 ) ( 2429840 * )
NEW Metal2 ( 2420880 62160 ) ( * 1614480 )
NEW Metal2 ( 2511600 3920 0 ) ( * 62160 )
NEW Metal3 ( 2420880 62160 ) ( 2511600 * )
NEW Metal2 ( 2429840 1690640 ) ( 2433200 * 0 )
NEW Metal2 ( 2429840 1614480 ) ( * 1690640 )
NEW Metal2 ( 2420880 62160 ) Via2_VH
NEW Metal1 ( 2420880 1614480 ) Via1_HV
NEW Metal1 ( 2429840 1614480 ) Via1_HV
NEW Metal2 ( 2511600 62160 ) Via2_VH ;
- la_data_out[33] ( PIN la_data_out[33] ) ( computer la_data_out[33] ) + USE SIGNAL
+ ROUTED Metal3 ( 2528400 45360 ) ( 2545200 * )
NEW Metal2 ( 2545200 3920 0 ) ( * 45360 )
NEW Metal3 ( 2450000 1650320 ) ( 2528400 * )
NEW Metal2 ( 2528400 45360 ) ( * 1650320 )
NEW Metal2 ( 2450000 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 2528400 45360 ) Via2_VH
NEW Metal2 ( 2545200 45360 ) Via2_VH
NEW Metal2 ( 2528400 1650320 ) Via2_VH
NEW Metal2 ( 2450000 1650320 ) Via2_VH ;
- la_data_out[34] ( PIN la_data_out[34] ) ( computer la_data_out[34] ) + USE SIGNAL
+ ROUTED Metal2 ( 2566480 49840 ) ( * 61040 )
NEW Metal3 ( 2566480 49840 ) ( 2578800 * )
NEW Metal2 ( 2578800 3920 0 ) ( * 49840 )
NEW Metal3 ( 2454480 61040 ) ( 2566480 * )
NEW Metal1 ( 2454480 1630160 ) ( 2463440 * )
NEW Metal2 ( 2454480 61040 ) ( * 1630160 )
NEW Metal2 ( 2463440 1690640 ) ( 2466800 * 0 )
NEW Metal2 ( 2463440 1630160 ) ( * 1690640 )
NEW Metal2 ( 2566480 61040 ) Via2_VH
NEW Metal2 ( 2566480 49840 ) Via2_VH
NEW Metal2 ( 2578800 49840 ) Via2_VH
NEW Metal2 ( 2454480 61040 ) Via2_VH
NEW Metal1 ( 2454480 1630160 ) Via1_HV
NEW Metal1 ( 2463440 1630160 ) Via1_HV ;
- la_data_out[35] ( PIN la_data_out[35] ) ( computer la_data_out[35] ) + USE SIGNAL
+ ROUTED Metal3 ( 2596720 45360 ) ( 2612400 * )
NEW Metal2 ( 2612400 3920 0 ) ( * 45360 )
NEW Metal2 ( 2596720 45360 ) ( * 848400 )
NEW Metal2 ( 2475760 1659280 ) ( 2480240 * )
NEW Metal3 ( 2472400 848400 ) ( 2596720 * )
NEW Metal2 ( 2472400 848400 ) ( * 1495200 )
NEW Metal2 ( 2472400 1495200 ) ( 2475760 * )
NEW Metal2 ( 2475760 1495200 ) ( * 1659280 )
NEW Metal2 ( 2480240 1690640 ) ( 2483600 * 0 )
NEW Metal2 ( 2480240 1659280 ) ( * 1690640 )
NEW Metal2 ( 2596720 45360 ) Via2_VH
NEW Metal2 ( 2612400 45360 ) Via2_VH
NEW Metal2 ( 2596720 848400 ) Via2_VH
NEW Metal2 ( 2472400 848400 ) Via2_VH ;
- la_data_out[36] ( PIN la_data_out[36] ) ( computer la_data_out[36] ) + USE SIGNAL
+ ROUTED Metal3 ( 2631440 42000 ) ( 2646000 * )
NEW Metal2 ( 2646000 3920 0 ) ( * 42000 )
NEW Metal2 ( 2631440 42000 ) ( * 160720 )
NEW Metal3 ( 2488080 1616720 ) ( 2497040 * )
NEW Metal3 ( 2488080 160720 ) ( 2631440 * )
NEW Metal2 ( 2488080 160720 ) ( * 1616720 )
NEW Metal2 ( 2497040 1690640 ) ( 2500400 * 0 )
NEW Metal2 ( 2497040 1616720 ) ( * 1690640 )
NEW Metal2 ( 2631440 42000 ) Via2_VH
NEW Metal2 ( 2646000 42000 ) Via2_VH
NEW Metal2 ( 2631440 160720 ) Via2_VH
NEW Metal2 ( 2488080 1616720 ) Via2_VH
NEW Metal2 ( 2497040 1616720 ) Via2_VH
NEW Metal2 ( 2488080 160720 ) Via2_VH ;
- la_data_out[37] ( PIN la_data_out[37] ) ( computer la_data_out[37] ) + USE SIGNAL
+ ROUTED Metal2 ( 2680720 3920 0 ) ( * 58800 )
NEW Metal3 ( 2506000 58800 ) ( 2680720 * )
NEW Metal2 ( 2506000 58800 ) ( * 1495200 )
NEW Metal2 ( 2506000 1495200 ) ( 2513840 * )
NEW Metal2 ( 2513840 1690640 ) ( 2517200 * 0 )
NEW Metal2 ( 2513840 1495200 ) ( * 1690640 )
NEW Metal2 ( 2506000 58800 ) Via2_VH
NEW Metal2 ( 2680720 58800 ) Via2_VH ;
- la_data_out[38] ( PIN la_data_out[38] ) ( computer la_data_out[38] ) + USE SIGNAL
+ ROUTED Metal2 ( 2595600 298480 ) ( * 1657040 )
NEW Metal3 ( 2534000 1657040 ) ( 2595600 * )
NEW Metal2 ( 2708720 3920 ) ( 2712080 * )
NEW Metal2 ( 2712080 3920 ) ( * 5040 )
NEW Metal2 ( 2712080 5040 ) ( 2713200 * )
NEW Metal2 ( 2713200 3920 0 ) ( * 5040 )
NEW Metal3 ( 2595600 298480 ) ( 2708720 * )
NEW Metal2 ( 2708720 3920 ) ( * 298480 )
NEW Metal2 ( 2534000 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 2595600 298480 ) Via2_VH
NEW Metal2 ( 2595600 1657040 ) Via2_VH
NEW Metal2 ( 2534000 1657040 ) Via2_VH
NEW Metal2 ( 2708720 298480 ) Via2_VH ;
- la_data_out[39] ( PIN la_data_out[39] ) ( computer la_data_out[39] ) + USE SIGNAL
+ ROUTED Metal2 ( 2742320 3920 ) ( 2745680 * )
NEW Metal2 ( 2745680 3920 ) ( * 5040 )
NEW Metal2 ( 2745680 5040 ) ( 2746800 * )
NEW Metal2 ( 2746800 3920 0 ) ( * 5040 )
NEW Metal2 ( 2538480 142800 ) ( * 1495200 )
NEW Metal2 ( 2538480 1495200 ) ( 2547440 * )
NEW Metal3 ( 2538480 142800 ) ( 2742320 * )
NEW Metal2 ( 2742320 3920 ) ( * 142800 )
NEW Metal2 ( 2547440 1690640 ) ( 2550800 * 0 )
NEW Metal2 ( 2547440 1495200 ) ( * 1690640 )
NEW Metal2 ( 2538480 142800 ) Via2_VH
NEW Metal2 ( 2742320 142800 ) Via2_VH ;
- la_data_out[3] ( PIN la_data_out[3] ) ( computer la_data_out[3] ) + USE SIGNAL
+ ROUTED Metal3 ( 1933680 1651440 ) ( 1942640 * )
NEW Metal2 ( 1933680 1454320 ) ( * 1651440 )
NEW Metal2 ( 1529360 3920 ) ( 1536080 * )
NEW Metal2 ( 1536080 3920 ) ( * 5040 )
NEW Metal2 ( 1536080 5040 ) ( 1537200 * )
NEW Metal2 ( 1537200 3920 0 ) ( * 5040 )
NEW Metal3 ( 1529360 1454320 ) ( 1933680 * )
NEW Metal2 ( 1529360 3920 ) ( * 1454320 )
NEW Metal2 ( 1942640 1690640 ) ( 1946000 * 0 )
NEW Metal2 ( 1942640 1651440 ) ( * 1690640 )
NEW Metal2 ( 1933680 1454320 ) Via2_VH
NEW Metal2 ( 1933680 1651440 ) Via2_VH
NEW Metal2 ( 1942640 1651440 ) Via2_VH
NEW Metal2 ( 1529360 1454320 ) Via2_VH ;
- la_data_out[40] ( PIN la_data_out[40] ) ( computer la_data_out[40] ) + USE SIGNAL
+ ROUTED Metal2 ( 2556400 126000 ) ( * 1495200 )
NEW Metal2 ( 2556400 1495200 ) ( 2564240 * )
NEW Metal2 ( 2774800 3920 ) ( 2779280 * )
NEW Metal2 ( 2779280 3920 ) ( * 5040 )
NEW Metal2 ( 2779280 5040 ) ( 2780400 * )
NEW Metal2 ( 2780400 3920 0 ) ( * 5040 )
NEW Metal3 ( 2556400 126000 ) ( 2774800 * )
NEW Metal2 ( 2774800 3920 ) ( * 126000 )
NEW Metal2 ( 2564240 1690640 ) ( 2567600 * 0 )
NEW Metal2 ( 2564240 1495200 ) ( * 1690640 )
NEW Metal2 ( 2556400 126000 ) Via2_VH
NEW Metal2 ( 2774800 126000 ) Via2_VH ;
- la_data_out[41] ( PIN la_data_out[41] ) ( computer la_data_out[41] ) + USE SIGNAL
+ ROUTED Metal2 ( 2809520 3920 ) ( 2812880 * )
NEW Metal2 ( 2812880 3920 ) ( * 5040 )
NEW Metal2 ( 2812880 5040 ) ( 2814000 * )
NEW Metal2 ( 2814000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2573200 277200 ) ( * 1495200 )
NEW Metal2 ( 2573200 1495200 ) ( 2581040 * )
NEW Metal2 ( 2809520 3920 ) ( * 277200 )
NEW Metal3 ( 2573200 277200 ) ( 2809520 * )
NEW Metal2 ( 2581040 1690640 ) ( 2584400 * 0 )
NEW Metal2 ( 2581040 1495200 ) ( * 1690640 )
NEW Metal2 ( 2573200 277200 ) Via2_VH
NEW Metal2 ( 2809520 277200 ) Via2_VH ;
- la_data_out[42] ( PIN la_data_out[42] ) ( computer la_data_out[42] ) + USE SIGNAL
+ ROUTED Metal3 ( 2588880 1634640 ) ( 2597840 * )
NEW Metal2 ( 2842000 3920 ) ( 2846480 * )
NEW Metal2 ( 2846480 3920 ) ( * 5040 )
NEW Metal2 ( 2846480 5040 ) ( 2847600 * )
NEW Metal2 ( 2847600 3920 0 ) ( * 5040 )
NEW Metal2 ( 2588880 260400 ) ( * 1634640 )
NEW Metal2 ( 2842000 3920 ) ( * 260400 )
NEW Metal3 ( 2588880 260400 ) ( 2842000 * )
NEW Metal2 ( 2597840 1690640 ) ( 2601200 * 0 )
NEW Metal2 ( 2597840 1634640 ) ( * 1690640 )
NEW Metal2 ( 2588880 260400 ) Via2_VH
NEW Metal2 ( 2588880 1634640 ) Via2_VH
NEW Metal2 ( 2597840 1634640 ) Via2_VH
NEW Metal2 ( 2842000 260400 ) Via2_VH ;
- la_data_out[43] ( PIN la_data_out[43] ) ( computer la_data_out[43] ) + USE SIGNAL
+ ROUTED Metal2 ( 2875600 3920 ) ( 2880080 * )
NEW Metal2 ( 2880080 3920 ) ( * 5040 )
NEW Metal2 ( 2880080 5040 ) ( 2881200 * )
NEW Metal2 ( 2881200 3920 0 ) ( * 5040 )
NEW Metal2 ( 2605680 294000 ) ( * 1495200 )
NEW Metal2 ( 2605680 1495200 ) ( 2614640 * )
NEW Metal2 ( 2875600 3920 ) ( * 294000 )
NEW Metal3 ( 2605680 294000 ) ( 2875600 * )
NEW Metal2 ( 2614640 1690640 ) ( 2618000 * 0 )
NEW Metal2 ( 2614640 1495200 ) ( * 1690640 )
NEW Metal2 ( 2605680 294000 ) Via2_VH
NEW Metal2 ( 2875600 294000 ) Via2_VH ;
- la_data_out[44] ( PIN la_data_out[44] ) ( computer la_data_out[44] ) + USE SIGNAL
+ ROUTED Metal2 ( 2910320 3920 ) ( 2913680 * )
NEW Metal2 ( 2913680 3920 ) ( * 5040 )
NEW Metal2 ( 2913680 5040 ) ( 2914800 * )
NEW Metal2 ( 2914800 3920 0 ) ( * 5040 )
NEW Metal3 ( 2622480 245840 ) ( 2910320 * )
NEW Metal2 ( 2910320 3920 ) ( * 245840 )
NEW Metal2 ( 2622480 1657040 ) ( 2630320 * )
NEW Metal2 ( 2622480 245840 ) ( * 1657040 )
NEW Metal2 ( 2630320 1657040 ) ( * 1680000 )
NEW Metal2 ( 2630320 1680000 ) ( 2631440 * )
NEW Metal2 ( 2631440 1680000 ) ( * 1690640 )
NEW Metal2 ( 2631440 1690640 ) ( 2634800 * 0 )
NEW Metal2 ( 2622480 245840 ) Via2_VH
NEW Metal2 ( 2910320 245840 ) Via2_VH ;
- la_data_out[45] ( PIN la_data_out[45] ) ( computer la_data_out[45] ) + USE SIGNAL
+ ROUTED Metal3 ( 2638160 92400 ) ( 2948400 * )
NEW Metal2 ( 2948400 3920 0 ) ( * 92400 )
NEW Metal3 ( 2638160 1685040 ) ( 2648240 * )
NEW Metal2 ( 2648240 1685040 ) ( * 1690640 )
NEW Metal2 ( 2648240 1690640 ) ( 2651600 * 0 )
NEW Metal2 ( 2638160 92400 ) ( * 1685040 )
NEW Metal2 ( 2638160 92400 ) Via2_VH
NEW Metal2 ( 2948400 92400 ) Via2_VH
NEW Metal2 ( 2638160 1685040 ) Via2_VH
NEW Metal2 ( 2648240 1685040 ) Via2_VH ;
- la_data_out[46] ( PIN la_data_out[46] ) ( computer la_data_out[46] ) + USE SIGNAL
+ ROUTED Metal3 ( 2654960 61040 ) ( 2982000 * )
NEW Metal2 ( 2982000 3920 0 ) ( * 61040 )
NEW Metal3 ( 2654960 1621200 ) ( 2665040 * )
NEW Metal2 ( 2654960 61040 ) ( * 1621200 )
NEW Metal2 ( 2665040 1690640 ) ( 2668400 * 0 )
NEW Metal2 ( 2665040 1621200 ) ( * 1690640 )
NEW Metal2 ( 2654960 61040 ) Via2_VH
NEW Metal2 ( 2982000 61040 ) Via2_VH
NEW Metal2 ( 2654960 1621200 ) Via2_VH
NEW Metal2 ( 2665040 1621200 ) Via2_VH ;
- la_data_out[47] ( PIN la_data_out[47] ) ( computer la_data_out[47] ) + USE SIGNAL
+ ROUTED Metal2 ( 3007760 3920 ) ( 3014480 * )
NEW Metal2 ( 3014480 3920 ) ( * 5040 )
NEW Metal2 ( 3014480 5040 ) ( 3015600 * )
NEW Metal2 ( 3015600 3920 0 ) ( * 5040 )
NEW Metal3 ( 2672880 176400 ) ( 3007760 * )
NEW Metal2 ( 3007760 3920 ) ( * 176400 )
NEW Metal1 ( 2672880 1596560 ) ( 2681840 * )
NEW Metal2 ( 2672880 176400 ) ( * 1596560 )
NEW Metal2 ( 2681840 1690640 ) ( 2685200 * 0 )
NEW Metal2 ( 2681840 1596560 ) ( * 1690640 )
NEW Metal2 ( 2672880 176400 ) Via2_VH
NEW Metal2 ( 3007760 176400 ) Via2_VH
NEW Metal1 ( 2672880 1596560 ) Via1_HV
NEW Metal1 ( 2681840 1596560 ) Via1_HV ;
- la_data_out[48] ( PIN la_data_out[48] ) ( computer la_data_out[48] ) + USE SIGNAL
+ ROUTED Metal2 ( 3041360 3920 ) ( 3048080 * )
NEW Metal2 ( 3048080 3920 ) ( * 5040 )
NEW Metal2 ( 3048080 5040 ) ( 3049200 * )
NEW Metal2 ( 3049200 3920 0 ) ( * 5040 )
NEW Metal3 ( 2689680 212240 ) ( 3041360 * )
NEW Metal2 ( 2689680 212240 ) ( * 1495200 )
NEW Metal2 ( 2689680 1495200 ) ( 2698640 * )
NEW Metal2 ( 3041360 3920 ) ( * 212240 )
NEW Metal2 ( 2698640 1690640 ) ( 2702000 * 0 )
NEW Metal2 ( 2698640 1495200 ) ( * 1690640 )
NEW Metal2 ( 3041360 212240 ) Via2_VH
NEW Metal2 ( 2689680 212240 ) Via2_VH ;
- la_data_out[49] ( PIN la_data_out[49] ) ( computer la_data_out[49] ) + USE SIGNAL
+ ROUTED Metal2 ( 3077200 3920 ) ( 3081680 * )
NEW Metal2 ( 3081680 3920 ) ( * 5040 )
NEW Metal2 ( 3081680 5040 ) ( 3082800 * )
NEW Metal2 ( 3082800 3920 0 ) ( * 5040 )
NEW Metal3 ( 2705360 193200 ) ( 3077200 * )
NEW Metal2 ( 3077200 3920 ) ( * 193200 )
NEW Metal3 ( 2705360 1621200 ) ( 2715440 * )
NEW Metal2 ( 2705360 193200 ) ( * 1621200 )
NEW Metal2 ( 2715440 1690640 ) ( 2718800 * 0 )
NEW Metal2 ( 2715440 1621200 ) ( * 1690640 )
NEW Metal2 ( 3077200 193200 ) Via2_VH
NEW Metal2 ( 2705360 193200 ) Via2_VH
NEW Metal2 ( 2705360 1621200 ) Via2_VH
NEW Metal2 ( 2715440 1621200 ) Via2_VH ;
- la_data_out[4] ( PIN la_data_out[4] ) ( computer la_data_out[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 1951600 1652560 ) ( 1959440 * )
NEW Metal2 ( 1951600 1455440 ) ( * 1652560 )
NEW Metal2 ( 1562960 3920 ) ( 1569680 * )
NEW Metal2 ( 1569680 3920 ) ( * 5040 )
NEW Metal2 ( 1569680 5040 ) ( 1570800 * )
NEW Metal2 ( 1570800 3920 0 ) ( * 5040 )
NEW Metal3 ( 1562960 1455440 ) ( 1951600 * )
NEW Metal2 ( 1562960 3920 ) ( * 1455440 )
NEW Metal2 ( 1959440 1690640 ) ( 1962800 * 0 )
NEW Metal2 ( 1959440 1652560 ) ( * 1690640 )
NEW Metal2 ( 1951600 1455440 ) Via2_VH
NEW Metal2 ( 1562960 1455440 ) Via2_VH ;
- la_data_out[50] ( PIN la_data_out[50] ) ( computer la_data_out[50] ) + USE SIGNAL
+ ROUTED Metal2 ( 3108560 3920 ) ( 3115280 * )
NEW Metal2 ( 3115280 3920 ) ( * 5040 )
NEW Metal2 ( 3115280 5040 ) ( 3116400 * )
NEW Metal2 ( 3116400 3920 0 ) ( * 5040 )
NEW Metal2 ( 2722160 161840 ) ( * 1495200 )
NEW Metal2 ( 2722160 1495200 ) ( 2732240 * )
NEW Metal3 ( 2722160 161840 ) ( 3108560 * )
NEW Metal2 ( 2732240 1690640 ) ( 2735600 * 0 )
NEW Metal2 ( 2732240 1495200 ) ( * 1690640 )
NEW Metal2 ( 3108560 3920 ) ( * 161840 )
NEW Metal2 ( 3108560 161840 ) Via2_VH
NEW Metal2 ( 2722160 161840 ) Via2_VH ;
- la_data_out[51] ( PIN la_data_out[51] ) ( computer la_data_out[51] ) + USE SIGNAL
+ ROUTED Metal3 ( 2738960 5040 ) ( 2788800 * )
NEW Metal3 ( 2788800 1680 ) ( * 5040 )
NEW Metal2 ( 3148880 1680 ) ( * 5040 )
NEW Metal2 ( 3148880 5040 ) ( 3150000 * )
NEW Metal2 ( 3150000 3920 0 ) ( * 5040 )
NEW Metal3 ( 2788800 1680 ) ( 3148880 * )
NEW Metal3 ( 2738960 1621200 ) ( 2749040 * )
NEW Metal2 ( 2738960 5040 ) ( * 1621200 )
NEW Metal2 ( 2749040 1690640 ) ( 2752400 * 0 )
NEW Metal2 ( 2749040 1621200 ) ( * 1690640 )
NEW Metal2 ( 2738960 5040 ) Via2_VH
NEW Metal2 ( 3148880 1680 ) Via2_VH
NEW Metal2 ( 2738960 1621200 ) Via2_VH
NEW Metal2 ( 2749040 1621200 ) Via2_VH ;
- la_data_out[52] ( PIN la_data_out[52] ) ( computer la_data_out[52] ) + USE SIGNAL
+ ROUTED Metal2 ( 3245200 50960 ) ( * 1654800 )
NEW Metal2 ( 2769200 1654800 ) ( * 1690640 0 )
NEW Metal3 ( 2769200 1654800 ) ( 3245200 * )
NEW Metal2 ( 3185840 3920 0 ) ( * 50960 )
NEW Metal3 ( 3185840 50960 ) ( 3245200 * )
NEW Metal2 ( 3245200 50960 ) Via2_VH
NEW Metal2 ( 3245200 1654800 ) Via2_VH
NEW Metal2 ( 2769200 1654800 ) Via2_VH
NEW Metal2 ( 3185840 50960 ) Via2_VH ;
- la_data_out[53] ( PIN la_data_out[53] ) ( computer la_data_out[53] ) + USE SIGNAL
+ ROUTED Metal2 ( 3217200 3920 0 ) ( * 59920 )
NEW Metal3 ( 2772560 1621200 ) ( 2782640 * )
NEW Metal2 ( 2772560 59920 ) ( * 1621200 )
NEW Metal2 ( 2782640 1690640 ) ( 2786000 * 0 )
NEW Metal2 ( 2782640 1621200 ) ( * 1690640 )
NEW Metal3 ( 2772560 59920 ) ( 3217200 * )
NEW Metal2 ( 2772560 59920 ) Via2_VH
NEW Metal2 ( 3217200 59920 ) Via2_VH
NEW Metal2 ( 2772560 1621200 ) Via2_VH
NEW Metal2 ( 2782640 1621200 ) Via2_VH ;
- la_data_out[54] ( PIN la_data_out[54] ) ( computer la_data_out[54] ) + USE SIGNAL
+ ROUTED Metal2 ( 2794960 560 ) ( * 1495200 )
NEW Metal2 ( 2794960 1495200 ) ( 2799440 * )
NEW Metal2 ( 3249680 560 ) ( * 5040 )
NEW Metal2 ( 3249680 5040 ) ( 3250800 * )
NEW Metal2 ( 3250800 3920 0 ) ( * 5040 )
NEW Metal3 ( 2794960 560 ) ( 3249680 * )
NEW Metal2 ( 2799440 1690640 ) ( 2802800 * 0 )
NEW Metal2 ( 2799440 1495200 ) ( * 1690640 )
NEW Metal2 ( 2794960 560 ) Via2_VH
NEW Metal2 ( 3249680 560 ) Via2_VH ;
- la_data_out[55] ( PIN la_data_out[55] ) ( computer la_data_out[55] ) + USE SIGNAL
+ ROUTED Metal2 ( 3277680 3920 ) ( 3283280 * )
NEW Metal2 ( 3283280 3920 ) ( * 5040 )
NEW Metal2 ( 3283280 5040 ) ( 3284400 * )
NEW Metal2 ( 3284400 3920 0 ) ( * 5040 )
NEW Metal2 ( 2808400 146160 ) ( * 1495200 )
NEW Metal2 ( 2808400 1495200 ) ( 2811760 * )
NEW Metal2 ( 3277680 3920 ) ( * 146160 )
NEW Metal2 ( 2811760 1495200 ) ( * 1680000 )
NEW Metal2 ( 2811760 1680000 ) ( 2816240 * )
NEW Metal2 ( 2816240 1680000 ) ( * 1690640 )
NEW Metal2 ( 2816240 1690640 ) ( 2819600 * 0 )
NEW Metal3 ( 2808400 146160 ) ( 3277680 * )
NEW Metal2 ( 2808400 146160 ) Via2_VH
NEW Metal2 ( 3277680 146160 ) Via2_VH ;
- la_data_out[56] ( PIN la_data_out[56] ) ( computer la_data_out[56] ) + USE SIGNAL
+ ROUTED Metal2 ( 3310160 3920 ) ( 3316880 * )
NEW Metal2 ( 3316880 3920 ) ( * 5040 )
NEW Metal2 ( 3316880 5040 ) ( 3318000 * )
NEW Metal2 ( 3318000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3310160 3920 ) ( * 1588720 )
NEW Metal2 ( 2833040 1690640 ) ( 2836400 * 0 )
NEW Metal2 ( 2833040 1588720 ) ( * 1690640 )
NEW Metal3 ( 2833040 1588720 ) ( 3310160 * )
NEW Metal2 ( 3310160 1588720 ) Via2_VH
NEW Metal2 ( 2833040 1588720 ) Via2_VH ;
- la_data_out[57] ( PIN la_data_out[57] ) ( computer la_data_out[57] ) + USE SIGNAL
+ ROUTED Metal2 ( 3344880 3920 ) ( 3350480 * )
NEW Metal2 ( 3350480 3920 ) ( * 5040 )
NEW Metal2 ( 3350480 5040 ) ( 3351600 * )
NEW Metal2 ( 3351600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3344880 3920 ) ( * 1556240 )
NEW Metal3 ( 2849840 1556240 ) ( 3344880 * )
NEW Metal2 ( 2849840 1690640 ) ( 2853200 * 0 )
NEW Metal2 ( 2849840 1556240 ) ( * 1690640 )
NEW Metal2 ( 2849840 1556240 ) Via2_VH
NEW Metal2 ( 3344880 1556240 ) Via2_VH ;
- la_data_out[58] ( PIN la_data_out[58] ) ( computer la_data_out[58] ) + USE SIGNAL
+ ROUTED Metal2 ( 2862160 1673840 ) ( 2866640 * )
NEW Metal2 ( 2862160 1554000 ) ( * 1673840 )
NEW Metal2 ( 3378480 3920 ) ( 3384080 * )
NEW Metal2 ( 3384080 3920 ) ( * 5040 )
NEW Metal2 ( 3384080 5040 ) ( 3385200 * )
NEW Metal2 ( 3385200 3920 0 ) ( * 5040 )
NEW Metal3 ( 2862160 1554000 ) ( 3378480 * )
NEW Metal2 ( 3378480 3920 ) ( * 1554000 )
NEW Metal2 ( 2866640 1690640 ) ( 2870000 * 0 )
NEW Metal2 ( 2866640 1673840 ) ( * 1690640 )
NEW Metal2 ( 2862160 1554000 ) Via2_VH
NEW Metal2 ( 3378480 1554000 ) Via2_VH ;
- la_data_out[59] ( PIN la_data_out[59] ) ( computer la_data_out[59] ) + USE SIGNAL
+ ROUTED Metal3 ( 2874480 1651440 ) ( 2883440 * )
NEW Metal2 ( 2874480 244720 ) ( * 1651440 )
NEW Metal2 ( 3410960 3920 ) ( 3417680 * )
NEW Metal2 ( 3417680 3920 ) ( * 5040 )
NEW Metal2 ( 3417680 5040 ) ( 3418800 * )
NEW Metal2 ( 3418800 3920 0 ) ( * 5040 )
NEW Metal3 ( 2874480 244720 ) ( 3410960 * )
NEW Metal2 ( 3410960 3920 ) ( * 244720 )
NEW Metal2 ( 2883440 1690640 ) ( 2886800 * 0 )
NEW Metal2 ( 2883440 1651440 ) ( * 1690640 )
NEW Metal2 ( 2874480 244720 ) Via2_VH
NEW Metal2 ( 2874480 1651440 ) Via2_VH
NEW Metal2 ( 2883440 1651440 ) Via2_VH
NEW Metal2 ( 3410960 244720 ) Via2_VH ;
- la_data_out[5] ( PIN la_data_out[5] ) ( computer la_data_out[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1873200 59920 ) ( * 1659280 )
NEW Metal2 ( 1606640 3920 0 ) ( * 59920 )
NEW Metal3 ( 1606640 59920 ) ( 1873200 * )
NEW Metal3 ( 1873200 1659280 ) ( 1979600 * )
NEW Metal2 ( 1979600 1659280 ) ( * 1690640 0 )
NEW Metal2 ( 1873200 59920 ) Via2_VH
NEW Metal2 ( 1873200 1659280 ) Via2_VH
NEW Metal2 ( 1606640 59920 ) Via2_VH
NEW Metal2 ( 1979600 1659280 ) Via2_VH ;
- la_data_out[60] ( PIN la_data_out[60] ) ( computer la_data_out[60] ) + USE SIGNAL
+ ROUTED Metal3 ( 2891280 1618960 ) ( 2900240 * )
NEW Metal2 ( 2891280 243600 ) ( * 1618960 )
NEW Metal2 ( 3444560 3920 ) ( 3451280 * )
NEW Metal2 ( 3451280 3920 ) ( * 5040 )
NEW Metal2 ( 3451280 5040 ) ( 3452400 * )
NEW Metal2 ( 3452400 3920 0 ) ( * 5040 )
NEW Metal3 ( 2891280 243600 ) ( 3444560 * )
NEW Metal2 ( 3444560 3920 ) ( * 243600 )
NEW Metal2 ( 2900240 1690640 ) ( 2903600 * 0 )
NEW Metal2 ( 2900240 1618960 ) ( * 1690640 )
NEW Metal2 ( 2891280 243600 ) Via2_VH
NEW Metal2 ( 2891280 1618960 ) Via2_VH
NEW Metal2 ( 2900240 1618960 ) Via2_VH
NEW Metal2 ( 3444560 243600 ) Via2_VH ;
- la_data_out[61] ( PIN la_data_out[61] ) ( computer la_data_out[61] ) + USE SIGNAL
+ ROUTED Metal3 ( 2908080 1651440 ) ( 2917040 * )
NEW Metal2 ( 3478160 3920 ) ( 3484880 * )
NEW Metal2 ( 3484880 3920 ) ( * 5040 )
NEW Metal2 ( 3484880 5040 ) ( 3486000 * )
NEW Metal2 ( 3486000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2908080 160720 ) ( * 1651440 )
NEW Metal3 ( 2908080 160720 ) ( 3478160 * )
NEW Metal2 ( 3478160 3920 ) ( * 160720 )
NEW Metal2 ( 2917040 1690640 ) ( 2920400 * 0 )
NEW Metal2 ( 2917040 1651440 ) ( * 1690640 )
NEW Metal2 ( 2908080 1651440 ) Via2_VH
NEW Metal2 ( 2917040 1651440 ) Via2_VH
NEW Metal2 ( 2908080 160720 ) Via2_VH
NEW Metal2 ( 3478160 160720 ) Via2_VH ;
- la_data_out[62] ( PIN la_data_out[62] ) ( computer la_data_out[62] ) + USE SIGNAL
+ ROUTED Metal2 ( 3511760 3920 ) ( 3518480 * )
NEW Metal2 ( 3518480 3920 ) ( * 5040 )
NEW Metal2 ( 3518480 5040 ) ( 3519600 * )
NEW Metal2 ( 3519600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3511760 3920 ) ( * 278320 )
NEW Metal3 ( 2926000 278320 ) ( 3511760 * )
NEW Metal2 ( 2926000 278320 ) ( * 1495200 )
NEW Metal2 ( 2926000 1495200 ) ( 2933840 * )
NEW Metal2 ( 2933840 1690640 ) ( 2937200 * 0 )
NEW Metal2 ( 2933840 1495200 ) ( * 1690640 )
NEW Metal2 ( 3511760 278320 ) Via2_VH
NEW Metal2 ( 2926000 278320 ) Via2_VH ;
- la_data_out[63] ( PIN la_data_out[63] ) ( computer la_data_out[63] ) + USE SIGNAL
+ ROUTED Metal2 ( 3545360 3920 ) ( 3552080 * )
NEW Metal2 ( 3552080 3920 ) ( * 5040 )
NEW Metal2 ( 3552080 5040 ) ( 3553200 * )
NEW Metal2 ( 3553200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3545360 3920 ) ( * 1622320 )
NEW Metal2 ( 2957360 1622320 ) ( * 1646960 )
NEW Metal2 ( 2956240 1646960 ) ( 2957360 * )
NEW Metal3 ( 2957360 1622320 ) ( 3545360 * )
NEW Metal2 ( 2954000 1690640 0 ) ( 2956240 * )
NEW Metal2 ( 2956240 1646960 ) ( * 1690640 )
NEW Metal2 ( 3545360 1622320 ) Via2_VH
NEW Metal2 ( 2957360 1622320 ) Via2_VH ;
- la_data_out[64] ( PIN la_data_out[64] ) ( computer la_data_out[64] ) + USE SIGNAL
+ ROUTED Metal2 ( 3580080 3920 ) ( 3585680 * )
NEW Metal2 ( 3585680 3920 ) ( * 5040 )
NEW Metal2 ( 3585680 5040 ) ( 3586800 * )
NEW Metal2 ( 3586800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3580080 3920 ) ( * 462000 )
NEW Metal3 ( 2959600 1651440 ) ( 2967440 * )
NEW Metal3 ( 2959600 462000 ) ( 3580080 * )
NEW Metal2 ( 2959600 462000 ) ( * 1651440 )
NEW Metal2 ( 2967440 1690640 ) ( 2970800 * 0 )
NEW Metal2 ( 2967440 1651440 ) ( * 1690640 )
NEW Metal2 ( 3580080 462000 ) Via2_VH
NEW Metal2 ( 2959600 462000 ) Via2_VH
NEW Metal2 ( 2959600 1651440 ) Via2_VH
NEW Metal2 ( 2967440 1651440 ) Via2_VH ;
- la_data_out[65] ( PIN la_data_out[65] ) ( computer la_data_out[65] ) + USE SIGNAL
+ ROUTED Metal2 ( 2984240 1690640 ) ( 2987600 * 0 )
NEW Metal2 ( 3613680 3920 ) ( 3619280 * )
NEW Metal2 ( 3619280 3920 ) ( * 5040 )
NEW Metal2 ( 3619280 5040 ) ( 3620400 * )
NEW Metal2 ( 3620400 3920 0 ) ( * 5040 )
NEW Metal3 ( 2974160 314160 ) ( 3613680 * )
NEW Metal2 ( 2974160 314160 ) ( * 1495200 )
NEW Metal2 ( 2974160 1495200 ) ( 2984240 * )
NEW Metal2 ( 2984240 1495200 ) ( * 1690640 )
NEW Metal2 ( 3613680 3920 ) ( * 314160 )
NEW Metal2 ( 2974160 314160 ) Via2_VH
NEW Metal2 ( 3613680 314160 ) Via2_VH ;
- la_data_out[66] ( PIN la_data_out[66] ) ( computer la_data_out[66] ) + USE SIGNAL
+ ROUTED Metal2 ( 3001040 1690640 ) ( 3004400 * 0 )
NEW Metal2 ( 3647280 3920 ) ( 3652880 * )
NEW Metal2 ( 3652880 3920 ) ( * 5040 )
NEW Metal2 ( 3652880 5040 ) ( 3654000 * )
NEW Metal2 ( 3654000 3920 0 ) ( * 5040 )
NEW Metal2 ( 2993200 327600 ) ( * 1495200 )
NEW Metal2 ( 2993200 1495200 ) ( 3001040 * )
NEW Metal2 ( 3001040 1495200 ) ( * 1690640 )
NEW Metal3 ( 2993200 327600 ) ( 3647280 * )
NEW Metal2 ( 3647280 3920 ) ( * 327600 )
NEW Metal2 ( 2993200 327600 ) Via2_VH
NEW Metal2 ( 3647280 327600 ) Via2_VH ;
- la_data_out[67] ( PIN la_data_out[67] ) ( computer la_data_out[67] ) + USE SIGNAL
+ ROUTED Metal2 ( 3066000 1526000 ) ( * 1658160 )
NEW Metal2 ( 3021200 1658160 ) ( * 1690640 0 )
NEW Metal3 ( 3021200 1658160 ) ( 3066000 * )
NEW Metal2 ( 3679760 3920 ) ( 3686480 * )
NEW Metal2 ( 3686480 3920 ) ( * 5040 )
NEW Metal2 ( 3686480 5040 ) ( 3687600 * )
NEW Metal2 ( 3687600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3066000 1526000 ) ( 3679760 * )
NEW Metal2 ( 3679760 3920 ) ( * 1526000 )
NEW Metal2 ( 3066000 1658160 ) Via2_VH
NEW Metal2 ( 3066000 1526000 ) Via2_VH
NEW Metal2 ( 3021200 1658160 ) Via2_VH
NEW Metal2 ( 3679760 1526000 ) Via2_VH ;
- la_data_out[68] ( PIN la_data_out[68] ) ( computer la_data_out[68] ) + USE SIGNAL
+ ROUTED Metal2 ( 3030160 1664880 ) ( 3034640 * )
NEW Metal2 ( 3034640 1664880 ) ( * 1690640 )
NEW Metal2 ( 3034640 1690640 ) ( 3038000 * 0 )
NEW Metal2 ( 3027920 496720 ) ( * 1495200 )
NEW Metal2 ( 3027920 1495200 ) ( 3030160 * )
NEW Metal2 ( 3030160 1495200 ) ( * 1664880 )
NEW Metal2 ( 3714480 3920 ) ( 3720080 * )
NEW Metal2 ( 3720080 3920 ) ( * 5040 )
NEW Metal2 ( 3720080 5040 ) ( 3721200 * )
NEW Metal2 ( 3721200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3027920 496720 ) ( 3714480 * )
NEW Metal2 ( 3714480 3920 ) ( * 496720 )
NEW Metal2 ( 3027920 496720 ) Via2_VH
NEW Metal2 ( 3714480 496720 ) Via2_VH ;
- la_data_out[69] ( PIN la_data_out[69] ) ( computer la_data_out[69] ) + USE SIGNAL
+ ROUTED Metal2 ( 3054800 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 3746960 3920 ) ( 3753680 * )
NEW Metal2 ( 3753680 3920 ) ( * 5040 )
NEW Metal2 ( 3753680 5040 ) ( 3754800 * )
NEW Metal2 ( 3754800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3746960 3920 ) ( * 1621200 )
NEW Metal2 ( 3107440 1620080 ) ( * 1657040 )
NEW Metal3 ( 3107440 1620080 ) ( 3208800 * )
NEW Metal3 ( 3208800 1620080 ) ( * 1621200 )
NEW Metal3 ( 3054800 1657040 ) ( 3107440 * )
NEW Metal3 ( 3208800 1621200 ) ( 3746960 * )
NEW Metal2 ( 3054800 1657040 ) Via2_VH
NEW Metal2 ( 3746960 1621200 ) Via2_VH
NEW Metal2 ( 3107440 1657040 ) Via2_VH
NEW Metal2 ( 3107440 1620080 ) Via2_VH ;
- la_data_out[6] ( PIN la_data_out[6] ) ( computer la_data_out[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 1640240 3920 0 ) ( * 92400 )
NEW Metal3 ( 1982960 1651440 ) ( 1993040 * )
NEW Metal3 ( 1640240 92400 ) ( 1982960 * )
NEW Metal2 ( 1982960 92400 ) ( * 1651440 )
NEW Metal2 ( 1993040 1690640 ) ( 1996400 * 0 )
NEW Metal2 ( 1993040 1651440 ) ( * 1690640 )
NEW Metal2 ( 1640240 92400 ) Via2_VH
NEW Metal2 ( 1982960 1651440 ) Via2_VH
NEW Metal2 ( 1993040 1651440 ) Via2_VH
NEW Metal2 ( 1982960 92400 ) Via2_VH ;
- la_data_out[70] ( PIN la_data_out[70] ) ( computer la_data_out[70] ) + USE SIGNAL
+ ROUTED Metal3 ( 3059280 1651440 ) ( 3068240 * )
NEW Metal2 ( 3068240 1651440 ) ( * 1690640 )
NEW Metal2 ( 3068240 1690640 ) ( 3071600 * 0 )
NEW Metal2 ( 3780560 3920 ) ( 3787280 * )
NEW Metal2 ( 3787280 3920 ) ( * 5040 )
NEW Metal2 ( 3787280 5040 ) ( 3788400 * )
NEW Metal2 ( 3788400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3059280 294000 ) ( * 1651440 )
NEW Metal2 ( 3780560 3920 ) ( * 294000 )
NEW Metal3 ( 3059280 294000 ) ( 3780560 * )
NEW Metal2 ( 3059280 294000 ) Via2_VH
NEW Metal2 ( 3059280 1651440 ) Via2_VH
NEW Metal2 ( 3068240 1651440 ) Via2_VH
NEW Metal2 ( 3780560 294000 ) Via2_VH ;
- la_data_out[71] ( PIN la_data_out[71] ) ( computer la_data_out[71] ) + USE SIGNAL
+ ROUTED Metal2 ( 3088400 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3815280 3920 ) ( 3820880 * )
NEW Metal2 ( 3820880 3920 ) ( * 5040 )
NEW Metal2 ( 3820880 5040 ) ( 3822000 * )
NEW Metal2 ( 3822000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3815280 3920 ) ( * 1537200 )
NEW Metal3 ( 3116400 1537200 ) ( 3815280 * )
NEW Metal3 ( 3088400 1651440 ) ( 3116400 * )
NEW Metal2 ( 3116400 1537200 ) ( * 1651440 )
NEW Metal2 ( 3088400 1651440 ) Via2_VH
NEW Metal2 ( 3116400 1537200 ) Via2_VH
NEW Metal2 ( 3815280 1537200 ) Via2_VH
NEW Metal2 ( 3116400 1651440 ) Via2_VH ;
- la_data_out[72] ( PIN la_data_out[72] ) ( computer la_data_out[72] ) + USE SIGNAL
+ ROUTED Metal2 ( 3848880 3920 ) ( 3854480 * )
NEW Metal2 ( 3854480 3920 ) ( * 5040 )
NEW Metal2 ( 3854480 5040 ) ( 3855600 * )
NEW Metal2 ( 3855600 3920 0 ) ( * 5040 )
NEW Metal3 ( 3092880 513520 ) ( 3848880 * )
NEW Metal2 ( 3848880 3920 ) ( * 513520 )
NEW Metal1 ( 3092880 1582000 ) ( 3101840 * )
NEW Metal2 ( 3092880 513520 ) ( * 1582000 )
NEW Metal2 ( 3101840 1690640 ) ( 3105200 * 0 )
NEW Metal2 ( 3101840 1582000 ) ( * 1690640 )
NEW Metal2 ( 3092880 513520 ) Via2_VH
NEW Metal2 ( 3848880 513520 ) Via2_VH
NEW Metal1 ( 3092880 1582000 ) Via1_HV
NEW Metal1 ( 3101840 1582000 ) Via1_HV ;
- la_data_out[73] ( PIN la_data_out[73] ) ( computer la_data_out[73] ) + USE SIGNAL
+ ROUTED Metal2 ( 3882480 3920 ) ( 3888080 * )
NEW Metal2 ( 3888080 3920 ) ( * 5040 )
NEW Metal2 ( 3888080 5040 ) ( 3889200 * )
NEW Metal2 ( 3889200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3109680 428400 ) ( 3882480 * )
NEW Metal2 ( 3882480 3920 ) ( * 428400 )
NEW Metal1 ( 3109680 1590960 ) ( 3118640 * )
NEW Metal2 ( 3109680 428400 ) ( * 1590960 )
NEW Metal2 ( 3118640 1690640 ) ( 3122000 * 0 )
NEW Metal2 ( 3118640 1590960 ) ( * 1690640 )
NEW Metal2 ( 3109680 428400 ) Via2_VH
NEW Metal2 ( 3882480 428400 ) Via2_VH
NEW Metal1 ( 3109680 1590960 ) Via1_HV
NEW Metal1 ( 3118640 1590960 ) Via1_HV ;
- la_data_out[74] ( PIN la_data_out[74] ) ( computer la_data_out[74] ) + USE SIGNAL
+ ROUTED Metal2 ( 3126480 479920 ) ( * 1495200 )
NEW Metal2 ( 3126480 1495200 ) ( 3135440 * )
NEW Metal2 ( 3918320 3920 ) ( 3921680 * )
NEW Metal2 ( 3921680 3920 ) ( * 5040 )
NEW Metal2 ( 3921680 5040 ) ( 3922800 * )
NEW Metal2 ( 3922800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3126480 479920 ) ( 3918320 * )
NEW Metal2 ( 3918320 3920 ) ( * 479920 )
NEW Metal2 ( 3135440 1690640 ) ( 3138800 * 0 )
NEW Metal2 ( 3135440 1495200 ) ( * 1690640 )
NEW Metal2 ( 3126480 479920 ) Via2_VH
NEW Metal2 ( 3918320 479920 ) Via2_VH ;
- la_data_out[75] ( PIN la_data_out[75] ) ( computer la_data_out[75] ) + USE SIGNAL
+ ROUTED Metal2 ( 3950800 3920 ) ( 3955280 * )
NEW Metal2 ( 3955280 3920 ) ( * 5040 )
NEW Metal2 ( 3955280 5040 ) ( 3956400 * )
NEW Metal2 ( 3956400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3144400 530320 ) ( 3950800 * )
NEW Metal2 ( 3144400 530320 ) ( * 1495200 )
NEW Metal2 ( 3144400 1495200 ) ( 3152240 * )
NEW Metal2 ( 3950800 3920 ) ( * 530320 )
NEW Metal2 ( 3152240 1690640 ) ( 3155600 * 0 )
NEW Metal2 ( 3152240 1495200 ) ( * 1690640 )
NEW Metal2 ( 3144400 530320 ) Via2_VH
NEW Metal2 ( 3950800 530320 ) Via2_VH ;
- la_data_out[76] ( PIN la_data_out[76] ) ( computer la_data_out[76] ) + USE SIGNAL
+ ROUTED Metal2 ( 3983280 3920 ) ( 3988880 * )
NEW Metal2 ( 3988880 3920 ) ( * 5040 )
NEW Metal2 ( 3988880 5040 ) ( 3990000 * )
NEW Metal2 ( 3990000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3983280 3920 ) ( * 413840 )
NEW Metal3 ( 3158960 413840 ) ( 3983280 * )
NEW Metal3 ( 3158960 1621200 ) ( 3169040 * )
NEW Metal2 ( 3158960 413840 ) ( * 1621200 )
NEW Metal2 ( 3169040 1690640 ) ( 3172400 * 0 )
NEW Metal2 ( 3169040 1621200 ) ( * 1690640 )
NEW Metal2 ( 3983280 413840 ) Via2_VH
NEW Metal2 ( 3158960 413840 ) Via2_VH
NEW Metal2 ( 3158960 1621200 ) Via2_VH
NEW Metal2 ( 3169040 1621200 ) Via2_VH ;
- la_data_out[77] ( PIN la_data_out[77] ) ( computer la_data_out[77] ) + USE SIGNAL
+ ROUTED Metal2 ( 4025840 3920 0 ) ( * 67760 )
NEW Metal3 ( 4025840 67760 ) ( 4035920 * )
NEW Metal2 ( 4034800 201600 ) ( 4035920 * )
NEW Metal2 ( 4035920 67760 ) ( * 201600 )
NEW Metal2 ( 4034800 201600 ) ( * 463120 )
NEW Metal3 ( 3178000 463120 ) ( 4034800 * )
NEW Metal2 ( 3178000 463120 ) ( * 1495200 )
NEW Metal2 ( 3178000 1495200 ) ( 3185840 * )
NEW Metal2 ( 3185840 1690640 ) ( 3189200 * 0 )
NEW Metal2 ( 3185840 1495200 ) ( * 1690640 )
NEW Metal2 ( 4025840 67760 ) Via2_VH
NEW Metal2 ( 4035920 67760 ) Via2_VH
NEW Metal2 ( 4034800 463120 ) Via2_VH
NEW Metal2 ( 3178000 463120 ) Via2_VH ;
- la_data_out[78] ( PIN la_data_out[78] ) ( computer la_data_out[78] ) + USE SIGNAL
+ ROUTED Metal2 ( 4049360 3920 ) ( 4056080 * )
NEW Metal2 ( 4056080 3920 ) ( * 5040 )
NEW Metal2 ( 4056080 5040 ) ( 4057200 * )
NEW Metal2 ( 4057200 3920 0 ) ( * 5040 )
NEW Metal2 ( 4049360 3920 ) ( * 781200 )
NEW Metal3 ( 3193680 781200 ) ( 4049360 * )
NEW Metal2 ( 3193680 781200 ) ( * 1562400 )
NEW Metal2 ( 3193680 1562400 ) ( 3202640 * )
NEW Metal2 ( 3202640 1690640 ) ( 3206000 * 0 )
NEW Metal2 ( 3202640 1562400 ) ( * 1690640 )
NEW Metal2 ( 4049360 781200 ) Via2_VH
NEW Metal2 ( 3193680 781200 ) Via2_VH ;
- la_data_out[79] ( PIN la_data_out[79] ) ( computer la_data_out[79] ) + USE SIGNAL
+ ROUTED Metal1 ( 3209360 1621200 ) ( 3219440 * )
NEW Metal2 ( 3219440 1621200 ) ( * 1690640 )
NEW Metal2 ( 3219440 1690640 ) ( 3222800 * 0 )
NEW Metal2 ( 4090800 3920 0 ) ( * 62160 )
NEW Metal3 ( 3209360 62160 ) ( 4090800 * )
NEW Metal2 ( 3209360 62160 ) ( * 1621200 )
NEW Metal2 ( 3209360 62160 ) Via2_VH
NEW Metal1 ( 3209360 1621200 ) Via1_HV
NEW Metal1 ( 3219440 1621200 ) Via1_HV
NEW Metal2 ( 4090800 62160 ) Via2_VH ;
- la_data_out[7] ( PIN la_data_out[7] ) ( computer la_data_out[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 1664880 3920 ) ( 1670480 * )
NEW Metal2 ( 1670480 3920 ) ( * 5040 )
NEW Metal2 ( 1670480 5040 ) ( 1671600 * )
NEW Metal2 ( 1671600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1664880 847280 ) ( 1705200 * )
NEW Metal2 ( 1664880 3920 ) ( * 847280 )
NEW Metal2 ( 1705200 847280 ) ( * 1655920 )
NEW Metal3 ( 1705200 1655920 ) ( 2013200 * )
NEW Metal2 ( 2013200 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 1705200 1655920 ) Via2_VH
NEW Metal2 ( 1664880 847280 ) Via2_VH
NEW Metal2 ( 1705200 847280 ) Via2_VH
NEW Metal2 ( 2013200 1655920 ) Via2_VH ;
- la_data_out[80] ( PIN la_data_out[80] ) ( computer la_data_out[80] ) + USE SIGNAL
+ ROUTED Metal1 ( 3226160 1621200 ) ( 3236240 * )
NEW Metal2 ( 3236240 1621200 ) ( * 1690640 )
NEW Metal2 ( 3236240 1690640 ) ( 3239600 * 0 )
NEW Metal2 ( 4116560 3920 ) ( 4123280 * )
NEW Metal2 ( 4123280 3920 ) ( * 5040 )
NEW Metal2 ( 4123280 5040 ) ( 4124400 * )
NEW Metal2 ( 4124400 3920 0 ) ( * 5040 )
NEW Metal3 ( 3226160 547120 ) ( 4116560 * )
NEW Metal2 ( 3226160 547120 ) ( * 1621200 )
NEW Metal2 ( 4116560 3920 ) ( * 547120 )
NEW Metal2 ( 3226160 547120 ) Via2_VH
NEW Metal1 ( 3226160 1621200 ) Via1_HV
NEW Metal1 ( 3236240 1621200 ) Via1_HV
NEW Metal2 ( 4116560 547120 ) Via2_VH ;
- la_data_out[81] ( PIN la_data_out[81] ) ( computer la_data_out[81] ) + USE SIGNAL
+ ROUTED Metal3 ( 3244080 1651440 ) ( 3253040 * )
NEW Metal2 ( 3253040 1651440 ) ( * 1690640 )
NEW Metal2 ( 3253040 1690640 ) ( 3256400 * 0 )
NEW Metal2 ( 4152400 3920 ) ( 4156880 * )
NEW Metal2 ( 4156880 3920 ) ( * 5040 )
NEW Metal2 ( 4156880 5040 ) ( 4158000 * )
NEW Metal2 ( 4158000 3920 0 ) ( * 5040 )
NEW Metal2 ( 3244080 563920 ) ( * 1651440 )
NEW Metal3 ( 3244080 563920 ) ( 4152400 * )
NEW Metal2 ( 4152400 3920 ) ( * 563920 )
NEW Metal2 ( 3244080 1651440 ) Via2_VH
NEW Metal2 ( 3253040 1651440 ) Via2_VH
NEW Metal2 ( 3244080 563920 ) Via2_VH
NEW Metal2 ( 4152400 563920 ) Via2_VH ;
- la_data_out[82] ( PIN la_data_out[82] ) ( computer la_data_out[82] ) + USE SIGNAL
+ ROUTED Metal2 ( 3324720 1641360 ) ( * 1661520 )
NEW Metal3 ( 3273200 1661520 ) ( 3324720 * )
NEW Metal2 ( 3273200 1661520 ) ( * 1690640 0 )
NEW Metal2 ( 4183760 3920 ) ( 4190480 * )
NEW Metal2 ( 4190480 3920 ) ( * 5040 )
NEW Metal2 ( 4190480 5040 ) ( 4191600 * )
NEW Metal2 ( 4191600 3920 0 ) ( * 5040 )
NEW Metal2 ( 4183760 3920 ) ( * 1641360 )
NEW Metal3 ( 3324720 1641360 ) ( 4183760 * )
NEW Metal2 ( 3324720 1641360 ) Via2_VH
NEW Metal2 ( 3324720 1661520 ) Via2_VH
NEW Metal2 ( 3273200 1661520 ) Via2_VH
NEW Metal2 ( 4183760 1641360 ) Via2_VH ;
- la_data_out[83] ( PIN la_data_out[83] ) ( computer la_data_out[83] ) + USE SIGNAL
+ ROUTED Metal2 ( 3286640 1690640 ) ( 3290000 * 0 )
NEW Metal2 ( 4217360 3920 ) ( 4224080 * )
NEW Metal2 ( 4224080 3920 ) ( * 5040 )
NEW Metal2 ( 4224080 5040 ) ( 4225200 * )
NEW Metal2 ( 4225200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3286640 1506960 ) ( * 1690640 )
NEW Metal2 ( 4217360 3920 ) ( * 1506960 )
NEW Metal3 ( 3286640 1506960 ) ( 4217360 * )
NEW Metal2 ( 3286640 1506960 ) Via2_VH
NEW Metal2 ( 4217360 1506960 ) Via2_VH ;
- la_data_out[84] ( PIN la_data_out[84] ) ( computer la_data_out[84] ) + USE SIGNAL
+ ROUTED Metal2 ( 3303440 1690640 ) ( 3306800 * 0 )
NEW Metal2 ( 4250960 3920 ) ( 4257680 * )
NEW Metal2 ( 4257680 3920 ) ( * 5040 )
NEW Metal2 ( 4257680 5040 ) ( 4258800 * )
NEW Metal2 ( 4258800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3303440 1539440 ) ( * 1690640 )
NEW Metal2 ( 4250960 3920 ) ( * 1539440 )
NEW Metal3 ( 3303440 1539440 ) ( 4250960 * )
NEW Metal2 ( 3303440 1539440 ) Via2_VH
NEW Metal2 ( 4250960 1539440 ) Via2_VH ;
- la_data_out[85] ( PIN la_data_out[85] ) ( computer la_data_out[85] ) + USE SIGNAL
+ ROUTED Metal2 ( 3320240 1690640 ) ( 3323600 * 0 )
NEW Metal2 ( 4284560 3920 ) ( 4291280 * )
NEW Metal2 ( 4291280 3920 ) ( * 5040 )
NEW Metal2 ( 4291280 5040 ) ( 4292400 * )
NEW Metal2 ( 4292400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3313520 1491280 ) ( * 1495200 )
NEW Metal2 ( 3313520 1495200 ) ( 3320240 * )
NEW Metal2 ( 3320240 1495200 ) ( * 1690640 )
NEW Metal2 ( 4284560 3920 ) ( * 1491280 )
NEW Metal3 ( 3313520 1491280 ) ( 4284560 * )
NEW Metal2 ( 3313520 1491280 ) Via2_VH
NEW Metal2 ( 4284560 1491280 ) Via2_VH ;
- la_data_out[86] ( PIN la_data_out[86] ) ( computer la_data_out[86] ) + USE SIGNAL
+ ROUTED Metal3 ( 3340400 1651440 ) ( 3351600 * )
NEW Metal2 ( 3340400 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3351600 1524880 ) ( * 1651440 )
NEW Metal2 ( 4318160 3920 ) ( 4324880 * )
NEW Metal2 ( 4324880 3920 ) ( * 5040 )
NEW Metal2 ( 4324880 5040 ) ( 4326000 * )
NEW Metal2 ( 4326000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3351600 1524880 ) ( 4318160 * )
NEW Metal2 ( 4318160 3920 ) ( * 1524880 )
NEW Metal2 ( 3351600 1651440 ) Via2_VH
NEW Metal2 ( 3340400 1651440 ) Via2_VH
NEW Metal2 ( 3351600 1524880 ) Via2_VH
NEW Metal2 ( 4318160 1524880 ) Via2_VH ;
- la_data_out[87] ( PIN la_data_out[87] ) ( computer la_data_out[87] ) + USE SIGNAL
+ ROUTED Metal2 ( 3357200 1680560 ) ( * 1690640 0 )
NEW Metal2 ( 4351760 3920 ) ( 4358480 * )
NEW Metal2 ( 4358480 3920 ) ( * 5040 )
NEW Metal2 ( 4358480 5040 ) ( 4359600 * )
NEW Metal2 ( 4359600 3920 0 ) ( * 5040 )
NEW Metal2 ( 4351760 3920 ) ( * 1680560 )
NEW Metal3 ( 3357200 1680560 ) ( 4351760 * )
NEW Metal2 ( 3357200 1680560 ) Via2_VH
NEW Metal2 ( 4351760 1680560 ) Via2_VH ;
- la_data_out[88] ( PIN la_data_out[88] ) ( computer la_data_out[88] ) + USE SIGNAL
+ ROUTED Metal2 ( 3370640 1690640 ) ( 3374000 * 0 )
NEW Metal2 ( 3362800 1490160 ) ( * 1495200 )
NEW Metal2 ( 3362800 1495200 ) ( 3370640 * )
NEW Metal2 ( 3370640 1495200 ) ( * 1690640 )
NEW Metal2 ( 4385360 3920 ) ( 4392080 * )
NEW Metal2 ( 4392080 3920 ) ( * 5040 )
NEW Metal2 ( 4392080 5040 ) ( 4393200 * )
NEW Metal2 ( 4393200 3920 0 ) ( * 5040 )
NEW Metal3 ( 3362800 1490160 ) ( 4385360 * )
NEW Metal2 ( 4385360 3920 ) ( * 1490160 )
NEW Metal2 ( 3362800 1490160 ) Via2_VH
NEW Metal2 ( 4385360 1490160 ) Via2_VH ;
- la_data_out[89] ( PIN la_data_out[89] ) ( computer la_data_out[89] ) + USE SIGNAL
+ ROUTED Metal3 ( 3378480 1651440 ) ( 3387440 * )
NEW Metal2 ( 3387440 1651440 ) ( * 1690640 )
NEW Metal2 ( 3387440 1690640 ) ( 3390800 * 0 )
NEW Metal2 ( 4418960 3920 ) ( 4425680 * )
NEW Metal2 ( 4425680 3920 ) ( * 5040 )
NEW Metal2 ( 4425680 5040 ) ( 4426800 * )
NEW Metal2 ( 4426800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3378480 1590960 ) ( * 1651440 )
NEW Metal2 ( 4418960 3920 ) ( * 1590960 )
NEW Metal3 ( 3378480 1590960 ) ( 4418960 * )
NEW Metal2 ( 3378480 1651440 ) Via2_VH
NEW Metal2 ( 3387440 1651440 ) Via2_VH
NEW Metal2 ( 3378480 1590960 ) Via2_VH
NEW Metal2 ( 4418960 1590960 ) Via2_VH ;
- la_data_out[8] ( PIN la_data_out[8] ) ( computer la_data_out[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1707440 3920 0 ) ( * 94640 )
NEW Metal2 ( 2019920 1651440 ) ( 2026640 * )
NEW Metal3 ( 1707440 94640 ) ( 2019920 * )
NEW Metal2 ( 2019920 94640 ) ( * 1651440 )
NEW Metal2 ( 2026640 1690640 ) ( 2030000 * 0 )
NEW Metal2 ( 2026640 1651440 ) ( * 1690640 )
NEW Metal2 ( 1707440 94640 ) Via2_VH
NEW Metal2 ( 2019920 94640 ) Via2_VH ;
- la_data_out[90] ( PIN la_data_out[90] ) ( computer la_data_out[90] ) + USE SIGNAL
+ ROUTED Metal2 ( 4452560 3920 ) ( 4459280 * )
NEW Metal2 ( 4459280 3920 ) ( * 5040 )
NEW Metal2 ( 4459280 5040 ) ( 4460400 * )
NEW Metal2 ( 4460400 3920 0 ) ( * 5040 )
NEW Metal2 ( 4452560 3920 ) ( * 747600 )
NEW Metal3 ( 3395280 1618960 ) ( 3404240 * )
NEW Metal2 ( 3404240 1618960 ) ( * 1690640 )
NEW Metal2 ( 3404240 1690640 ) ( 3407600 * 0 )
NEW Metal3 ( 3395280 747600 ) ( 4452560 * )
NEW Metal2 ( 3395280 747600 ) ( * 1618960 )
NEW Metal2 ( 4452560 747600 ) Via2_VH
NEW Metal2 ( 3395280 747600 ) Via2_VH
NEW Metal2 ( 3395280 1618960 ) Via2_VH
NEW Metal2 ( 3404240 1618960 ) Via2_VH ;
- la_data_out[91] ( PIN la_data_out[91] ) ( computer la_data_out[91] ) + USE SIGNAL
+ ROUTED Metal2 ( 4486160 3920 ) ( 4492880 * )
NEW Metal2 ( 4492880 3920 ) ( * 5040 )
NEW Metal2 ( 4492880 5040 ) ( 4494000 * )
NEW Metal2 ( 4494000 3920 0 ) ( * 5040 )
NEW Metal2 ( 4486160 3920 ) ( * 714000 )
NEW Metal3 ( 3410960 1690640 ) ( 3421040 * )
NEW Metal2 ( 3421040 1690640 ) ( 3424400 * 0 )
NEW Metal3 ( 3410960 714000 ) ( 4486160 * )
NEW Metal2 ( 3410960 714000 ) ( * 1690640 )
NEW Metal2 ( 4486160 714000 ) Via2_VH
NEW Metal2 ( 3410960 714000 ) Via2_VH
NEW Metal2 ( 3410960 1690640 ) Via2_VH
NEW Metal2 ( 3421040 1690640 ) Via2_VH ;
- la_data_out[92] ( PIN la_data_out[92] ) ( computer la_data_out[92] ) + USE SIGNAL
+ ROUTED Metal2 ( 4519760 3920 ) ( 4526480 * )
NEW Metal2 ( 4526480 3920 ) ( * 5040 )
NEW Metal2 ( 4526480 5040 ) ( 4527600 * )
NEW Metal2 ( 4527600 3920 0 ) ( * 5040 )
NEW Metal2 ( 4519760 3920 ) ( * 680400 )
NEW Metal1 ( 3427760 1631280 ) ( 3437840 * )
NEW Metal2 ( 3437840 1631280 ) ( * 1690640 )
NEW Metal2 ( 3437840 1690640 ) ( 3441200 * 0 )
NEW Metal3 ( 3427760 680400 ) ( 4519760 * )
NEW Metal2 ( 3427760 680400 ) ( * 1631280 )
NEW Metal2 ( 4519760 680400 ) Via2_VH
NEW Metal2 ( 3427760 680400 ) Via2_VH
NEW Metal1 ( 3427760 1631280 ) Via1_HV
NEW Metal1 ( 3437840 1631280 ) Via1_HV ;
- la_data_out[93] ( PIN la_data_out[93] ) ( computer la_data_out[93] ) + USE SIGNAL
+ ROUTED Metal2 ( 3454640 1690640 ) ( 3458000 * 0 )
NEW Metal2 ( 4553360 3920 ) ( 4560080 * )
NEW Metal2 ( 4560080 3920 ) ( * 5040 )
NEW Metal2 ( 4560080 5040 ) ( 4561200 * )
NEW Metal2 ( 4561200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3444560 865200 ) ( * 1495200 )
NEW Metal2 ( 3444560 1495200 ) ( 3454640 * )
NEW Metal2 ( 3454640 1495200 ) ( * 1690640 )
NEW Metal3 ( 3444560 865200 ) ( 4553360 * )
NEW Metal2 ( 4553360 3920 ) ( * 865200 )
NEW Metal2 ( 3444560 865200 ) Via2_VH
NEW Metal2 ( 4553360 865200 ) Via2_VH ;
- la_data_out[94] ( PIN la_data_out[94] ) ( computer la_data_out[94] ) + USE SIGNAL
+ ROUTED Metal3 ( 3461360 1651440 ) ( 3471440 * )
NEW Metal2 ( 3471440 1651440 ) ( * 1690640 )
NEW Metal2 ( 3471440 1690640 ) ( 3474800 * 0 )
NEW Metal2 ( 4586960 3920 ) ( 4593680 * )
NEW Metal2 ( 4593680 3920 ) ( * 5040 )
NEW Metal2 ( 4593680 5040 ) ( 4594800 * )
NEW Metal2 ( 4594800 3920 0 ) ( * 5040 )
NEW Metal3 ( 3461360 244720 ) ( 4586960 * )
NEW Metal2 ( 3461360 244720 ) ( * 1651440 )
NEW Metal2 ( 4586960 3920 ) ( * 244720 )
NEW Metal2 ( 3461360 244720 ) Via2_VH
NEW Metal2 ( 3461360 1651440 ) Via2_VH
NEW Metal2 ( 3471440 1651440 ) Via2_VH
NEW Metal2 ( 4586960 244720 ) Via2_VH ;
- la_data_out[95] ( PIN la_data_out[95] ) ( computer la_data_out[95] ) + USE SIGNAL
+ ROUTED Metal2 ( 3488240 1690640 ) ( 3491600 * 0 )
NEW Metal2 ( 4620560 3920 ) ( 4627280 * )
NEW Metal2 ( 4627280 3920 ) ( * 5040 )
NEW Metal2 ( 4627280 5040 ) ( 4628400 * )
NEW Metal2 ( 4628400 3920 0 ) ( * 5040 )
NEW Metal2 ( 3479280 898800 ) ( * 1495200 )
NEW Metal2 ( 3479280 1495200 ) ( 3488240 * )
NEW Metal2 ( 3488240 1495200 ) ( * 1690640 )
NEW Metal3 ( 3479280 898800 ) ( 4620560 * )
NEW Metal2 ( 4620560 3920 ) ( * 898800 )
NEW Metal2 ( 3479280 898800 ) Via2_VH
NEW Metal2 ( 4620560 898800 ) Via2_VH ;
- la_data_out[96] ( PIN la_data_out[96] ) ( computer la_data_out[96] ) + USE SIGNAL
+ ROUTED Metal3 ( 3494960 1690640 ) ( 3505040 * )
NEW Metal2 ( 3505040 1690640 ) ( 3508400 * 0 )
NEW Metal2 ( 3494960 932400 ) ( * 1690640 )
NEW Metal2 ( 4654160 3920 ) ( 4660880 * )
NEW Metal2 ( 4660880 3920 ) ( * 5040 )
NEW Metal2 ( 4660880 5040 ) ( 4662000 * )
NEW Metal2 ( 4662000 3920 0 ) ( * 5040 )
NEW Metal3 ( 3494960 932400 ) ( 4654160 * )
NEW Metal2 ( 4654160 3920 ) ( * 932400 )
NEW Metal2 ( 3494960 932400 ) Via2_VH
NEW Metal2 ( 3494960 1690640 ) Via2_VH
NEW Metal2 ( 3505040 1690640 ) Via2_VH
NEW Metal2 ( 4654160 932400 ) Via2_VH ;
- la_data_out[97] ( PIN la_data_out[97] ) ( computer la_data_out[97] ) + USE SIGNAL
+ ROUTED Metal2 ( 3521840 1690640 ) ( 3525200 * 0 )
NEW Metal2 ( 4687760 3920 ) ( 4694480 * )
NEW Metal2 ( 4694480 3920 ) ( * 5040 )
NEW Metal2 ( 4694480 5040 ) ( 4695600 * )
NEW Metal2 ( 4695600 3920 0 ) ( * 5040 )
NEW Metal2 ( 3512880 966000 ) ( * 1495200 )
NEW Metal2 ( 3512880 1495200 ) ( 3521840 * )
NEW Metal2 ( 3521840 1495200 ) ( * 1690640 )
NEW Metal2 ( 4687760 3920 ) ( * 966000 )
NEW Metal3 ( 3512880 966000 ) ( 4687760 * )
NEW Metal2 ( 3512880 966000 ) Via2_VH
NEW Metal2 ( 4687760 966000 ) Via2_VH ;
- la_data_out[98] ( PIN la_data_out[98] ) ( computer la_data_out[98] ) + USE SIGNAL
+ ROUTED Metal2 ( 3538640 1690640 ) ( 3542000 * 0 )
NEW Metal2 ( 4721360 3920 ) ( 4728080 * )
NEW Metal2 ( 4728080 3920 ) ( * 5040 )
NEW Metal2 ( 4728080 5040 ) ( 4729200 * )
NEW Metal2 ( 4729200 3920 0 ) ( * 5040 )
NEW Metal2 ( 3530800 1473360 ) ( * 1495200 )
NEW Metal2 ( 3530800 1495200 ) ( 3538640 * )
NEW Metal2 ( 3538640 1495200 ) ( * 1690640 )
NEW Metal2 ( 4721360 3920 ) ( * 1473360 )
NEW Metal3 ( 3530800 1473360 ) ( 4721360 * )
NEW Metal2 ( 3530800 1473360 ) Via2_VH
NEW Metal2 ( 4721360 1473360 ) Via2_VH ;
- la_data_out[99] ( PIN la_data_out[99] ) ( computer la_data_out[99] ) + USE SIGNAL
+ ROUTED Metal2 ( 3555440 1690640 ) ( 3558800 * 0 )
NEW Metal2 ( 4754960 3920 ) ( 4761680 * )
NEW Metal2 ( 4761680 3920 ) ( * 5040 )
NEW Metal2 ( 4761680 5040 ) ( 4762800 * )
NEW Metal2 ( 4762800 3920 0 ) ( * 5040 )
NEW Metal2 ( 3547600 1472240 ) ( * 1495200 )
NEW Metal2 ( 3547600 1495200 ) ( 3555440 * )
NEW Metal2 ( 3555440 1495200 ) ( * 1690640 )
NEW Metal2 ( 4754960 3920 ) ( * 1472240 )
NEW Metal3 ( 3547600 1472240 ) ( 4754960 * )
NEW Metal2 ( 3547600 1472240 ) Via2_VH
NEW Metal2 ( 4754960 1472240 ) Via2_VH ;
- la_data_out[9] ( PIN la_data_out[9] ) ( computer la_data_out[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 1734320 3920 ) ( 1737680 * )
NEW Metal2 ( 1737680 3920 ) ( * 5040 )
NEW Metal2 ( 1737680 5040 ) ( 1738800 * )
NEW Metal2 ( 1738800 3920 0 ) ( * 5040 )
NEW Metal2 ( 2017680 1641360 ) ( * 1650320 )
NEW Metal3 ( 1734320 1641360 ) ( 2017680 * )
NEW Metal2 ( 1734320 3920 ) ( * 1641360 )
NEW Metal3 ( 2017680 1650320 ) ( 2046800 * )
NEW Metal2 ( 2046800 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 1734320 1641360 ) Via2_VH
NEW Metal2 ( 2017680 1641360 ) Via2_VH
NEW Metal2 ( 2017680 1650320 ) Via2_VH
NEW Metal2 ( 2046800 1650320 ) Via2_VH ;
- la_oenb[0] ( PIN la_oenb[0] ) ( computer la_oenb[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 1898960 1690640 ) ( 1901200 * 0 )
NEW Metal2 ( 1449840 3920 0 ) ( * 93520 )
NEW Metal2 ( 1898960 93520 ) ( * 1690640 )
NEW Metal3 ( 1449840 93520 ) ( 1898960 * )
NEW Metal2 ( 1449840 93520 ) Via2_VH
NEW Metal2 ( 1898960 93520 ) Via2_VH ;
- la_oenb[100] ( PIN la_oenb[100] ) ( computer la_oenb[100] ) + USE SIGNAL
+ ROUTED Metal3 ( 3580080 999600 ) ( 4805360 * )
NEW Metal2 ( 4807600 3920 0 ) ( * 84000 )
NEW Metal2 ( 4805360 84000 ) ( 4807600 * )
NEW Metal2 ( 4805360 84000 ) ( * 999600 )
NEW Metal2 ( 3580080 999600 ) ( * 1562400 )
NEW Metal2 ( 3580080 1562400 ) ( 3584560 * )
NEW Metal2 ( 3581200 1690640 0 ) ( 3584560 * )
NEW Metal2 ( 3584560 1562400 ) ( * 1690640 )
NEW Metal2 ( 3580080 999600 ) Via2_VH
NEW Metal2 ( 4805360 999600 ) Via2_VH ;
- la_oenb[101] ( PIN la_oenb[101] ) ( computer la_oenb[101] ) + USE SIGNAL
+ ROUTED Metal2 ( 3599120 1033200 ) ( * 1495200 )
NEW Metal2 ( 3599120 1495200 ) ( 3601360 * )
NEW Metal2 ( 4838960 201600 ) ( 4841200 * )
NEW Metal2 ( 4841200 3920 0 ) ( * 201600 )
NEW Metal3 ( 3599120 1033200 ) ( 4838960 * )
NEW Metal2 ( 4838960 201600 ) ( * 1033200 )
NEW Metal2 ( 3598000 1690640 0 ) ( 3601360 * )
NEW Metal2 ( 3601360 1495200 ) ( * 1690640 )
NEW Metal2 ( 3599120 1033200 ) Via2_VH
NEW Metal2 ( 4838960 1033200 ) Via2_VH ;
- la_oenb[102] ( PIN la_oenb[102] ) ( computer la_oenb[102] ) + USE SIGNAL
+ ROUTED Metal2 ( 4874800 3920 0 ) ( * 84000 )
NEW Metal2 ( 4872560 84000 ) ( 4874800 * )
NEW Metal3 ( 3614800 1066800 ) ( 4872560 * )
NEW Metal2 ( 4872560 84000 ) ( * 1066800 )
NEW Metal2 ( 3614800 1066800 ) ( * 1690640 0 )
NEW Metal2 ( 3614800 1066800 ) Via2_VH
NEW Metal2 ( 4872560 1066800 ) Via2_VH ;
- la_oenb[103] ( PIN la_oenb[103] ) ( computer la_oenb[103] ) + USE SIGNAL
+ ROUTED Metal2 ( 4907280 201600 ) ( * 261520 )
NEW Metal2 ( 4907280 201600 ) ( 4908400 * )
NEW Metal2 ( 4908400 3920 0 ) ( * 201600 )
NEW Metal3 ( 3630480 261520 ) ( 4907280 * )
NEW Metal2 ( 3630480 261520 ) ( * 1495200 )
NEW Metal2 ( 3630480 1495200 ) ( 3631600 * )
NEW Metal2 ( 3631600 1495200 ) ( * 1690640 0 )
NEW Metal2 ( 4907280 261520 ) Via2_VH
NEW Metal2 ( 3630480 261520 ) Via2_VH ;
- la_oenb[104] ( PIN la_oenb[104] ) ( computer la_oenb[104] ) + USE SIGNAL
+ ROUTED Metal2 ( 4942000 3920 0 ) ( * 84000 )
NEW Metal2 ( 4939760 84000 ) ( 4942000 * )
NEW Metal2 ( 4939760 84000 ) ( * 1489040 )
NEW Metal3 ( 3648400 1489040 ) ( 4939760 * )
NEW Metal2 ( 3648400 1489040 ) ( * 1495200 )
NEW Metal2 ( 3648400 1495200 ) ( 3649520 * )
NEW Metal2 ( 3648400 1680000 ) ( 3649520 * )
NEW Metal2 ( 3648400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3649520 1646400 ) ( * 1680000 )
NEW Metal2 ( 3647280 1587600 ) ( 3649520 * )
NEW Metal2 ( 3647280 1587600 ) ( * 1646400 )
NEW Metal2 ( 3647280 1646400 ) ( 3649520 * )
NEW Metal2 ( 3649520 1495200 ) ( * 1587600 )
NEW Metal2 ( 4939760 1489040 ) Via2_VH
NEW Metal2 ( 3648400 1489040 ) Via2_VH ;
- la_oenb[105] ( PIN la_oenb[105] ) ( computer la_oenb[105] ) + USE SIGNAL
+ ROUTED Metal2 ( 4975600 3920 0 ) ( * 127120 )
NEW Metal3 ( 3664080 127120 ) ( 4975600 * )
NEW Metal2 ( 3664080 127120 ) ( * 1562400 )
NEW Metal2 ( 3664080 1562400 ) ( 3665200 * )
NEW Metal2 ( 3665200 1562400 ) ( * 1690640 0 )
NEW Metal2 ( 4975600 127120 ) Via2_VH
NEW Metal2 ( 3664080 127120 ) Via2_VH ;
- la_oenb[106] ( PIN la_oenb[106] ) ( computer la_oenb[106] ) + USE SIGNAL
+ ROUTED Metal2 ( 5008080 201600 ) ( * 295120 )
NEW Metal2 ( 5008080 201600 ) ( 5009200 * )
NEW Metal2 ( 5009200 3920 0 ) ( * 201600 )
NEW Metal2 ( 3680880 1652560 ) ( 3682000 * )
NEW Metal3 ( 3680880 295120 ) ( 5008080 * )
NEW Metal2 ( 3680880 295120 ) ( * 1652560 )
NEW Metal2 ( 3682000 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 5008080 295120 ) Via2_VH
NEW Metal2 ( 3680880 295120 ) Via2_VH ;
- la_oenb[107] ( PIN la_oenb[107] ) ( computer la_oenb[107] ) + USE SIGNAL
+ ROUTED Metal2 ( 3697680 1651440 ) ( 3698800 * )
NEW Metal2 ( 3697680 412720 ) ( * 1651440 )
NEW Metal2 ( 5041680 201600 ) ( 5042800 * )
NEW Metal2 ( 5042800 3920 0 ) ( * 201600 )
NEW Metal3 ( 3697680 412720 ) ( 5041680 * )
NEW Metal2 ( 5041680 201600 ) ( * 412720 )
NEW Metal2 ( 3698800 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3697680 412720 ) Via2_VH
NEW Metal2 ( 5041680 412720 ) Via2_VH ;
- la_oenb[108] ( PIN la_oenb[108] ) ( computer la_oenb[108] ) + USE SIGNAL
+ ROUTED Metal3 ( 3713360 143920 ) ( 5076400 * )
NEW Metal2 ( 5076400 3920 0 ) ( * 143920 )
NEW Metal2 ( 3713360 1690640 ) ( 3715600 * 0 )
NEW Metal2 ( 3713360 143920 ) ( * 1690640 )
NEW Metal2 ( 3713360 143920 ) Via2_VH
NEW Metal2 ( 5076400 143920 ) Via2_VH ;
- la_oenb[109] ( PIN la_oenb[109] ) ( computer la_oenb[109] ) + USE SIGNAL
+ ROUTED Metal2 ( 5108880 201600 ) ( 5110000 * )
NEW Metal2 ( 5110000 3920 0 ) ( * 201600 )
NEW Metal3 ( 3732400 1555120 ) ( 5108880 * )
NEW Metal2 ( 5108880 201600 ) ( * 1555120 )
NEW Metal2 ( 3732400 1555120 ) ( * 1690640 0 )
NEW Metal2 ( 3732400 1555120 ) Via2_VH
NEW Metal2 ( 5108880 1555120 ) Via2_VH ;
- la_oenb[10] ( PIN la_oenb[10] ) ( computer la_oenb[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 1785840 3920 0 ) ( * 111440 )
NEW Metal3 ( 1785840 111440 ) ( 2069200 * )
NEW Metal2 ( 2069200 111440 ) ( * 1690640 0 )
NEW Metal2 ( 1785840 111440 ) Via2_VH
NEW Metal2 ( 2069200 111440 ) Via2_VH ;
- la_oenb[110] ( PIN la_oenb[110] ) ( computer la_oenb[110] ) + USE SIGNAL
+ ROUTED Metal2 ( 3748080 1651440 ) ( 3749200 * )
NEW Metal2 ( 3748080 159600 ) ( * 1651440 )
NEW Metal2 ( 5143600 3920 0 ) ( * 159600 )
NEW Metal3 ( 3748080 159600 ) ( 5143600 * )
NEW Metal2 ( 3749200 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3748080 159600 ) Via2_VH
NEW Metal2 ( 5143600 159600 ) Via2_VH ;
- la_oenb[111] ( PIN la_oenb[111] ) ( computer la_oenb[111] ) + USE SIGNAL
+ ROUTED Metal2 ( 3764880 1614480 ) ( 3767120 * )
NEW Metal2 ( 3764880 445200 ) ( * 1614480 )
NEW Metal2 ( 5177200 3920 0 ) ( * 84000 )
NEW Metal2 ( 5174960 84000 ) ( 5177200 * )
NEW Metal2 ( 5174960 84000 ) ( * 445200 )
NEW Metal3 ( 3764880 445200 ) ( 5174960 * )
NEW Metal2 ( 3767120 1614480 ) ( * 1680000 )
NEW Metal2 ( 3766000 1680000 ) ( 3767120 * )
NEW Metal2 ( 3766000 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3764880 445200 ) Via2_VH
NEW Metal2 ( 5174960 445200 ) Via2_VH ;
- la_oenb[112] ( PIN la_oenb[112] ) ( computer la_oenb[112] ) + USE SIGNAL
+ ROUTED Metal2 ( 3781680 1650320 ) ( 3782800 * )
NEW Metal2 ( 3782800 429520 ) ( * 1650320 )
NEW Metal2 ( 5210800 3920 0 ) ( * 84000 )
NEW Metal2 ( 5208560 84000 ) ( 5210800 * )
NEW Metal2 ( 5208560 84000 ) ( * 429520 )
NEW Metal3 ( 3782800 429520 ) ( 5208560 * )
NEW Metal2 ( 3781680 1650320 ) ( * 1680000 )
NEW Metal2 ( 3781680 1680000 ) ( 3782800 * )
NEW Metal2 ( 3782800 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3782800 429520 ) Via2_VH
NEW Metal2 ( 5208560 429520 ) Via2_VH ;
- la_oenb[113] ( PIN la_oenb[113] ) ( computer la_oenb[113] ) + USE SIGNAL
+ ROUTED Metal2 ( 3798480 1614480 ) ( 3800720 * )
NEW Metal2 ( 3798480 395920 ) ( * 1614480 )
NEW Metal2 ( 5244400 3920 0 ) ( * 84000 )
NEW Metal2 ( 5242160 84000 ) ( 5244400 * )
NEW Metal2 ( 5242160 84000 ) ( * 395920 )
NEW Metal3 ( 3798480 395920 ) ( 5242160 * )
NEW Metal2 ( 3800720 1614480 ) ( * 1680000 )
NEW Metal2 ( 3799600 1680000 ) ( 3800720 * )
NEW Metal2 ( 3799600 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3798480 395920 ) Via2_VH
NEW Metal2 ( 5242160 395920 ) Via2_VH ;
- la_oenb[114] ( PIN la_oenb[114] ) ( computer la_oenb[114] ) + USE SIGNAL
+ ROUTED Metal2 ( 5278000 3920 0 ) ( * 43120 )
NEW Metal3 ( 3814160 43120 ) ( 5278000 * )
NEW Metal2 ( 3814160 1690640 ) ( 3816400 * 0 )
NEW Metal2 ( 3814160 43120 ) ( * 1690640 )
NEW Metal2 ( 3814160 43120 ) Via2_VH
NEW Metal2 ( 5278000 43120 ) Via2_VH ;
- la_oenb[115] ( PIN la_oenb[115] ) ( computer la_oenb[115] ) + USE SIGNAL
+ ROUTED Metal2 ( 3832080 1650320 ) ( 3833200 * )
NEW Metal2 ( 3833200 613200 ) ( * 1650320 )
NEW Metal2 ( 5310480 201600 ) ( 5311600 * )
NEW Metal2 ( 5311600 3920 0 ) ( * 201600 )
NEW Metal3 ( 3833200 613200 ) ( 5310480 * )
NEW Metal2 ( 5310480 201600 ) ( * 613200 )
NEW Metal2 ( 3832080 1650320 ) ( * 1680000 )
NEW Metal2 ( 3832080 1680000 ) ( 3833200 * )
NEW Metal2 ( 3833200 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3833200 613200 ) Via2_VH
NEW Metal2 ( 5310480 613200 ) Via2_VH ;
- la_oenb[116] ( PIN la_oenb[116] ) ( computer la_oenb[116] ) + USE SIGNAL
+ ROUTED Metal3 ( 3847760 193200 ) ( 5345200 * )
NEW Metal2 ( 5345200 3920 0 ) ( * 193200 )
NEW Metal2 ( 3847760 1690640 ) ( 3850000 * 0 )
NEW Metal2 ( 3847760 193200 ) ( * 1690640 )
NEW Metal2 ( 3847760 193200 ) Via2_VH
NEW Metal2 ( 5345200 193200 ) Via2_VH ;
- la_oenb[117] ( PIN la_oenb[117] ) ( computer la_oenb[117] ) + USE SIGNAL
+ ROUTED Metal2 ( 5376560 201600 ) ( 5378800 * )
NEW Metal2 ( 5378800 3920 0 ) ( * 201600 )
NEW Metal2 ( 5376560 201600 ) ( * 1471120 )
NEW Metal2 ( 3865680 1650320 ) ( 3866800 * )
NEW Metal3 ( 3866800 1471120 ) ( 5376560 * )
NEW Metal2 ( 3866800 1471120 ) ( * 1650320 )
NEW Metal2 ( 3865680 1650320 ) ( * 1680000 )
NEW Metal2 ( 3865680 1680000 ) ( 3866800 * )
NEW Metal2 ( 3866800 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 5376560 1471120 ) Via2_VH
NEW Metal2 ( 3866800 1471120 ) Via2_VH ;
- la_oenb[118] ( PIN la_oenb[118] ) ( computer la_oenb[118] ) + USE SIGNAL
+ ROUTED Metal2 ( 5412400 3920 0 ) ( * 42000 )
NEW Metal3 ( 3881360 40880 ) ( 3906000 * )
NEW Metal4 ( 3906000 40880 ) ( 3908240 * )
NEW Metal4 ( 3908240 40880 ) ( * 42000 )
NEW Metal3 ( 3908240 42000 ) ( 5412400 * )
NEW Metal2 ( 3881360 1690640 ) ( 3883600 * 0 )
NEW Metal2 ( 3881360 40880 ) ( * 1690640 )
NEW Metal2 ( 5412400 42000 ) Via2_VH
NEW Metal2 ( 3881360 40880 ) Via2_VH
NEW Metal3 ( 3906000 40880 ) Via3_HV
NEW Metal3 ( 3908240 42000 ) Via3_HV ;
- la_oenb[119] ( PIN la_oenb[119] ) ( computer la_oenb[119] ) + USE SIGNAL
+ ROUTED Metal2 ( 5444880 201600 ) ( * 211120 )
NEW Metal2 ( 5444880 201600 ) ( 5446000 * )
NEW Metal2 ( 5446000 3920 0 ) ( * 201600 )
NEW Metal3 ( 3900400 211120 ) ( 5444880 * )
NEW Metal2 ( 3900400 211120 ) ( * 1690640 0 )
NEW Metal2 ( 5444880 211120 ) Via2_VH
NEW Metal2 ( 3900400 211120 ) Via2_VH ;
- la_oenb[11] ( PIN la_oenb[11] ) ( computer la_oenb[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 2083760 1690640 ) ( 2086000 * 0 )
NEW Metal2 ( 1819440 3920 0 ) ( * 42000 )
NEW Metal3 ( 1819440 42000 ) ( 2083760 * )
NEW Metal2 ( 2083760 42000 ) ( * 1690640 )
NEW Metal2 ( 2083760 42000 ) Via2_VH
NEW Metal2 ( 1819440 42000 ) Via2_VH ;
- la_oenb[120] ( PIN la_oenb[120] ) ( computer la_oenb[120] ) + USE SIGNAL
+ ROUTED Metal2 ( 5479600 3920 0 ) ( * 126000 )
NEW Metal3 ( 3916080 126000 ) ( 5479600 * )
NEW Metal2 ( 3916080 126000 ) ( * 1680000 )
NEW Metal2 ( 3916080 1680000 ) ( 3917200 * )
NEW Metal2 ( 3917200 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 5479600 126000 ) Via2_VH
NEW Metal2 ( 3916080 126000 ) Via2_VH ;
- la_oenb[121] ( PIN la_oenb[121] ) ( computer la_oenb[121] ) + USE SIGNAL
+ ROUTED Metal2 ( 3932880 1651440 ) ( 3934000 * )
NEW Metal2 ( 3932880 394800 ) ( * 1651440 )
NEW Metal2 ( 5512080 201600 ) ( 5513200 * )
NEW Metal2 ( 5513200 3920 0 ) ( * 201600 )
NEW Metal3 ( 3932880 394800 ) ( 5512080 * )
NEW Metal2 ( 5512080 201600 ) ( * 394800 )
NEW Metal2 ( 3934000 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3932880 394800 ) Via2_VH
NEW Metal2 ( 5512080 394800 ) Via2_VH ;
- la_oenb[122] ( PIN la_oenb[122] ) ( computer la_oenb[122] ) + USE SIGNAL
+ ROUTED Metal2 ( 3949680 1650320 ) ( 3950800 * )
NEW Metal2 ( 3950800 630000 ) ( * 1650320 )
NEW Metal2 ( 5544560 201600 ) ( 5546800 * )
NEW Metal2 ( 5546800 3920 0 ) ( * 201600 )
NEW Metal3 ( 3950800 630000 ) ( 5544560 * )
NEW Metal2 ( 5544560 201600 ) ( * 630000 )
NEW Metal2 ( 3949680 1650320 ) ( * 1680000 )
NEW Metal2 ( 3949680 1680000 ) ( 3950800 * )
NEW Metal2 ( 3950800 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3950800 630000 ) Via2_VH
NEW Metal2 ( 5544560 630000 ) Via2_VH ;
- la_oenb[123] ( PIN la_oenb[123] ) ( computer la_oenb[123] ) + USE SIGNAL
+ ROUTED Metal2 ( 3967600 1653680 ) ( 3968720 * )
NEW Metal2 ( 3968720 428400 ) ( * 1653680 )
NEW Metal2 ( 5579280 201600 ) ( 5580400 * )
NEW Metal2 ( 5580400 3920 0 ) ( * 201600 )
NEW Metal3 ( 3968720 428400 ) ( 5579280 * )
NEW Metal2 ( 5579280 201600 ) ( * 428400 )
NEW Metal2 ( 3967600 1653680 ) ( * 1690640 0 )
NEW Metal2 ( 3968720 428400 ) Via2_VH
NEW Metal2 ( 5579280 428400 ) Via2_VH ;
- la_oenb[124] ( PIN la_oenb[124] ) ( computer la_oenb[124] ) + USE SIGNAL
+ ROUTED Metal2 ( 3982160 1650320 ) ( 3983280 * )
NEW Metal2 ( 3983280 1436400 ) ( * 1650320 )
NEW Metal2 ( 5611760 201600 ) ( 5614000 * )
NEW Metal2 ( 5614000 3920 0 ) ( * 201600 )
NEW Metal2 ( 5611760 201600 ) ( * 1436400 )
NEW Metal3 ( 3983280 1436400 ) ( 5611760 * )
NEW Metal2 ( 3982160 1690640 ) ( 3984400 * 0 )
NEW Metal2 ( 3982160 1650320 ) ( * 1690640 )
NEW Metal2 ( 3983280 1436400 ) Via2_VH
NEW Metal2 ( 5611760 1436400 ) Via2_VH ;
- la_oenb[125] ( PIN la_oenb[125] ) ( computer la_oenb[125] ) + USE SIGNAL
+ ROUTED Metal2 ( 4000080 1655920 ) ( 4001200 * )
NEW Metal2 ( 5649840 3920 0 ) ( * 42000 )
NEW Metal3 ( 5649840 42000 ) ( 5663280 * )
NEW Metal2 ( 4000080 462000 ) ( * 1655920 )
NEW Metal2 ( 5663280 42000 ) ( * 462000 )
NEW Metal3 ( 4000080 462000 ) ( 5663280 * )
NEW Metal2 ( 4001200 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 4000080 462000 ) Via2_VH
NEW Metal2 ( 5649840 42000 ) Via2_VH
NEW Metal2 ( 5663280 42000 ) Via2_VH
NEW Metal2 ( 5663280 462000 ) Via2_VH ;
- la_oenb[126] ( PIN la_oenb[126] ) ( computer la_oenb[126] ) + USE SIGNAL
+ ROUTED Metal2 ( 5681200 3920 0 ) ( * 40880 )
NEW Metal2 ( 5485200 42000 ) ( * 1588720 )
NEW Metal3 ( 5485200 42000 ) ( 5493600 * )
NEW Metal3 ( 5493600 40880 ) ( * 42000 )
NEW Metal3 ( 5493600 40880 ) ( 5681200 * )
NEW Metal3 ( 4015760 1588720 ) ( 5485200 * )
NEW Metal2 ( 4015760 1690640 ) ( 4018000 * 0 )
NEW Metal2 ( 4015760 1588720 ) ( * 1690640 )
NEW Metal2 ( 5485200 42000 ) Via2_VH
NEW Metal2 ( 5681200 40880 ) Via2_VH
NEW Metal2 ( 4015760 1588720 ) Via2_VH
NEW Metal2 ( 5485200 1588720 ) Via2_VH ;
- la_oenb[127] ( PIN la_oenb[127] ) ( computer la_oenb[127] ) + USE SIGNAL
+ ROUTED Metal2 ( 5717040 3920 0 ) ( * 49840 )
NEW Metal2 ( 4033680 1420720 ) ( * 1495200 )
NEW Metal2 ( 4033680 1495200 ) ( 4034800 * )
NEW Metal2 ( 4034800 1495200 ) ( * 1690640 0 )
NEW Metal3 ( 5717040 49840 ) ( 5747280 * )
NEW Metal3 ( 4033680 1420720 ) ( 5747280 * )
NEW Metal2 ( 5747280 49840 ) ( * 1420720 )
NEW Metal2 ( 4033680 1420720 ) Via2_VH
NEW Metal2 ( 5717040 49840 ) Via2_VH
NEW Metal2 ( 5747280 49840 ) Via2_VH
NEW Metal2 ( 5747280 1420720 ) Via2_VH ;
- la_oenb[12] ( PIN la_oenb[12] ) ( computer la_oenb[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 1853040 3920 0 ) ( * 43120 )
NEW Metal2 ( 2100560 1690640 ) ( 2102800 * 0 )
NEW Metal3 ( 1853040 43120 ) ( 2100560 * )
NEW Metal2 ( 2100560 43120 ) ( * 1690640 )
NEW Metal2 ( 1853040 43120 ) Via2_VH
NEW Metal2 ( 2100560 43120 ) Via2_VH ;
- la_oenb[13] ( PIN la_oenb[13] ) ( computer la_oenb[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 1884400 3920 0 ) ( * 142800 )
NEW Metal3 ( 1884400 142800 ) ( 2108400 * )
NEW Metal3 ( 2108400 1646960 ) ( 2119600 * )
NEW Metal2 ( 2108400 142800 ) ( * 1646960 )
NEW Metal2 ( 2119600 1646960 ) ( * 1690640 0 )
NEW Metal2 ( 1884400 142800 ) Via2_VH
NEW Metal2 ( 2108400 142800 ) Via2_VH
NEW Metal2 ( 2108400 1646960 ) Via2_VH
NEW Metal2 ( 2119600 1646960 ) Via2_VH ;
- la_oenb[14] ( PIN la_oenb[14] ) ( computer la_oenb[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 2134160 1690640 ) ( 2136400 * 0 )
NEW Metal2 ( 1916880 201600 ) ( * 260400 )
NEW Metal2 ( 1916880 201600 ) ( 1918000 * )
NEW Metal2 ( 1918000 3920 0 ) ( * 201600 )
NEW Metal3 ( 1916880 260400 ) ( 2136400 * )
NEW Metal1 ( 2134160 1622320 ) ( * 1623440 )
NEW Metal1 ( 2134160 1622320 ) ( 2136400 * )
NEW Metal2 ( 2134160 1623440 ) ( * 1690640 )
NEW Metal2 ( 2136400 260400 ) ( * 1622320 )
NEW Metal2 ( 1916880 260400 ) Via2_VH
NEW Metal2 ( 2136400 260400 ) Via2_VH
NEW Metal1 ( 2134160 1623440 ) Via1_HV
NEW Metal1 ( 2136400 1622320 ) Via1_HV ;
- la_oenb[15] ( PIN la_oenb[15] ) ( computer la_oenb[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 1953840 3920 0 ) ( * 45360 )
NEW Metal2 ( 2153200 1690640 0 ) ( 2155440 * )
NEW Metal2 ( 2155440 45360 ) ( * 1690640 )
NEW Metal3 ( 1953840 45360 ) ( 2155440 * )
NEW Metal2 ( 1953840 45360 ) Via2_VH
NEW Metal2 ( 2155440 45360 ) Via2_VH ;
- la_oenb[16] ( PIN la_oenb[16] ) ( computer la_oenb[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 2170000 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 1986320 3920 0 ) ( * 1557360 )
NEW Metal3 ( 1986320 1557360 ) ( 2142000 * )
NEW Metal2 ( 2142000 1557360 ) ( * 1652560 )
NEW Metal3 ( 2142000 1652560 ) ( 2170000 * )
NEW Metal2 ( 2170000 1652560 ) Via2_VH
NEW Metal2 ( 2142000 1557360 ) Via2_VH
NEW Metal2 ( 1986320 1557360 ) Via2_VH
NEW Metal2 ( 2142000 1652560 ) Via2_VH ;
- la_oenb[17] ( PIN la_oenb[17] ) ( computer la_oenb[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 2184560 1638000 ) ( * 1690640 )
NEW Metal2 ( 2184560 1690640 ) ( 2186800 * 0 )
NEW Metal2 ( 2017680 42000 ) ( 2018800 * )
NEW Metal2 ( 2018800 3920 0 ) ( * 42000 )
NEW Metal2 ( 2017680 42000 ) ( * 1638000 )
NEW Metal3 ( 2017680 1638000 ) ( 2184560 * )
NEW Metal2 ( 2184560 1638000 ) Via2_VH
NEW Metal2 ( 2017680 1638000 ) Via2_VH ;
- la_oenb[18] ( PIN la_oenb[18] ) ( computer la_oenb[18] ) + USE SIGNAL
+ ROUTED Metal3 ( 2054640 310800 ) ( 2202480 * )
NEW Metal2 ( 2202480 1624560 ) ( 2203600 * )
NEW Metal2 ( 2203600 1624560 ) ( * 1690640 0 )
NEW Metal2 ( 2054640 3920 0 ) ( * 310800 )
NEW Metal2 ( 2202480 310800 ) ( * 1624560 )
NEW Metal2 ( 2054640 310800 ) Via2_VH
NEW Metal2 ( 2202480 310800 ) Via2_VH ;
- la_oenb[19] ( PIN la_oenb[19] ) ( computer la_oenb[19] ) + USE SIGNAL
+ ROUTED Metal2 ( 2088240 3920 0 ) ( * 42000 )
NEW Metal3 ( 2088240 42000 ) ( 2219280 * )
NEW Metal2 ( 2219280 1650320 ) ( 2220400 * )
NEW Metal2 ( 2220400 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 2219280 42000 ) ( * 1650320 )
NEW Metal2 ( 2088240 42000 ) Via2_VH
NEW Metal2 ( 2219280 42000 ) Via2_VH ;
- la_oenb[1] ( PIN la_oenb[1] ) ( computer la_oenb[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 1483440 3920 0 ) ( * 109200 )
NEW Metal3 ( 1483440 109200 ) ( 1915760 * )
NEW Metal2 ( 1915760 1690640 ) ( 1918000 * 0 )
NEW Metal2 ( 1915760 109200 ) ( * 1690640 )
NEW Metal2 ( 1483440 109200 ) Via2_VH
NEW Metal2 ( 1915760 109200 ) Via2_VH ;
- la_oenb[20] ( PIN la_oenb[20] ) ( computer la_oenb[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 2119600 3920 0 ) ( * 126000 )
NEW Metal2 ( 2236080 1654800 ) ( 2237200 * )
NEW Metal2 ( 2237200 1654800 ) ( * 1690640 0 )
NEW Metal3 ( 2119600 126000 ) ( 2236080 * )
NEW Metal2 ( 2236080 126000 ) ( * 1654800 )
NEW Metal2 ( 2119600 126000 ) Via2_VH
NEW Metal2 ( 2236080 126000 ) Via2_VH ;
- la_oenb[21] ( PIN la_oenb[21] ) ( computer la_oenb[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 2153200 3920 0 ) ( * 1050000 )
NEW Metal3 ( 2245040 1651440 ) ( 2254000 * )
NEW Metal2 ( 2254000 1651440 ) ( * 1690640 0 )
NEW Metal3 ( 2153200 1050000 ) ( 2245040 * )
NEW Metal2 ( 2245040 1050000 ) ( * 1651440 )
NEW Metal2 ( 2153200 1050000 ) Via2_VH
NEW Metal2 ( 2245040 1651440 ) Via2_VH
NEW Metal2 ( 2254000 1651440 ) Via2_VH
NEW Metal2 ( 2245040 1050000 ) Via2_VH ;
- la_oenb[22] ( PIN la_oenb[22] ) ( computer la_oenb[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 2189040 3920 0 ) ( * 49840 )
NEW Metal3 ( 2189040 49840 ) ( 2226000 * )
NEW Metal2 ( 2226000 49840 ) ( * 1639120 )
NEW Metal3 ( 2226000 1639120 ) ( 2268560 * )
NEW Metal2 ( 2268560 1690640 ) ( 2270800 * 0 )
NEW Metal2 ( 2268560 1639120 ) ( * 1690640 )
NEW Metal2 ( 2189040 49840 ) Via2_VH
NEW Metal2 ( 2226000 49840 ) Via2_VH
NEW Metal2 ( 2226000 1639120 ) Via2_VH
NEW Metal2 ( 2268560 1639120 ) Via2_VH ;
- la_oenb[23] ( PIN la_oenb[23] ) ( computer la_oenb[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 2222640 3920 0 ) ( * 43120 )
NEW Metal3 ( 2222640 43120 ) ( 2276400 * )
NEW Metal3 ( 2276400 1630160 ) ( 2287600 * )
NEW Metal2 ( 2276400 43120 ) ( * 1630160 )
NEW Metal2 ( 2287600 1630160 ) ( * 1690640 0 )
NEW Metal2 ( 2222640 43120 ) Via2_VH
NEW Metal2 ( 2276400 43120 ) Via2_VH
NEW Metal2 ( 2276400 1630160 ) Via2_VH
NEW Metal2 ( 2287600 1630160 ) Via2_VH ;
- la_oenb[24] ( PIN la_oenb[24] ) ( computer la_oenb[24] ) + USE SIGNAL
+ ROUTED Metal3 ( 2254000 169680 ) ( 2305520 * )
NEW Metal2 ( 2254000 3920 0 ) ( * 169680 )
NEW Metal2 ( 2303280 1680000 ) ( 2304400 * )
NEW Metal2 ( 2304400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2303280 1612240 ) ( 2305520 * )
NEW Metal2 ( 2303280 1612240 ) ( * 1680000 )
NEW Metal2 ( 2305520 169680 ) ( * 1612240 )
NEW Metal2 ( 2254000 169680 ) Via2_VH
NEW Metal2 ( 2305520 169680 ) Via2_VH ;
- la_oenb[25] ( PIN la_oenb[25] ) ( computer la_oenb[25] ) + USE SIGNAL
+ ROUTED Metal2 ( 2285360 201600 ) ( 2287600 * )
NEW Metal2 ( 2287600 3920 0 ) ( * 201600 )
NEW Metal3 ( 2285360 1631280 ) ( 2321200 * )
NEW Metal2 ( 2285360 201600 ) ( * 1631280 )
NEW Metal2 ( 2321200 1631280 ) ( * 1690640 0 )
NEW Metal2 ( 2285360 1631280 ) Via2_VH
NEW Metal2 ( 2321200 1631280 ) Via2_VH ;
- la_oenb[26] ( PIN la_oenb[26] ) ( computer la_oenb[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 2323440 3920 0 ) ( * 42000 )
NEW Metal3 ( 2323440 42000 ) ( 2338000 * )
NEW Metal2 ( 2338000 42000 ) ( * 1495200 )
NEW Metal2 ( 2338000 1495200 ) ( 2339120 * )
NEW Metal2 ( 2338000 1680000 ) ( 2339120 * )
NEW Metal2 ( 2338000 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2339120 1646400 ) ( * 1680000 )
NEW Metal2 ( 2336880 1587600 ) ( 2339120 * )
NEW Metal2 ( 2336880 1587600 ) ( * 1646400 )
NEW Metal2 ( 2336880 1646400 ) ( 2339120 * )
NEW Metal2 ( 2339120 1495200 ) ( * 1587600 )
NEW Metal2 ( 2323440 42000 ) Via2_VH
NEW Metal2 ( 2338000 42000 ) Via2_VH ;
- la_oenb[27] ( PIN la_oenb[27] ) ( computer la_oenb[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 2354800 3920 0 ) ( * 84000 )
NEW Metal2 ( 2353680 84000 ) ( 2354800 * )
NEW Metal2 ( 2353680 84000 ) ( * 1680000 )
NEW Metal2 ( 2353680 1680000 ) ( 2354800 * )
NEW Metal2 ( 2354800 1680000 ) ( * 1690640 0 ) ;
- la_oenb[28] ( PIN la_oenb[28] ) ( computer la_oenb[28] ) + USE SIGNAL
+ ROUTED Metal3 ( 2369360 42000 ) ( 2388400 * )
NEW Metal2 ( 2388400 3920 0 ) ( * 42000 )
NEW Metal2 ( 2369360 1690640 ) ( 2371600 * 0 )
NEW Metal2 ( 2369360 42000 ) ( * 1690640 )
NEW Metal2 ( 2369360 42000 ) Via2_VH
NEW Metal2 ( 2388400 42000 ) Via2_VH ;
- la_oenb[29] ( PIN la_oenb[29] ) ( computer la_oenb[29] ) + USE SIGNAL
+ ROUTED Metal3 ( 2388400 50960 ) ( 2422000 * )
NEW Metal2 ( 2422000 3920 0 ) ( * 50960 )
NEW Metal2 ( 2388400 50960 ) ( * 1690640 0 )
NEW Metal2 ( 2388400 50960 ) Via2_VH
NEW Metal2 ( 2422000 50960 ) Via2_VH ;
- la_oenb[2] ( PIN la_oenb[2] ) ( computer la_oenb[2] ) + USE SIGNAL
+ ROUTED Metal3 ( 1515920 1420720 ) ( 1934800 * )
NEW Metal2 ( 1515920 3920 0 ) ( * 1420720 )
NEW Metal2 ( 1934800 1420720 ) ( * 1690640 0 )
NEW Metal2 ( 1934800 1420720 ) Via2_VH
NEW Metal2 ( 1515920 1420720 ) Via2_VH ;
- la_oenb[30] ( PIN la_oenb[30] ) ( computer la_oenb[30] ) + USE SIGNAL
+ ROUTED Metal3 ( 2405200 1662640 ) ( 2458960 * )
NEW Metal2 ( 2457840 3920 0 ) ( * 84000 )
NEW Metal2 ( 2457840 84000 ) ( 2458960 * )
NEW Metal2 ( 2458960 84000 ) ( * 1662640 )
NEW Metal2 ( 2405200 1662640 ) ( * 1690640 0 )
NEW Metal2 ( 2405200 1662640 ) Via2_VH
NEW Metal2 ( 2458960 1662640 ) Via2_VH ;
- la_oenb[31] ( PIN la_oenb[31] ) ( computer la_oenb[31] ) + USE SIGNAL
+ ROUTED Metal3 ( 2422000 162960 ) ( 2489200 * )
NEW Metal2 ( 2489200 3920 0 ) ( * 162960 )
NEW Metal2 ( 2422000 162960 ) ( * 1690640 0 )
NEW Metal2 ( 2422000 162960 ) Via2_VH
NEW Metal2 ( 2489200 162960 ) Via2_VH ;
- la_oenb[32] ( PIN la_oenb[32] ) ( computer la_oenb[32] ) + USE SIGNAL
+ ROUTED Metal3 ( 2494800 42000 ) ( 2522800 * )
NEW Metal2 ( 2522800 3920 0 ) ( * 42000 )
NEW Metal3 ( 2438800 1652560 ) ( 2494800 * )
NEW Metal2 ( 2494800 42000 ) ( * 1652560 )
NEW Metal2 ( 2438800 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 2494800 42000 ) Via2_VH
NEW Metal2 ( 2522800 42000 ) Via2_VH
NEW Metal2 ( 2494800 1652560 ) Via2_VH
NEW Metal2 ( 2438800 1652560 ) Via2_VH ;
- la_oenb[33] ( PIN la_oenb[33] ) ( computer la_oenb[33] ) + USE SIGNAL
+ ROUTED Metal2 ( 2556400 3920 0 ) ( * 109200 )
NEW Metal3 ( 2455600 109200 ) ( 2556400 * )
NEW Metal2 ( 2455600 109200 ) ( * 1690640 0 )
NEW Metal2 ( 2556400 109200 ) Via2_VH
NEW Metal2 ( 2455600 109200 ) Via2_VH ;
- la_oenb[34] ( PIN la_oenb[34] ) ( computer la_oenb[34] ) + USE SIGNAL
+ ROUTED Metal2 ( 2590000 3920 0 ) ( * 42000 )
NEW Metal3 ( 2529520 42000 ) ( 2590000 * )
NEW Metal2 ( 2471280 1650320 ) ( 2473520 * )
NEW Metal3 ( 2471280 100240 ) ( 2529520 * )
NEW Metal2 ( 2529520 42000 ) ( * 100240 )
NEW Metal2 ( 2471280 100240 ) ( * 1650320 )
NEW Metal2 ( 2473520 1650320 ) ( * 1680000 )
NEW Metal2 ( 2472400 1680000 ) ( 2473520 * )
NEW Metal2 ( 2472400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2590000 42000 ) Via2_VH
NEW Metal2 ( 2529520 42000 ) Via2_VH
NEW Metal2 ( 2471280 100240 ) Via2_VH
NEW Metal2 ( 2529520 100240 ) Via2_VH ;
- la_oenb[35] ( PIN la_oenb[35] ) ( computer la_oenb[35] ) + USE SIGNAL
+ ROUTED Metal2 ( 2623600 3920 0 ) ( * 428400 )
NEW Metal2 ( 2488080 1651440 ) ( 2489200 * )
NEW Metal3 ( 2489200 428400 ) ( 2623600 * )
NEW Metal2 ( 2489200 428400 ) ( * 1651440 )
NEW Metal2 ( 2488080 1651440 ) ( * 1680000 )
NEW Metal2 ( 2488080 1680000 ) ( 2489200 * )
NEW Metal2 ( 2489200 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2623600 428400 ) Via2_VH
NEW Metal2 ( 2489200 428400 ) Via2_VH ;
- la_oenb[36] ( PIN la_oenb[36] ) ( computer la_oenb[36] ) + USE SIGNAL
+ ROUTED Metal2 ( 2657200 3920 0 ) ( * 77840 )
NEW Metal3 ( 2504880 77840 ) ( 2657200 * )
NEW Metal2 ( 2504880 1649200 ) ( 2509360 * )
NEW Metal2 ( 2504880 77840 ) ( * 1649200 )
NEW Metal2 ( 2506000 1690640 0 ) ( 2509360 * )
NEW Metal2 ( 2509360 1649200 ) ( * 1690640 )
NEW Metal2 ( 2657200 77840 ) Via2_VH
NEW Metal2 ( 2504880 77840 ) Via2_VH ;
- la_oenb[37] ( PIN la_oenb[37] ) ( computer la_oenb[37] ) + USE SIGNAL
+ ROUTED Metal3 ( 2610160 46480 ) ( * 49840 )
NEW Metal3 ( 2610160 49840 ) ( 2616880 * )
NEW Metal3 ( 2616880 46480 ) ( * 49840 )
NEW Metal3 ( 2520560 46480 ) ( 2610160 * )
NEW Metal2 ( 2690800 3920 0 ) ( * 46480 )
NEW Metal3 ( 2616880 46480 ) ( 2690800 * )
NEW Metal2 ( 2520560 1690640 ) ( 2522800 * 0 )
NEW Metal2 ( 2520560 46480 ) ( * 1690640 )
NEW Metal2 ( 2520560 46480 ) Via2_VH
NEW Metal2 ( 2690800 46480 ) Via2_VH ;
- la_oenb[38] ( PIN la_oenb[38] ) ( computer la_oenb[38] ) + USE SIGNAL
+ ROUTED Metal2 ( 2724400 3920 0 ) ( * 47600 )
NEW Metal3 ( 2629200 47600 ) ( 2724400 * )
NEW Metal2 ( 2539600 1654800 ) ( * 1690640 0 )
NEW Metal3 ( 2539600 1654800 ) ( 2629200 * )
NEW Metal2 ( 2629200 47600 ) ( * 1654800 )
NEW Metal2 ( 2629200 47600 ) Via2_VH
NEW Metal2 ( 2539600 1654800 ) Via2_VH
NEW Metal2 ( 2724400 47600 ) Via2_VH
NEW Metal2 ( 2629200 1654800 ) Via2_VH ;
- la_oenb[39] ( PIN la_oenb[39] ) ( computer la_oenb[39] ) + USE SIGNAL
+ ROUTED Metal3 ( 2554160 43120 ) ( 2592240 * )
NEW Metal3 ( 2592240 42000 ) ( * 43120 )
NEW Metal3 ( 2592240 42000 ) ( 2615760 * )
NEW Metal2 ( 2615760 42000 ) ( * 45360 )
NEW Metal2 ( 2758000 3920 0 ) ( * 45360 )
NEW Metal3 ( 2615760 45360 ) ( 2758000 * )
NEW Metal2 ( 2554160 1690640 ) ( 2556400 * 0 )
NEW Metal2 ( 2554160 43120 ) ( * 1690640 )
NEW Metal2 ( 2554160 43120 ) Via2_VH
NEW Metal2 ( 2615760 42000 ) Via2_VH
NEW Metal2 ( 2615760 45360 ) Via2_VH
NEW Metal2 ( 2758000 45360 ) Via2_VH ;
- la_oenb[3] ( PIN la_oenb[3] ) ( computer la_oenb[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 1550640 3920 0 ) ( * 110320 )
NEW Metal3 ( 1550640 110320 ) ( 1950480 * )
NEW Metal2 ( 1950480 110320 ) ( * 1680000 )
NEW Metal2 ( 1950480 1680000 ) ( 1951600 * )
NEW Metal2 ( 1951600 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 1950480 110320 ) Via2_VH
NEW Metal2 ( 1550640 110320 ) Via2_VH ;
- la_oenb[40] ( PIN la_oenb[40] ) ( computer la_oenb[40] ) + USE SIGNAL
+ ROUTED Metal2 ( 2791600 3920 0 ) ( * 44240 )
NEW Metal3 ( 2570960 44240 ) ( 2791600 * )
NEW Metal2 ( 2570960 1690640 ) ( 2573200 * 0 )
NEW Metal2 ( 2570960 44240 ) ( * 1690640 )
NEW Metal2 ( 2570960 44240 ) Via2_VH
NEW Metal2 ( 2791600 44240 ) Via2_VH ;
- la_oenb[41] ( PIN la_oenb[41] ) ( computer la_oenb[41] ) + USE SIGNAL
+ ROUTED Metal2 ( 2588880 1651440 ) ( 2590000 * )
NEW Metal2 ( 2590000 1587600 ) ( * 1651440 )
NEW Metal2 ( 2825200 3920 0 ) ( * 1587600 )
NEW Metal2 ( 2588880 1651440 ) ( * 1680000 )
NEW Metal2 ( 2588880 1680000 ) ( 2590000 * )
NEW Metal2 ( 2590000 1680000 ) ( * 1690640 0 )
NEW Metal3 ( 2590000 1587600 ) ( 2825200 * )
NEW Metal2 ( 2590000 1587600 ) Via2_VH
NEW Metal2 ( 2825200 1587600 ) Via2_VH ;
- la_oenb[42] ( PIN la_oenb[42] ) ( computer la_oenb[42] ) + USE SIGNAL
+ ROUTED Metal2 ( 2858800 3920 0 ) ( * 1520400 )
NEW Metal3 ( 2632560 1520400 ) ( 2858800 * )
NEW Metal2 ( 2606800 1653680 ) ( * 1690640 0 )
NEW Metal3 ( 2606800 1653680 ) ( 2632560 * )
NEW Metal2 ( 2632560 1520400 ) ( * 1653680 )
NEW Metal2 ( 2606800 1653680 ) Via2_VH
NEW Metal2 ( 2632560 1520400 ) Via2_VH
NEW Metal2 ( 2858800 1520400 ) Via2_VH
NEW Metal2 ( 2632560 1653680 ) Via2_VH ;
- la_oenb[43] ( PIN la_oenb[43] ) ( computer la_oenb[43] ) + USE SIGNAL
+ ROUTED Metal2 ( 2892400 3920 0 ) ( * 49840 )
NEW Metal3 ( 2621360 48720 ) ( 2671200 * )
NEW Metal3 ( 2671200 48720 ) ( * 49840 )
NEW Metal3 ( 2671200 49840 ) ( 2892400 * )
NEW Metal2 ( 2621360 1690640 ) ( 2623600 * 0 )
NEW Metal2 ( 2621360 48720 ) ( * 1690640 )
NEW Metal2 ( 2621360 48720 ) Via2_VH
NEW Metal2 ( 2892400 49840 ) Via2_VH ;
- la_oenb[44] ( PIN la_oenb[44] ) ( computer la_oenb[44] ) + USE SIGNAL
+ ROUTED Metal2 ( 2926000 3920 0 ) ( * 62160 )
NEW Metal3 ( 2746800 62160 ) ( 2926000 * )
NEW Metal2 ( 2746800 62160 ) ( * 1654800 )
NEW Metal3 ( 2640400 1654800 ) ( 2746800 * )
NEW Metal2 ( 2640400 1654800 ) ( * 1690640 0 )
NEW Metal2 ( 2746800 62160 ) Via2_VH
NEW Metal2 ( 2926000 62160 ) Via2_VH
NEW Metal2 ( 2746800 1654800 ) Via2_VH
NEW Metal2 ( 2640400 1654800 ) Via2_VH ;
- la_oenb[45] ( PIN la_oenb[45] ) ( computer la_oenb[45] ) + USE SIGNAL
+ ROUTED Metal2 ( 2959600 3920 0 ) ( * 84000 )
NEW Metal2 ( 2957360 84000 ) ( 2959600 * )
NEW Metal2 ( 2957360 84000 ) ( * 1570800 )
NEW Metal3 ( 2657200 1646960 ) ( 2686320 * )
NEW Metal2 ( 2686320 1570800 ) ( * 1646960 )
NEW Metal3 ( 2686320 1570800 ) ( 2957360 * )
NEW Metal2 ( 2657200 1646960 ) ( * 1690640 0 )
NEW Metal2 ( 2957360 1570800 ) Via2_VH
NEW Metal2 ( 2657200 1646960 ) Via2_VH
NEW Metal2 ( 2686320 1646960 ) Via2_VH
NEW Metal2 ( 2686320 1570800 ) Via2_VH ;
- la_oenb[46] ( PIN la_oenb[46] ) ( computer la_oenb[46] ) + USE SIGNAL
+ ROUTED Metal3 ( 2671760 43120 ) ( 2993200 * )
NEW Metal2 ( 2993200 3920 0 ) ( * 43120 )
NEW Metal2 ( 2671760 1690640 ) ( 2674000 * 0 )
NEW Metal2 ( 2671760 43120 ) ( * 1690640 )
NEW Metal2 ( 2671760 43120 ) Via2_VH
NEW Metal2 ( 2993200 43120 ) Via2_VH ;
- la_oenb[47] ( PIN la_oenb[47] ) ( computer la_oenb[47] ) + USE SIGNAL
+ ROUTED Metal3 ( 2688560 39760 ) ( 2738960 * )
NEW Metal3 ( 2738960 39760 ) ( * 42000 )
NEW Metal3 ( 2738960 42000 ) ( 3026800 * )
NEW Metal2 ( 3026800 3920 0 ) ( * 42000 )
NEW Metal2 ( 2688560 1690640 ) ( 2690800 * 0 )
NEW Metal2 ( 2688560 39760 ) ( * 1690640 )
NEW Metal2 ( 2688560 39760 ) Via2_VH
NEW Metal2 ( 3026800 42000 ) Via2_VH ;
- la_oenb[48] ( PIN la_oenb[48] ) ( computer la_oenb[48] ) + USE SIGNAL
+ ROUTED Metal3 ( 2707600 296240 ) ( 3061520 * )
NEW Metal2 ( 2707600 296240 ) ( * 1495200 )
NEW Metal2 ( 2707600 1495200 ) ( 2708720 * )
NEW Metal2 ( 2707600 1680000 ) ( 2708720 * )
NEW Metal2 ( 2707600 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3061520 117600 ) ( * 296240 )
NEW Metal2 ( 3061520 117600 ) ( 3063760 * )
NEW Metal2 ( 3063760 82320 ) ( * 117600 )
NEW Metal2 ( 3062640 82320 ) ( 3063760 * )
NEW Metal2 ( 3062640 3920 0 ) ( * 82320 )
NEW Metal2 ( 2708720 1646400 ) ( * 1680000 )
NEW Metal2 ( 2706480 1584240 ) ( 2708720 * )
NEW Metal2 ( 2706480 1584240 ) ( * 1646400 )
NEW Metal2 ( 2706480 1646400 ) ( 2708720 * )
NEW Metal2 ( 2708720 1495200 ) ( * 1584240 )
NEW Metal2 ( 3061520 296240 ) Via2_VH
NEW Metal2 ( 2707600 296240 ) Via2_VH ;
- la_oenb[49] ( PIN la_oenb[49] ) ( computer la_oenb[49] ) + USE SIGNAL
+ ROUTED Metal3 ( 2830800 515760 ) ( 3095120 * )
NEW Metal2 ( 2830800 515760 ) ( * 1657040 )
NEW Metal3 ( 2724400 1657040 ) ( 2830800 * )
NEW Metal2 ( 2724400 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 3095120 117600 ) ( * 515760 )
NEW Metal2 ( 3095120 117600 ) ( 3097360 * )
NEW Metal2 ( 3097360 82320 ) ( * 117600 )
NEW Metal2 ( 3096240 82320 ) ( 3097360 * )
NEW Metal2 ( 3096240 3920 0 ) ( * 82320 )
NEW Metal2 ( 2830800 515760 ) Via2_VH
NEW Metal2 ( 3095120 515760 ) Via2_VH
NEW Metal2 ( 2830800 1657040 ) Via2_VH
NEW Metal2 ( 2724400 1657040 ) Via2_VH ;
- la_oenb[4] ( PIN la_oenb[4] ) ( computer la_oenb[4] ) + USE SIGNAL
+ ROUTED Metal3 ( 1580880 1421840 ) ( 1968400 * )
NEW Metal2 ( 1580880 201600 ) ( 1582000 * )
NEW Metal2 ( 1582000 3920 0 ) ( * 201600 )
NEW Metal2 ( 1580880 201600 ) ( * 1421840 )
NEW Metal2 ( 1968400 1421840 ) ( * 1690640 0 )
NEW Metal2 ( 1580880 1421840 ) Via2_VH
NEW Metal2 ( 1968400 1421840 ) Via2_VH ;
- la_oenb[50] ( PIN la_oenb[50] ) ( computer la_oenb[50] ) + USE SIGNAL
+ ROUTED Metal2 ( 2738960 1690640 ) ( 2741200 * 0 )
NEW Metal3 ( 2741200 48720 ) ( 3127600 * )
NEW Metal2 ( 3127600 3920 0 ) ( * 48720 )
NEW Metal1 ( 2738960 1622320 ) ( * 1623440 )
NEW Metal1 ( 2738960 1622320 ) ( 2741200 * )
NEW Metal2 ( 2738960 1623440 ) ( * 1690640 )
NEW Metal2 ( 2741200 48720 ) ( * 1622320 )
NEW Metal2 ( 2741200 48720 ) Via2_VH
NEW Metal2 ( 3127600 48720 ) Via2_VH
NEW Metal1 ( 2738960 1623440 ) Via1_HV
NEW Metal1 ( 2741200 1622320 ) Via1_HV ;
- la_oenb[51] ( PIN la_oenb[51] ) ( computer la_oenb[51] ) + USE SIGNAL
+ ROUTED Metal2 ( 2755760 1690640 ) ( 2758000 * 0 )
NEW Metal2 ( 2755760 47600 ) ( * 1690640 )
NEW Metal3 ( 2755760 47600 ) ( 3161200 * )
NEW Metal2 ( 3161200 3920 0 ) ( * 47600 )
NEW Metal2 ( 2755760 47600 ) Via2_VH
NEW Metal2 ( 3161200 47600 ) Via2_VH ;
- la_oenb[52] ( PIN la_oenb[52] ) ( computer la_oenb[52] ) + USE SIGNAL
+ ROUTED Metal2 ( 2773680 94640 ) ( * 1562400 )
NEW Metal2 ( 2773680 1562400 ) ( 2774800 * )
NEW Metal2 ( 2774800 1562400 ) ( * 1690640 0 )
NEW Metal3 ( 2773680 94640 ) ( 3194800 * )
NEW Metal2 ( 3194800 3920 0 ) ( * 94640 )
NEW Metal2 ( 2773680 94640 ) Via2_VH
NEW Metal2 ( 3194800 94640 ) Via2_VH ;
- la_oenb[53] ( PIN la_oenb[53] ) ( computer la_oenb[53] ) + USE SIGNAL
+ ROUTED Metal3 ( 2791600 549360 ) ( 3228400 * )
NEW Metal2 ( 3228400 3920 0 ) ( * 549360 )
NEW Metal2 ( 2789360 1690640 ) ( 2791600 * 0 )
NEW Metal2 ( 2789360 1587600 ) ( 2791600 * )
NEW Metal2 ( 2789360 1587600 ) ( * 1690640 )
NEW Metal2 ( 2791600 549360 ) ( * 1587600 )
NEW Metal2 ( 2791600 549360 ) Via2_VH
NEW Metal2 ( 3228400 549360 ) Via2_VH ;
- la_oenb[54] ( PIN la_oenb[54] ) ( computer la_oenb[54] ) + USE SIGNAL
+ ROUTED Metal2 ( 3262000 3920 0 ) ( * 46480 )
NEW Metal2 ( 2806160 1690640 ) ( 2808400 * 0 )
NEW Metal2 ( 2806160 46480 ) ( * 1690640 )
NEW Metal3 ( 2806160 46480 ) ( 3262000 * )
NEW Metal2 ( 2806160 46480 ) Via2_VH
NEW Metal2 ( 3262000 46480 ) Via2_VH ;
- la_oenb[55] ( PIN la_oenb[55] ) ( computer la_oenb[55] ) + USE SIGNAL
+ ROUTED Metal2 ( 3295600 3920 0 ) ( * 45360 )
NEW Metal2 ( 2824080 1680000 ) ( 2825200 * )
NEW Metal2 ( 2825200 1680000 ) ( * 1690640 0 )
NEW Metal3 ( 2824080 45360 ) ( 3295600 * )
NEW Metal2 ( 2824080 1646400 ) ( * 1680000 )
NEW Metal2 ( 2824080 1589840 ) ( 2826320 * )
NEW Metal2 ( 2826320 1589840 ) ( * 1646400 )
NEW Metal2 ( 2824080 1646400 ) ( 2826320 * )
NEW Metal2 ( 2824080 45360 ) ( * 1589840 )
NEW Metal2 ( 2824080 45360 ) Via2_VH
NEW Metal2 ( 3295600 45360 ) Via2_VH ;
- la_oenb[56] ( PIN la_oenb[56] ) ( computer la_oenb[56] ) + USE SIGNAL
+ ROUTED Metal2 ( 3329200 3920 0 ) ( * 58800 )
NEW Metal2 ( 2839760 1690640 ) ( 2842000 * 0 )
NEW Metal2 ( 2839760 58800 ) ( * 1690640 )
NEW Metal3 ( 2839760 58800 ) ( 3329200 * )
NEW Metal2 ( 2839760 58800 ) Via2_VH
NEW Metal2 ( 3329200 58800 ) Via2_VH ;
- la_oenb[57] ( PIN la_oenb[57] ) ( computer la_oenb[57] ) + USE SIGNAL
+ ROUTED Metal2 ( 3362800 3920 0 ) ( * 44240 )
NEW Metal2 ( 2856560 1690640 ) ( 2858800 * 0 )
NEW Metal2 ( 2856560 44240 ) ( * 1690640 )
NEW Metal3 ( 2856560 44240 ) ( 3362800 * )
NEW Metal2 ( 2856560 44240 ) Via2_VH
NEW Metal2 ( 3362800 44240 ) Via2_VH ;
- la_oenb[58] ( PIN la_oenb[58] ) ( computer la_oenb[58] ) + USE SIGNAL
+ ROUTED Metal2 ( 3396400 3920 0 ) ( * 127120 )
NEW Metal2 ( 2873360 1690640 ) ( 2875600 * 0 )
NEW Metal2 ( 2873360 127120 ) ( * 1690640 )
NEW Metal3 ( 2873360 127120 ) ( 3396400 * )
NEW Metal2 ( 2873360 127120 ) Via2_VH
NEW Metal2 ( 3396400 127120 ) Via2_VH ;
- la_oenb[59] ( PIN la_oenb[59] ) ( computer la_oenb[59] ) + USE SIGNAL
+ ROUTED Metal2 ( 2891280 1651440 ) ( 2892400 * )
NEW Metal2 ( 2892400 310800 ) ( * 1651440 )
NEW Metal3 ( 2892400 310800 ) ( 3427760 * )
NEW Metal2 ( 3427760 201600 ) ( * 310800 )
NEW Metal2 ( 3427760 201600 ) ( 3430000 * )
NEW Metal2 ( 3430000 3920 0 ) ( * 201600 )
NEW Metal2 ( 2891280 1651440 ) ( * 1680000 )
NEW Metal2 ( 2891280 1680000 ) ( 2892400 * )
NEW Metal2 ( 2892400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2892400 310800 ) Via2_VH
NEW Metal2 ( 3427760 310800 ) Via2_VH ;
- la_oenb[5] ( PIN la_oenb[5] ) ( computer la_oenb[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1615600 3920 0 ) ( * 126000 )
NEW Metal2 ( 1984080 1651440 ) ( 1985200 * )
NEW Metal3 ( 1615600 126000 ) ( 1984080 * )
NEW Metal2 ( 1984080 126000 ) ( * 1651440 )
NEW Metal2 ( 1985200 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 1615600 126000 ) Via2_VH
NEW Metal2 ( 1984080 126000 ) Via2_VH ;
- la_oenb[60] ( PIN la_oenb[60] ) ( computer la_oenb[60] ) + USE SIGNAL
+ ROUTED Metal2 ( 3463600 3920 0 ) ( * 142800 )
NEW Metal2 ( 2906960 1690640 ) ( 2909200 * 0 )
NEW Metal2 ( 2906960 142800 ) ( * 1690640 )
NEW Metal3 ( 2906960 142800 ) ( 3463600 * )
NEW Metal2 ( 2906960 142800 ) Via2_VH
NEW Metal2 ( 3463600 142800 ) Via2_VH ;
- la_oenb[61] ( PIN la_oenb[61] ) ( computer la_oenb[61] ) + USE SIGNAL
+ ROUTED Metal2 ( 3497200 3920 0 ) ( * 93520 )
NEW Metal2 ( 2923760 1690640 ) ( 2926000 * 0 )
NEW Metal2 ( 2923760 93520 ) ( * 1690640 )
NEW Metal3 ( 2923760 93520 ) ( 3497200 * )
NEW Metal2 ( 3497200 93520 ) Via2_VH
NEW Metal2 ( 2923760 93520 ) Via2_VH ;
- la_oenb[62] ( PIN la_oenb[62] ) ( computer la_oenb[62] ) + USE SIGNAL
+ ROUTED Metal2 ( 3529680 201600 ) ( 3530800 * )
NEW Metal2 ( 3530800 3920 0 ) ( * 201600 )
NEW Metal2 ( 3529680 201600 ) ( * 597520 )
NEW Metal2 ( 2941680 1614480 ) ( 2943920 * )
NEW Metal2 ( 2941680 597520 ) ( * 1614480 )
NEW Metal3 ( 2941680 597520 ) ( 3529680 * )
NEW Metal2 ( 2943920 1614480 ) ( * 1680000 )
NEW Metal2 ( 2942800 1680000 ) ( 2943920 * )
NEW Metal2 ( 2942800 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3529680 597520 ) Via2_VH
NEW Metal2 ( 2941680 597520 ) Via2_VH ;
- la_oenb[63] ( PIN la_oenb[63] ) ( computer la_oenb[63] ) + USE SIGNAL
+ ROUTED Metal2 ( 3565520 3920 0 ) ( * 159600 )
NEW Metal2 ( 2958480 1653680 ) ( 2959600 * )
NEW Metal2 ( 2958480 159600 ) ( * 1653680 )
NEW Metal3 ( 2958480 159600 ) ( 3565520 * )
NEW Metal2 ( 2959600 1653680 ) ( * 1690640 0 )
NEW Metal2 ( 3565520 159600 ) Via2_VH
NEW Metal2 ( 2958480 159600 ) Via2_VH ;
- la_oenb[64] ( PIN la_oenb[64] ) ( computer la_oenb[64] ) + USE SIGNAL
+ ROUTED Metal2 ( 3032400 1453200 ) ( * 1662640 )
NEW Metal2 ( 3598000 3920 0 ) ( * 1453200 )
NEW Metal2 ( 2976400 1662640 ) ( * 1690640 0 )
NEW Metal3 ( 2976400 1662640 ) ( 3032400 * )
NEW Metal3 ( 3032400 1453200 ) ( 3598000 * )
NEW Metal2 ( 3032400 1453200 ) Via2_VH
NEW Metal2 ( 3032400 1662640 ) Via2_VH
NEW Metal2 ( 3598000 1453200 ) Via2_VH
NEW Metal2 ( 2976400 1662640 ) Via2_VH ;
- la_oenb[65] ( PIN la_oenb[65] ) ( computer la_oenb[65] ) + USE SIGNAL
+ ROUTED Metal2 ( 2990960 1690640 ) ( 2993200 * 0 )
NEW Metal3 ( 2990960 229040 ) ( 3630480 * )
NEW Metal2 ( 2990960 229040 ) ( * 1690640 )
NEW Metal2 ( 3631600 3920 0 ) ( * 84000 )
NEW Metal2 ( 3630480 84000 ) ( 3631600 * )
NEW Metal2 ( 3630480 84000 ) ( * 229040 )
NEW Metal2 ( 2990960 229040 ) Via2_VH
NEW Metal2 ( 3630480 229040 ) Via2_VH ;
- la_oenb[66] ( PIN la_oenb[66] ) ( computer la_oenb[66] ) + USE SIGNAL
+ ROUTED Metal2 ( 3007760 1690640 ) ( 3010000 * 0 )
NEW Metal2 ( 3007760 1520400 ) ( * 1690640 )
NEW Metal3 ( 3007760 1520400 ) ( 3665200 * )
NEW Metal2 ( 3665200 3920 0 ) ( * 1520400 )
NEW Metal2 ( 3007760 1520400 ) Via2_VH
NEW Metal2 ( 3665200 1520400 ) Via2_VH ;
- la_oenb[67] ( PIN la_oenb[67] ) ( computer la_oenb[67] ) + USE SIGNAL
+ ROUTED Metal2 ( 3024560 1690640 ) ( 3026800 * 0 )
NEW Metal2 ( 3024560 176400 ) ( * 1690640 )
NEW Metal3 ( 3024560 176400 ) ( 3698800 * )
NEW Metal2 ( 3698800 3920 0 ) ( * 176400 )
NEW Metal2 ( 3024560 176400 ) Via2_VH
NEW Metal2 ( 3698800 176400 ) Via2_VH ;
- la_oenb[68] ( PIN la_oenb[68] ) ( computer la_oenb[68] ) + USE SIGNAL
+ ROUTED Metal2 ( 3041360 1690640 ) ( 3043600 * 0 )
NEW Metal2 ( 3041360 262640 ) ( * 1690640 )
NEW Metal2 ( 3731280 201600 ) ( * 262640 )
NEW Metal2 ( 3731280 201600 ) ( 3732400 * )
NEW Metal2 ( 3732400 3920 0 ) ( * 201600 )
NEW Metal3 ( 3041360 262640 ) ( 3731280 * )
NEW Metal2 ( 3041360 262640 ) Via2_VH
NEW Metal2 ( 3731280 262640 ) Via2_VH ;
- la_oenb[69] ( PIN la_oenb[69] ) ( computer la_oenb[69] ) + USE SIGNAL
+ ROUTED Metal2 ( 3058160 1690640 ) ( 3060400 * 0 )
NEW Metal2 ( 3766000 3920 0 ) ( * 43120 )
NEW Metal2 ( 3058160 43120 ) ( * 1690640 )
NEW Metal3 ( 3058160 43120 ) ( 3766000 * )
NEW Metal2 ( 3766000 43120 ) Via2_VH
NEW Metal2 ( 3058160 43120 ) Via2_VH ;
- la_oenb[6] ( PIN la_oenb[6] ) ( computer la_oenb[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 1646960 201600 ) ( 1649200 * )
NEW Metal2 ( 1649200 3920 0 ) ( * 201600 )
NEW Metal2 ( 1646960 201600 ) ( * 1387120 )
NEW Metal3 ( 1646960 1387120 ) ( 2002000 * )
NEW Metal2 ( 2002000 1387120 ) ( * 1690640 0 )
NEW Metal2 ( 1646960 1387120 ) Via2_VH
NEW Metal2 ( 2002000 1387120 ) Via2_VH ;
- la_oenb[70] ( PIN la_oenb[70] ) ( computer la_oenb[70] ) + USE SIGNAL
+ ROUTED Metal2 ( 3074960 1690640 ) ( 3077200 * 0 )
NEW Metal2 ( 3799600 3920 0 ) ( * 42000 )
NEW Metal2 ( 3074960 42000 ) ( * 1690640 )
NEW Metal3 ( 3074960 42000 ) ( 3799600 * )
NEW Metal2 ( 3799600 42000 ) Via2_VH
NEW Metal2 ( 3074960 42000 ) Via2_VH ;
- la_oenb[71] ( PIN la_oenb[71] ) ( computer la_oenb[71] ) + USE SIGNAL
+ ROUTED Metal2 ( 3834320 3920 0 ) ( * 615440 )
NEW Metal3 ( 3094000 615440 ) ( 3834320 * )
NEW Metal2 ( 3094000 615440 ) ( * 1690640 0 )
NEW Metal2 ( 3094000 615440 ) Via2_VH
NEW Metal2 ( 3834320 615440 ) Via2_VH ;
- la_oenb[72] ( PIN la_oenb[72] ) ( computer la_oenb[72] ) + USE SIGNAL
+ ROUTED Metal3 ( 3110800 630000 ) ( 3866800 * )
NEW Metal2 ( 3866800 3920 0 ) ( * 630000 )
NEW Metal2 ( 3110800 630000 ) ( * 1690640 0 )
NEW Metal2 ( 3110800 630000 ) Via2_VH
NEW Metal2 ( 3866800 630000 ) Via2_VH ;
- la_oenb[73] ( PIN la_oenb[73] ) ( computer la_oenb[73] ) + USE SIGNAL
+ ROUTED Metal3 ( 3125360 213360 ) ( 3901520 * )
NEW Metal2 ( 3901520 3920 0 ) ( * 213360 )
NEW Metal2 ( 3125360 1690640 ) ( 3127600 * 0 )
NEW Metal2 ( 3125360 213360 ) ( * 1690640 )
NEW Metal2 ( 3125360 213360 ) Via2_VH
NEW Metal2 ( 3901520 213360 ) Via2_VH ;
- la_oenb[74] ( PIN la_oenb[74] ) ( computer la_oenb[74] ) + USE SIGNAL
+ ROUTED Metal3 ( 3143280 397040 ) ( 3934000 * )
NEW Metal2 ( 3934000 3920 0 ) ( * 397040 )
NEW Metal2 ( 3143280 1680000 ) ( 3144400 * )
NEW Metal2 ( 3144400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 3143280 1646400 ) ( * 1680000 )
NEW Metal2 ( 3141040 1587600 ) ( 3143280 * )
NEW Metal2 ( 3141040 1587600 ) ( * 1646400 )
NEW Metal2 ( 3141040 1646400 ) ( 3143280 * )
NEW Metal2 ( 3143280 397040 ) ( * 1587600 )
NEW Metal2 ( 3143280 397040 ) Via2_VH
NEW Metal2 ( 3934000 397040 ) Via2_VH ;
- la_oenb[75] ( PIN la_oenb[75] ) ( computer la_oenb[75] ) + USE SIGNAL
+ ROUTED Metal2 ( 3966480 201600 ) ( 3967600 * )
NEW Metal2 ( 3967600 3920 0 ) ( * 201600 )
NEW Metal2 ( 3966480 201600 ) ( * 1486800 )
NEW Metal3 ( 3160080 1486800 ) ( 3966480 * )
NEW Metal2 ( 3160080 1486800 ) ( * 1495200 )
NEW Metal2 ( 3160080 1495200 ) ( 3161200 * )
NEW Metal2 ( 3161200 1495200 ) ( * 1690640 0 )
NEW Metal2 ( 3966480 1486800 ) Via2_VH
NEW Metal2 ( 3160080 1486800 ) Via2_VH ;
- la_oenb[76] ( PIN la_oenb[76] ) ( computer la_oenb[76] ) + USE SIGNAL
+ ROUTED Metal2 ( 4001200 3920 0 ) ( * 40880 )
NEW Metal3 ( 3847200 38640 ) ( * 40880 )
NEW Metal3 ( 3847200 38640 ) ( 3909360 * )
NEW Metal3 ( 3909360 38640 ) ( * 40880 )
NEW Metal3 ( 3909360 40880 ) ( 4001200 * )
NEW Metal2 ( 3176880 1562400 ) ( 3181360 * )
NEW Metal2 ( 3176880 40880 ) ( * 1562400 )
NEW Metal3 ( 3176880 40880 ) ( 3847200 * )
NEW Metal2 ( 3178000 1690640 0 ) ( 3181360 * )
NEW Metal2 ( 3181360 1562400 ) ( * 1690640 )
NEW Metal2 ( 4001200 40880 ) Via2_VH
NEW Metal2 ( 3176880 40880 ) Via2_VH ;
- la_oenb[77] ( PIN la_oenb[77] ) ( computer la_oenb[77] ) + USE SIGNAL
+ ROUTED Metal2 ( 4034800 3920 0 ) ( * 84000 )
NEW Metal2 ( 4033680 84000 ) ( 4034800 * )
NEW Metal2 ( 4033680 84000 ) ( * 313040 )
NEW Metal3 ( 3192560 313040 ) ( 4033680 * )
NEW Metal2 ( 3192560 1690640 ) ( 3194800 * 0 )
NEW Metal2 ( 3192560 313040 ) ( * 1690640 )
NEW Metal2 ( 4033680 313040 ) Via2_VH
NEW Metal2 ( 3192560 313040 ) Via2_VH ;
- la_oenb[78] ( PIN la_oenb[78] ) ( computer la_oenb[78] ) + USE SIGNAL
+ ROUTED Metal2 ( 4067280 201600 ) ( 4068400 * )
NEW Metal2 ( 4068400 3920 0 ) ( * 201600 )
NEW Metal2 ( 4067280 201600 ) ( * 663600 )
NEW Metal2 ( 3210480 1651440 ) ( 3211600 * )
NEW Metal2 ( 3211600 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3210480 663600 ) ( * 1651440 )
NEW Metal3 ( 3210480 663600 ) ( 4067280 * )
NEW Metal2 ( 4067280 663600 ) Via2_VH
NEW Metal2 ( 3210480 663600 ) Via2_VH ;
- la_oenb[79] ( PIN la_oenb[79] ) ( computer la_oenb[79] ) + USE SIGNAL
+ ROUTED Metal2 ( 3227280 1651440 ) ( 3228400 * )
NEW Metal2 ( 3228400 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 3227280 646800 ) ( * 1651440 )
NEW Metal2 ( 4100880 201600 ) ( 4102000 * )
NEW Metal2 ( 4102000 3920 0 ) ( * 201600 )
NEW Metal3 ( 3227280 646800 ) ( 4100880 * )
NEW Metal2 ( 4100880 201600 ) ( * 646800 )
NEW Metal2 ( 3227280 646800 ) Via2_VH
NEW Metal2 ( 4100880 646800 ) Via2_VH ;
- la_oenb[7] ( PIN la_oenb[7] ) ( computer la_oenb[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 1685040 3920 0 ) ( * 58800 )
NEW Metal3 ( 1685040 58800 ) ( 2018800 * )
NEW Metal2 ( 2017680 1652560 ) ( 2018800 * )
NEW Metal2 ( 2018800 58800 ) ( * 1652560 )
NEW Metal2 ( 2017680 1652560 ) ( * 1680000 )
NEW Metal2 ( 2017680 1680000 ) ( 2018800 * )
NEW Metal2 ( 2018800 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 1685040 58800 ) Via2_VH
NEW Metal2 ( 2018800 58800 ) Via2_VH ;
- la_oenb[80] ( PIN la_oenb[80] ) ( computer la_oenb[80] ) + USE SIGNAL
+ ROUTED Metal2 ( 3242960 1690640 ) ( 3245200 * 0 )
NEW Metal2 ( 3242960 194320 ) ( * 1690640 )
NEW Metal3 ( 3242960 194320 ) ( 4135600 * )
NEW Metal2 ( 4135600 3920 0 ) ( * 194320 )
NEW Metal2 ( 3242960 194320 ) Via2_VH
NEW Metal2 ( 4135600 194320 ) Via2_VH ;
- la_oenb[81] ( PIN la_oenb[81] ) ( computer la_oenb[81] ) + USE SIGNAL
+ ROUTED Metal2 ( 3259760 1690640 ) ( 3262000 * 0 )
NEW Metal2 ( 3259760 49840 ) ( * 1690640 )
NEW Metal2 ( 4169200 3920 0 ) ( * 49840 )
NEW Metal3 ( 3259760 49840 ) ( 4169200 * )
NEW Metal2 ( 3259760 49840 ) Via2_VH
NEW Metal2 ( 4169200 49840 ) Via2_VH ;
- la_oenb[82] ( PIN la_oenb[82] ) ( computer la_oenb[82] ) + USE SIGNAL
+ ROUTED Metal2 ( 3276560 1690640 ) ( 3278800 * 0 )
NEW Metal2 ( 4202800 3920 0 ) ( * 48720 )
NEW Metal2 ( 3276560 48720 ) ( * 1690640 )
NEW Metal3 ( 3276560 48720 ) ( 4202800 * )
NEW Metal2 ( 3276560 48720 ) Via2_VH
NEW Metal2 ( 4202800 48720 ) Via2_VH ;
- la_oenb[83] ( PIN la_oenb[83] ) ( computer la_oenb[83] ) + USE SIGNAL
+ ROUTED Metal2 ( 3293360 1690640 ) ( 3295600 * 0 )
NEW Metal2 ( 4236400 3920 0 ) ( * 47600 )
NEW Metal2 ( 3293360 47600 ) ( * 1690640 )
NEW Metal3 ( 3293360 47600 ) ( 4236400 * )
NEW Metal2 ( 3293360 47600 ) Via2_VH
NEW Metal2 ( 4236400 47600 ) Via2_VH ;
- la_oenb[84] ( PIN la_oenb[84] ) ( computer la_oenb[84] ) + USE SIGNAL
+ ROUTED Metal2 ( 3311280 1652560 ) ( 3312400 * )
NEW Metal2 ( 3312400 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 3311280 177520 ) ( * 1652560 )
NEW Metal2 ( 4270000 3920 0 ) ( * 177520 )
NEW Metal3 ( 3311280 177520 ) ( 4270000 * )
NEW Metal2 ( 3311280 177520 ) Via2_VH
NEW Metal2 ( 4270000 177520 ) Via2_VH ;
- la_oenb[85] ( PIN la_oenb[85] ) ( computer la_oenb[85] ) + USE SIGNAL
+ ROUTED Metal2 ( 3329200 1662640 ) ( * 1690640 0 )
NEW Metal2 ( 4303600 3920 0 ) ( * 84000 )
NEW Metal2 ( 4301360 84000 ) ( 4303600 * )
NEW Metal2 ( 4301360 84000 ) ( * 1454320 )
NEW Metal3 ( 3329200 1662640 ) ( 3402000 * )
NEW Metal3 ( 3402000 1454320 ) ( 4301360 * )
NEW Metal2 ( 3402000 1454320 ) ( * 1662640 )
NEW Metal2 ( 3329200 1662640 ) Via2_VH
NEW Metal2 ( 4301360 1454320 ) Via2_VH
NEW Metal2 ( 3402000 1454320 ) Via2_VH
NEW Metal2 ( 3402000 1662640 ) Via2_VH ;
- la_oenb[86] ( PIN la_oenb[86] ) ( computer la_oenb[86] ) + USE SIGNAL
+ ROUTED Metal2 ( 3346000 1660400 ) ( * 1690640 0 )
NEW Metal3 ( 3346000 1660400 ) ( 3385200 * )
NEW Metal2 ( 4337200 3920 0 ) ( * 61040 )
NEW Metal3 ( 3385200 61040 ) ( 4337200 * )
NEW Metal2 ( 3385200 61040 ) ( * 1660400 )
NEW Metal2 ( 3346000 1660400 ) Via2_VH
NEW Metal2 ( 3385200 61040 ) Via2_VH
NEW Metal2 ( 3385200 1660400 ) Via2_VH
NEW Metal2 ( 4337200 61040 ) Via2_VH ;
- la_oenb[87] ( PIN la_oenb[87] ) ( computer la_oenb[87] ) + USE SIGNAL
+ ROUTED Metal2 ( 3360560 1690640 ) ( 3362800 * 0 )
NEW Metal2 ( 3360560 46480 ) ( * 1690640 )
NEW Metal2 ( 4370800 3920 0 ) ( * 46480 )
NEW Metal3 ( 3360560 46480 ) ( 4370800 * )
NEW Metal2 ( 3360560 46480 ) Via2_VH
NEW Metal2 ( 4370800 46480 ) Via2_VH ;
- la_oenb[88] ( PIN la_oenb[88] ) ( computer la_oenb[88] ) + USE SIGNAL
+ ROUTED Metal2 ( 3377360 1690640 ) ( 3379600 * 0 )
NEW Metal2 ( 4404400 3920 0 ) ( * 45360 )
NEW Metal3 ( 3377360 45360 ) ( 4404400 * )
NEW Metal2 ( 3377360 45360 ) ( * 1690640 )
NEW Metal2 ( 3377360 45360 ) Via2_VH
NEW Metal2 ( 4404400 45360 ) Via2_VH ;
- la_oenb[89] ( PIN la_oenb[89] ) ( computer la_oenb[89] ) + USE SIGNAL
+ ROUTED Metal2 ( 4438000 3920 0 ) ( * 77840 )
NEW Metal3 ( 3396400 1651440 ) ( 3435600 * )
NEW Metal2 ( 3396400 1651440 ) ( * 1690640 0 )
NEW Metal3 ( 3435600 77840 ) ( 4438000 * )
NEW Metal2 ( 3435600 77840 ) ( * 1651440 )
NEW Metal2 ( 4438000 77840 ) Via2_VH
NEW Metal2 ( 3435600 77840 ) Via2_VH
NEW Metal2 ( 3435600 1651440 ) Via2_VH
NEW Metal2 ( 3396400 1651440 ) Via2_VH ;
- la_oenb[8] ( PIN la_oenb[8] ) ( computer la_oenb[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1715280 201600 ) ( 1716400 * )
NEW Metal2 ( 1716400 3920 0 ) ( * 201600 )
NEW Metal2 ( 1715280 201600 ) ( * 1353520 )
NEW Metal2 ( 2033360 1690640 ) ( 2035600 * 0 )
NEW Metal3 ( 1715280 1353520 ) ( 2035600 * )
NEW Metal2 ( 2033360 1587600 ) ( 2035600 * )
NEW Metal2 ( 2033360 1587600 ) ( * 1690640 )
NEW Metal2 ( 2035600 1353520 ) ( * 1587600 )
NEW Metal2 ( 1715280 1353520 ) Via2_VH
NEW Metal2 ( 2035600 1353520 ) Via2_VH ;
- la_oenb[90] ( PIN la_oenb[90] ) ( computer la_oenb[90] ) + USE SIGNAL
+ ROUTED Metal3 ( 3511760 1655920 ) ( * 1658160 )
NEW Metal3 ( 4443600 117040 ) ( 4471600 * )
NEW Metal2 ( 4471600 3920 0 ) ( * 117040 )
NEW Metal2 ( 4443600 117040 ) ( * 1658160 )
NEW Metal2 ( 3413200 1655920 ) ( * 1690640 0 )
NEW Metal3 ( 3413200 1655920 ) ( 3511760 * )
NEW Metal3 ( 3511760 1658160 ) ( 4443600 * )
NEW Metal2 ( 4443600 1658160 ) Via2_VH
NEW Metal2 ( 4443600 117040 ) Via2_VH
NEW Metal2 ( 4471600 117040 ) Via2_VH
NEW Metal2 ( 3413200 1655920 ) Via2_VH ;
- la_oenb[91] ( PIN la_oenb[91] ) ( computer la_oenb[91] ) + USE SIGNAL
+ ROUTED Metal2 ( 4505200 3920 0 ) ( * 76720 )
NEW Metal2 ( 3502800 76720 ) ( * 1658160 )
NEW Metal2 ( 3430000 1658160 ) ( * 1690640 0 )
NEW Metal3 ( 3430000 1658160 ) ( 3502800 * )
NEW Metal3 ( 3502800 76720 ) ( 4505200 * )
NEW Metal2 ( 3502800 76720 ) Via2_VH
NEW Metal2 ( 3502800 1658160 ) Via2_VH
NEW Metal2 ( 4505200 76720 ) Via2_VH
NEW Metal2 ( 3430000 1658160 ) Via2_VH ;
- la_oenb[92] ( PIN la_oenb[92] ) ( computer la_oenb[92] ) + USE SIGNAL
+ ROUTED Metal3 ( 4477200 117040 ) ( 4538800 * )
NEW Metal2 ( 4538800 3920 0 ) ( * 117040 )
NEW Metal2 ( 4477200 117040 ) ( * 1660400 )
NEW Metal2 ( 3446800 1660400 ) ( * 1690640 0 )
NEW Metal3 ( 3446800 1660400 ) ( 4477200 * )
NEW Metal2 ( 4477200 1660400 ) Via2_VH
NEW Metal2 ( 4477200 117040 ) Via2_VH
NEW Metal2 ( 4538800 117040 ) Via2_VH
NEW Metal2 ( 3446800 1660400 ) Via2_VH ;
- la_oenb[93] ( PIN la_oenb[93] ) ( computer la_oenb[93] ) + USE SIGNAL
+ ROUTED Metal2 ( 3463600 1654800 ) ( * 1690640 0 )
NEW Metal3 ( 3637200 278320 ) ( 4571280 * )
NEW Metal2 ( 4571280 201600 ) ( * 278320 )
NEW Metal2 ( 4571280 201600 ) ( 4572400 * )
NEW Metal2 ( 4572400 3920 0 ) ( * 201600 )
NEW Metal2 ( 3637200 278320 ) ( * 1562400 )
NEW Metal2 ( 3636080 1562400 ) ( * 1654800 )
NEW Metal2 ( 3636080 1562400 ) ( 3637200 * )
NEW Metal3 ( 3463600 1654800 ) ( 3636080 * )
NEW Metal2 ( 3463600 1654800 ) Via2_VH
NEW Metal2 ( 3637200 278320 ) Via2_VH
NEW Metal2 ( 4571280 278320 ) Via2_VH
NEW Metal2 ( 3636080 1654800 ) Via2_VH ;
- la_oenb[94] ( PIN la_oenb[94] ) ( computer la_oenb[94] ) + USE SIGNAL
+ ROUTED Metal2 ( 4510800 62160 ) ( * 1659280 )
NEW Metal2 ( 3480400 1659280 ) ( * 1690640 0 )
NEW Metal2 ( 4606000 3920 0 ) ( * 62160 )
NEW Metal3 ( 4510800 62160 ) ( 4606000 * )
NEW Metal3 ( 3480400 1659280 ) ( 4510800 * )
NEW Metal2 ( 4510800 62160 ) Via2_VH
NEW Metal2 ( 4510800 1659280 ) Via2_VH
NEW Metal2 ( 3480400 1659280 ) Via2_VH
NEW Metal2 ( 4606000 62160 ) Via2_VH ;
- la_oenb[95] ( PIN la_oenb[95] ) ( computer la_oenb[95] ) + USE SIGNAL
+ ROUTED Metal2 ( 3497200 1649200 ) ( * 1690640 0 )
NEW Metal3 ( 3570000 160720 ) ( 4639600 * )
NEW Metal2 ( 4639600 3920 0 ) ( * 160720 )
NEW Metal3 ( 3497200 1649200 ) ( 3570000 * )
NEW Metal2 ( 3570000 160720 ) ( * 1649200 )
NEW Metal2 ( 3497200 1649200 ) Via2_VH
NEW Metal2 ( 3570000 160720 ) Via2_VH
NEW Metal2 ( 4639600 160720 ) Via2_VH
NEW Metal2 ( 3570000 1649200 ) Via2_VH ;
- la_oenb[96] ( PIN la_oenb[96] ) ( computer la_oenb[96] ) + USE SIGNAL
+ ROUTED Metal2 ( 3514000 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 4544400 94640 ) ( * 1657040 )
NEW Metal2 ( 4673200 3920 0 ) ( * 94640 )
NEW Metal3 ( 4544400 94640 ) ( 4673200 * )
NEW Metal3 ( 3514000 1657040 ) ( 4544400 * )
NEW Metal2 ( 3514000 1657040 ) Via2_VH
NEW Metal2 ( 4544400 1657040 ) Via2_VH
NEW Metal2 ( 4544400 94640 ) Via2_VH
NEW Metal2 ( 4673200 94640 ) Via2_VH ;
- la_oenb[97] ( PIN la_oenb[97] ) ( computer la_oenb[97] ) + USE SIGNAL
+ ROUTED Metal2 ( 3528560 1690640 ) ( 3530800 * 0 )
NEW Metal2 ( 4706800 3920 0 ) ( * 44240 )
NEW Metal2 ( 3528560 44240 ) ( * 1690640 )
NEW Metal3 ( 3528560 44240 ) ( 4706800 * )
NEW Metal2 ( 3528560 44240 ) Via2_VH
NEW Metal2 ( 4706800 44240 ) Via2_VH ;
- la_oenb[98] ( PIN la_oenb[98] ) ( computer la_oenb[98] ) + USE SIGNAL
+ ROUTED Metal2 ( 3547600 1655920 ) ( 3548720 * )
NEW Metal2 ( 3547600 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 4740400 3920 0 ) ( * 61040 )
NEW Metal3 ( 4578000 61040 ) ( 4740400 * )
NEW Metal2 ( 4578000 61040 ) ( * 1655920 )
NEW Metal3 ( 3548720 1655920 ) ( 4578000 * )
NEW Metal2 ( 3548720 1655920 ) Via2_VH
NEW Metal2 ( 4740400 61040 ) Via2_VH
NEW Metal2 ( 4578000 61040 ) Via2_VH
NEW Metal2 ( 4578000 1655920 ) Via2_VH ;
- la_oenb[99] ( PIN la_oenb[99] ) ( computer la_oenb[99] ) + USE SIGNAL
+ ROUTED Metal2 ( 4774000 3920 0 ) ( * 59920 )
NEW Metal3 ( 3562160 59920 ) ( 4774000 * )
NEW Metal2 ( 3562160 1690640 ) ( 3564400 * 0 )
NEW Metal2 ( 3562160 59920 ) ( * 1690640 )
NEW Metal2 ( 3562160 59920 ) Via2_VH
NEW Metal2 ( 4774000 59920 ) Via2_VH ;
- la_oenb[9] ( PIN la_oenb[9] ) ( computer la_oenb[9] ) + USE SIGNAL
+ ROUTED Metal3 ( 1750000 226800 ) ( 2051280 * )
NEW Metal2 ( 1750000 3920 0 ) ( * 226800 )
NEW Metal2 ( 2052400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 2051280 1680000 ) ( 2052400 * )
NEW Metal2 ( 2051280 226800 ) ( * 1680000 )
NEW Metal2 ( 1750000 226800 ) Via2_VH
NEW Metal2 ( 2051280 226800 ) Via2_VH ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( computer irq[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 4037040 1690640 ) ( 4040400 * 0 )
NEW Metal2 ( 4035920 1419600 ) ( * 1495200 )
NEW Metal2 ( 4035920 1495200 ) ( 4037040 * )
NEW Metal2 ( 4037040 1495200 ) ( * 1690640 )
NEW Metal2 ( 5739440 3920 0 ) ( * 42000 )
NEW Metal3 ( 5739440 42000 ) ( 5762960 * )
NEW Metal3 ( 4035920 1419600 ) ( 5762960 * )
NEW Metal2 ( 5762960 42000 ) ( * 1419600 )
NEW Metal2 ( 4035920 1419600 ) Via2_VH
NEW Metal2 ( 5739440 42000 ) Via2_VH
NEW Metal2 ( 5762960 42000 ) Via2_VH
NEW Metal2 ( 5762960 1419600 ) Via2_VH ;
- user_irq[1] ( PIN user_irq[1] ) ( computer irq[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 4046000 1654800 ) ( * 1690640 0 )
NEW Metal2 ( 5720400 48720 ) ( * 1654800 )
NEW Metal3 ( 4046000 1654800 ) ( 5720400 * )
NEW Metal2 ( 5748400 3920 0 ) ( * 48720 )
NEW Metal3 ( 5720400 48720 ) ( 5748400 * )
NEW Metal2 ( 4046000 1654800 ) Via2_VH
NEW Metal2 ( 5720400 48720 ) Via2_VH
NEW Metal2 ( 5720400 1654800 ) Via2_VH
NEW Metal2 ( 5748400 48720 ) Via2_VH ;
- user_irq[2] ( PIN user_irq[2] ) ( computer irq[2] ) + USE SIGNAL
+ ROUTED Metal3 ( 4051600 1652560 ) ( 4074000 * )
NEW Metal2 ( 4051600 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 4074000 1470000 ) ( * 1652560 )
NEW Metal2 ( 5752880 3920 ) ( 5758480 * )
NEW Metal2 ( 5758480 3920 ) ( * 5040 )
NEW Metal2 ( 5758480 5040 ) ( 5759600 * )
NEW Metal2 ( 5759600 3920 0 ) ( * 5040 )
NEW Metal3 ( 4074000 1470000 ) ( 5748400 * )
NEW Metal2 ( 5748400 201600 ) ( 5752880 * )
NEW Metal2 ( 5752880 3920 ) ( * 201600 )
NEW Metal2 ( 5748400 201600 ) ( * 1470000 )
NEW Metal2 ( 4074000 1470000 ) Via2_VH
NEW Metal2 ( 4074000 1652560 ) Via2_VH
NEW Metal2 ( 4051600 1652560 ) Via2_VH
NEW Metal2 ( 5748400 1470000 ) Via2_VH ;
- wb_clk_i ( PIN wb_clk_i ) ( computer wb_clk_i ) + USE SIGNAL
+ ROUTED Metal2 ( 235760 201600 ) ( 238000 * )
NEW Metal2 ( 238000 3920 0 ) ( * 201600 )
NEW Metal2 ( 235760 201600 ) ( * 1503600 )
NEW Metal3 ( 235760 1503600 ) ( 1295280 * )
NEW Metal2 ( 1295280 1503600 ) ( * 1680000 )
NEW Metal2 ( 1295280 1680000 ) ( 1296400 * )
NEW Metal2 ( 1296400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 235760 1503600 ) Via2_VH
NEW Metal2 ( 1295280 1503600 ) Via2_VH ;
- wb_rst_i ( PIN wb_rst_i ) ( computer wb_rst_i ) + USE SIGNAL
+ ROUTED Metal2 ( 251440 3920 0 ) ( * 45360 )
NEW Metal2 ( 462000 45360 ) ( * 1470000 )
NEW Metal3 ( 251440 45360 ) ( 462000 * )
NEW Metal3 ( 462000 1470000 ) ( 1294160 * )
NEW Metal1 ( 1294160 1621200 ) ( 1299760 * )
NEW Metal2 ( 1294160 1470000 ) ( * 1621200 )
NEW Metal2 ( 1299760 1690640 ) ( 1302000 * 0 )
NEW Metal2 ( 1299760 1621200 ) ( * 1690640 )
NEW Metal2 ( 251440 45360 ) Via2_VH
NEW Metal2 ( 462000 45360 ) Via2_VH
NEW Metal2 ( 462000 1470000 ) Via2_VH
NEW Metal2 ( 1294160 1470000 ) Via2_VH
NEW Metal1 ( 1294160 1621200 ) Via1_HV
NEW Metal1 ( 1299760 1621200 ) Via1_HV ;
- wbs_ack_o ( PIN wbs_ack_o ) ( computer wbs_ack_o ) + USE SIGNAL
+ ROUTED Metal2 ( 252560 3920 ) ( 259280 * )
NEW Metal2 ( 259280 3920 ) ( * 5040 )
NEW Metal2 ( 259280 5040 ) ( 260400 * )
NEW Metal2 ( 260400 3920 0 ) ( * 5040 )
NEW Metal2 ( 252560 3920 ) ( * 1570800 )
NEW Metal3 ( 252560 1570800 ) ( 1304240 * )
NEW Metal2 ( 1304240 1690640 ) ( 1307600 * 0 )
NEW Metal2 ( 1304240 1570800 ) ( * 1690640 )
NEW Metal2 ( 252560 1570800 ) Via2_VH
NEW Metal2 ( 1304240 1570800 ) Via2_VH ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( computer wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 304080 201600 ) ( 305200 * )
NEW Metal2 ( 305200 3920 0 ) ( * 201600 )
NEW Metal2 ( 304080 201600 ) ( * 1436400 )
NEW Metal3 ( 304080 1436400 ) ( 1328880 * )
NEW Metal2 ( 1328880 1436400 ) ( * 1562400 )
NEW Metal2 ( 1328880 1562400 ) ( 1330000 * )
NEW Metal2 ( 1330000 1562400 ) ( * 1690640 0 )
NEW Metal2 ( 304080 1436400 ) Via2_VH
NEW Metal2 ( 1328880 1436400 ) Via2_VH ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( computer wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 679280 3920 ) ( 684880 * )
NEW Metal2 ( 684880 3920 ) ( * 5040 )
NEW Metal2 ( 684880 5040 ) ( 686000 * )
NEW Metal2 ( 686000 3920 0 ) ( * 5040 )
NEW Metal2 ( 672560 201600 ) ( 679280 * )
NEW Metal2 ( 679280 3920 ) ( * 201600 )
NEW Metal2 ( 672560 201600 ) ( * 1402800 )
NEW Metal3 ( 672560 1402800 ) ( 1513680 * )
NEW Metal2 ( 1513680 1651440 ) ( 1517040 * )
NEW Metal2 ( 1513680 1402800 ) ( * 1651440 )
NEW Metal2 ( 1517040 1690640 ) ( 1520400 * 0 )
NEW Metal2 ( 1517040 1651440 ) ( * 1690640 )
NEW Metal2 ( 672560 1402800 ) Via2_VH
NEW Metal2 ( 1513680 1402800 ) Via2_VH ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( computer wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 712880 3920 ) ( 718480 * )
NEW Metal2 ( 718480 3920 ) ( * 5040 )
NEW Metal2 ( 718480 5040 ) ( 719600 * )
NEW Metal2 ( 719600 3920 0 ) ( * 5040 )
NEW Metal2 ( 707280 201600 ) ( 712880 * )
NEW Metal2 ( 712880 3920 ) ( * 201600 )
NEW Metal2 ( 707280 201600 ) ( * 1318800 )
NEW Metal2 ( 1530480 1649200 ) ( 1533840 * )
NEW Metal3 ( 707280 1318800 ) ( 1530480 * )
NEW Metal2 ( 1530480 1318800 ) ( * 1649200 )
NEW Metal2 ( 1533840 1690640 ) ( 1537200 * 0 )
NEW Metal2 ( 1533840 1649200 ) ( * 1690640 )
NEW Metal2 ( 707280 1318800 ) Via2_VH
NEW Metal2 ( 1530480 1318800 ) Via2_VH ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( computer wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED Metal1 ( 739760 42000 ) ( 746480 * )
NEW Metal2 ( 746480 3920 ) ( * 42000 )
NEW Metal2 ( 746480 3920 ) ( 752080 * )
NEW Metal2 ( 752080 3920 ) ( * 5040 )
NEW Metal2 ( 752080 5040 ) ( 753200 * )
NEW Metal2 ( 753200 3920 0 ) ( * 5040 )
NEW Metal2 ( 739760 42000 ) ( * 1520400 )
NEW Metal1 ( 1546160 1618960 ) ( 1551760 * )
NEW Metal3 ( 739760 1520400 ) ( 1546160 * )
NEW Metal2 ( 1546160 1520400 ) ( * 1618960 )
NEW Metal2 ( 1551760 1690640 ) ( 1554000 * 0 )
NEW Metal2 ( 1551760 1618960 ) ( * 1690640 )
NEW Metal1 ( 739760 42000 ) Via1_HV
NEW Metal1 ( 746480 42000 ) Via1_HV
NEW Metal2 ( 739760 1520400 ) Via2_VH
NEW Metal1 ( 1546160 1618960 ) Via1_HV
NEW Metal1 ( 1551760 1618960 ) Via1_HV
NEW Metal2 ( 1546160 1520400 ) Via2_VH ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( computer wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 780080 3920 ) ( 785680 * )
NEW Metal2 ( 785680 3920 ) ( * 5040 )
NEW Metal2 ( 785680 5040 ) ( 786800 * )
NEW Metal2 ( 786800 3920 0 ) ( * 5040 )
NEW Metal2 ( 774480 201600 ) ( 780080 * )
NEW Metal2 ( 780080 3920 ) ( * 201600 )
NEW Metal2 ( 774480 201600 ) ( * 1285200 )
NEW Metal2 ( 1564080 1649200 ) ( 1567440 * )
NEW Metal3 ( 774480 1285200 ) ( 1564080 * )
NEW Metal2 ( 1564080 1285200 ) ( * 1649200 )
NEW Metal2 ( 1567440 1690640 ) ( 1570800 * 0 )
NEW Metal2 ( 1567440 1649200 ) ( * 1690640 )
NEW Metal2 ( 774480 1285200 ) Via2_VH
NEW Metal2 ( 1564080 1285200 ) Via2_VH ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( computer wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 812560 3920 ) ( 819280 * )
NEW Metal2 ( 819280 3920 ) ( * 5040 )
NEW Metal2 ( 819280 5040 ) ( 820400 * )
NEW Metal2 ( 820400 3920 0 ) ( * 5040 )
NEW Metal2 ( 806960 201600 ) ( 812560 * )
NEW Metal2 ( 812560 3920 ) ( * 201600 )
NEW Metal2 ( 806960 201600 ) ( * 1680560 )
NEW Metal2 ( 1587600 1680560 ) ( * 1690640 0 )
NEW Metal3 ( 806960 1680560 ) ( 1587600 * )
NEW Metal2 ( 806960 1680560 ) Via2_VH
NEW Metal2 ( 1587600 1680560 ) Via2_VH ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( computer wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED Metal1 ( 840560 42000 ) ( 847280 * )
NEW Metal2 ( 847280 3920 ) ( * 42000 )
NEW Metal2 ( 847280 3920 ) ( 852880 * )
NEW Metal2 ( 852880 3920 ) ( * 5040 )
NEW Metal2 ( 852880 5040 ) ( 854000 * )
NEW Metal2 ( 854000 3920 0 ) ( * 5040 )
NEW Metal2 ( 840560 42000 ) ( * 1671600 )
NEW Metal3 ( 840560 1671600 ) ( 1604400 * )
NEW Metal2 ( 1604400 1671600 ) ( * 1690640 0 )
NEW Metal1 ( 840560 42000 ) Via1_HV
NEW Metal1 ( 847280 42000 ) Via1_HV
NEW Metal2 ( 840560 1671600 ) Via2_VH
NEW Metal2 ( 1604400 1671600 ) Via2_VH ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( computer wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED Metal1 ( 874160 42000 ) ( 880880 * )
NEW Metal2 ( 880880 3920 ) ( * 42000 )
NEW Metal2 ( 880880 3920 ) ( 886480 * )
NEW Metal2 ( 886480 3920 ) ( * 5040 )
NEW Metal2 ( 886480 5040 ) ( 887600 * )
NEW Metal2 ( 887600 3920 0 ) ( * 5040 )
NEW Metal2 ( 874160 42000 ) ( * 1638000 )
NEW Metal3 ( 874160 1638000 ) ( 1621200 * )
NEW Metal2 ( 1621200 1638000 ) ( * 1690640 0 )
NEW Metal2 ( 1621200 1638000 ) Via2_VH
NEW Metal1 ( 874160 42000 ) Via1_HV
NEW Metal1 ( 880880 42000 ) Via1_HV
NEW Metal2 ( 874160 1638000 ) Via2_VH ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( computer wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 914480 3920 ) ( 920080 * )
NEW Metal2 ( 920080 3920 ) ( * 5040 )
NEW Metal2 ( 920080 5040 ) ( 921200 * )
NEW Metal2 ( 921200 3920 0 ) ( * 5040 )
NEW Metal2 ( 907760 201600 ) ( 914480 * )
NEW Metal2 ( 914480 3920 ) ( * 201600 )
NEW Metal2 ( 907760 201600 ) ( * 1504720 )
NEW Metal3 ( 907760 1504720 ) ( 1634640 * )
NEW Metal2 ( 1634640 1690640 ) ( 1638000 * 0 )
NEW Metal2 ( 1634640 1504720 ) ( * 1690640 )
NEW Metal2 ( 907760 1504720 ) Via2_VH
NEW Metal2 ( 1634640 1504720 ) Via2_VH ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( computer wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED Metal1 ( 941360 42000 ) ( 948080 * )
NEW Metal2 ( 948080 3920 ) ( * 42000 )
NEW Metal2 ( 948080 3920 ) ( 953680 * )
NEW Metal2 ( 953680 3920 ) ( * 5040 )
NEW Metal2 ( 953680 5040 ) ( 954800 * )
NEW Metal2 ( 954800 3920 0 ) ( * 5040 )
NEW Metal3 ( 1646960 1616720 ) ( 1652560 * )
NEW Metal2 ( 941360 42000 ) ( * 1471120 )
NEW Metal2 ( 1646960 1471120 ) ( * 1616720 )
NEW Metal3 ( 941360 1471120 ) ( 1646960 * )
NEW Metal2 ( 1652560 1690640 ) ( 1654800 * 0 )
NEW Metal2 ( 1652560 1616720 ) ( * 1690640 )
NEW Metal1 ( 941360 42000 ) Via1_HV
NEW Metal1 ( 948080 42000 ) Via1_HV
NEW Metal2 ( 941360 1471120 ) Via2_VH
NEW Metal2 ( 1646960 1471120 ) Via2_VH
NEW Metal2 ( 1646960 1616720 ) Via2_VH
NEW Metal2 ( 1652560 1616720 ) Via2_VH ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( computer wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED Metal1 ( 974960 42000 ) ( 981680 * )
NEW Metal2 ( 981680 3920 ) ( * 42000 )
NEW Metal2 ( 981680 3920 ) ( 987280 * )
NEW Metal2 ( 987280 3920 ) ( * 5040 )
NEW Metal2 ( 987280 5040 ) ( 988400 * )
NEW Metal2 ( 988400 3920 0 ) ( * 5040 )
NEW Metal2 ( 974960 42000 ) ( * 1437520 )
NEW Metal2 ( 1666000 1437520 ) ( * 1495200 )
NEW Metal2 ( 1666000 1495200 ) ( 1668240 * )
NEW Metal3 ( 974960 1437520 ) ( 1666000 * )
NEW Metal2 ( 1668240 1690640 ) ( 1671600 * 0 )
NEW Metal2 ( 1668240 1495200 ) ( * 1690640 )
NEW Metal1 ( 974960 42000 ) Via1_HV
NEW Metal1 ( 981680 42000 ) Via1_HV
NEW Metal2 ( 974960 1437520 ) Via2_VH
NEW Metal2 ( 1666000 1437520 ) Via2_VH ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( computer wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 352240 3920 0 ) ( * 42000 )
NEW Metal3 ( 352240 42000 ) ( 361200 * )
NEW Metal2 ( 361200 42000 ) ( * 1621200 )
NEW Metal3 ( 361200 1621200 ) ( 1352400 * )
NEW Metal2 ( 1352400 1621200 ) ( * 1690640 0 )
NEW Metal2 ( 352240 42000 ) Via2_VH
NEW Metal2 ( 361200 42000 ) Via2_VH
NEW Metal2 ( 361200 1621200 ) Via2_VH
NEW Metal2 ( 1352400 1621200 ) Via2_VH ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( computer wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 1015280 3920 ) ( 1020880 * )
NEW Metal2 ( 1020880 3920 ) ( * 5040 )
NEW Metal2 ( 1020880 5040 ) ( 1022000 * )
NEW Metal2 ( 1022000 3920 0 ) ( * 5040 )
NEW Metal2 ( 1681680 1649200 ) ( 1685040 * )
NEW Metal2 ( 1685040 1649200 ) ( * 1690640 )
NEW Metal2 ( 1685040 1690640 ) ( 1688400 * 0 )
NEW Metal2 ( 1009680 201600 ) ( 1015280 * )
NEW Metal2 ( 1015280 3920 ) ( * 201600 )
NEW Metal2 ( 1009680 201600 ) ( * 1252720 )
NEW Metal2 ( 1681680 1252720 ) ( * 1649200 )
NEW Metal3 ( 1009680 1252720 ) ( 1681680 * )
NEW Metal2 ( 1009680 1252720 ) Via2_VH
NEW Metal2 ( 1681680 1252720 ) Via2_VH ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( computer wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 1701840 1690640 ) ( 1705200 * 0 )
NEW Metal2 ( 1699600 1219120 ) ( * 1495200 )
NEW Metal2 ( 1699600 1495200 ) ( 1701840 * )
NEW Metal2 ( 1701840 1495200 ) ( * 1690640 )
NEW Metal1 ( 1042160 42000 ) ( 1048880 * )
NEW Metal2 ( 1048880 3920 ) ( * 42000 )
NEW Metal2 ( 1048880 3920 ) ( 1054480 * )
NEW Metal2 ( 1054480 3920 ) ( * 5040 )
NEW Metal2 ( 1054480 5040 ) ( 1055600 * )
NEW Metal2 ( 1055600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1042160 1219120 ) ( 1699600 * )
NEW Metal2 ( 1042160 42000 ) ( * 1219120 )
NEW Metal2 ( 1699600 1219120 ) Via2_VH
NEW Metal1 ( 1042160 42000 ) Via1_HV
NEW Metal1 ( 1048880 42000 ) Via1_HV
NEW Metal2 ( 1042160 1219120 ) Via2_VH ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( computer wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 1722000 1681680 ) ( * 1690640 0 )
NEW Metal1 ( 1075760 42000 ) ( 1082480 * )
NEW Metal2 ( 1082480 3920 ) ( * 42000 )
NEW Metal2 ( 1082480 3920 ) ( 1088080 * )
NEW Metal2 ( 1088080 3920 ) ( * 5040 )
NEW Metal2 ( 1088080 5040 ) ( 1089200 * )
NEW Metal2 ( 1089200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1075760 42000 ) ( * 1681680 )
NEW Metal3 ( 1075760 1681680 ) ( 1722000 * )
NEW Metal2 ( 1722000 1681680 ) Via2_VH
NEW Metal1 ( 1075760 42000 ) Via1_HV
NEW Metal1 ( 1082480 42000 ) Via1_HV
NEW Metal2 ( 1075760 1681680 ) Via2_VH ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( computer wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED Metal1 ( 1109360 42000 ) ( 1116080 * )
NEW Metal2 ( 1116080 3920 ) ( * 42000 )
NEW Metal2 ( 1116080 3920 ) ( 1121680 * )
NEW Metal2 ( 1121680 3920 ) ( * 5040 )
NEW Metal2 ( 1121680 5040 ) ( 1122800 * )
NEW Metal2 ( 1122800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1738800 1639120 ) ( * 1690640 0 )
NEW Metal2 ( 1109360 42000 ) ( * 1639120 )
NEW Metal3 ( 1109360 1639120 ) ( 1738800 * )
NEW Metal1 ( 1109360 42000 ) Via1_HV
NEW Metal1 ( 1116080 42000 ) Via1_HV
NEW Metal2 ( 1109360 1639120 ) Via2_VH
NEW Metal2 ( 1738800 1639120 ) Via2_VH ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( computer wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED Metal1 ( 1142960 42000 ) ( 1149680 * )
NEW Metal2 ( 1149680 3920 ) ( * 42000 )
NEW Metal2 ( 1149680 3920 ) ( 1155280 * )
NEW Metal2 ( 1155280 3920 ) ( * 5040 )
NEW Metal2 ( 1155280 5040 ) ( 1156400 * )
NEW Metal2 ( 1156400 3920 0 ) ( * 5040 )
NEW Metal2 ( 1142960 42000 ) ( * 1608880 )
NEW Metal1 ( 1747760 1638000 ) ( 1752240 * )
NEW Metal2 ( 1752240 1638000 ) ( * 1690640 )
NEW Metal2 ( 1752240 1690640 ) ( 1755600 * 0 )
NEW Metal2 ( 1747760 1608880 ) ( * 1638000 )
NEW Metal3 ( 1142960 1608880 ) ( 1747760 * )
NEW Metal1 ( 1142960 42000 ) Via1_HV
NEW Metal1 ( 1149680 42000 ) Via1_HV
NEW Metal2 ( 1142960 1608880 ) Via2_VH
NEW Metal1 ( 1747760 1638000 ) Via1_HV
NEW Metal1 ( 1752240 1638000 ) Via1_HV
NEW Metal2 ( 1747760 1608880 ) Via2_VH ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( computer wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED Metal1 ( 1176560 42000 ) ( 1183280 * )
NEW Metal2 ( 1183280 3920 ) ( * 42000 )
NEW Metal2 ( 1183280 3920 ) ( 1188880 * )
NEW Metal2 ( 1188880 3920 ) ( * 5040 )
NEW Metal2 ( 1188880 5040 ) ( 1190000 * )
NEW Metal2 ( 1190000 3920 0 ) ( * 5040 )
NEW Metal2 ( 1176560 42000 ) ( * 1672720 )
NEW Metal2 ( 1772400 1672720 ) ( * 1690640 0 )
NEW Metal3 ( 1176560 1672720 ) ( 1772400 * )
NEW Metal1 ( 1176560 42000 ) Via1_HV
NEW Metal1 ( 1183280 42000 ) Via1_HV
NEW Metal2 ( 1176560 1672720 ) Via2_VH
NEW Metal2 ( 1772400 1672720 ) Via2_VH ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( computer wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 1216880 3920 ) ( 1222480 * )
NEW Metal2 ( 1222480 3920 ) ( * 5040 )
NEW Metal2 ( 1222480 5040 ) ( 1223600 * )
NEW Metal2 ( 1223600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1210160 201600 ) ( 1216880 * )
NEW Metal2 ( 1216880 3920 ) ( * 201600 )
NEW Metal2 ( 1210160 201600 ) ( * 1623440 )
NEW Metal2 ( 1782480 1623440 ) ( * 1657040 )
NEW Metal2 ( 1782480 1657040 ) ( 1785840 * )
NEW Metal2 ( 1785840 1657040 ) ( * 1690640 )
NEW Metal2 ( 1785840 1690640 ) ( 1789200 * 0 )
NEW Metal3 ( 1210160 1623440 ) ( 1782480 * )
NEW Metal2 ( 1210160 1623440 ) Via2_VH
NEW Metal2 ( 1782480 1623440 ) Via2_VH ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( computer wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 1250480 3920 ) ( 1256080 * )
NEW Metal2 ( 1256080 3920 ) ( * 5040 )
NEW Metal2 ( 1256080 5040 ) ( 1257200 * )
NEW Metal2 ( 1257200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1243760 201600 ) ( 1250480 * )
NEW Metal2 ( 1250480 3920 ) ( * 201600 )
NEW Metal2 ( 1243760 201600 ) ( * 1505840 )
NEW Metal2 ( 1802640 1690640 ) ( 1806000 * 0 )
NEW Metal3 ( 1243760 1505840 ) ( 1802640 * )
NEW Metal2 ( 1802640 1505840 ) ( * 1690640 )
NEW Metal2 ( 1243760 1505840 ) Via2_VH
NEW Metal2 ( 1802640 1505840 ) Via2_VH ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( computer wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED Metal2 ( 1282960 3920 ) ( 1289680 * )
NEW Metal2 ( 1289680 3920 ) ( * 5040 )
NEW Metal2 ( 1289680 5040 ) ( 1290800 * )
NEW Metal2 ( 1290800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1816080 1651440 ) ( 1819440 * )
NEW Metal2 ( 1819440 1651440 ) ( * 1690640 )
NEW Metal2 ( 1819440 1690640 ) ( 1822800 * 0 )
NEW Metal2 ( 1277360 201600 ) ( 1282960 * )
NEW Metal2 ( 1282960 3920 ) ( * 201600 )
NEW Metal2 ( 1277360 201600 ) ( * 1521520 )
NEW Metal3 ( 1277360 1521520 ) ( 1816080 * )
NEW Metal2 ( 1816080 1521520 ) ( * 1651440 )
NEW Metal2 ( 1277360 1521520 ) Via2_VH
NEW Metal2 ( 1816080 1521520 ) Via2_VH ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( computer wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED Metal2 ( 1317680 3920 ) ( 1323280 * )
NEW Metal2 ( 1323280 3920 ) ( * 5040 )
NEW Metal2 ( 1323280 5040 ) ( 1324400 * )
NEW Metal2 ( 1324400 3920 0 ) ( * 5040 )
NEW Metal2 ( 1836240 1640240 ) ( * 1690640 )
NEW Metal2 ( 1836240 1690640 ) ( 1839600 * 0 )
NEW Metal2 ( 1310960 201600 ) ( 1317680 * )
NEW Metal2 ( 1317680 3920 ) ( * 201600 )
NEW Metal2 ( 1310960 201600 ) ( * 1640240 )
NEW Metal3 ( 1310960 1640240 ) ( 1836240 * )
NEW Metal2 ( 1310960 1640240 ) Via2_VH
NEW Metal2 ( 1836240 1640240 ) Via2_VH ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( computer wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 386960 3920 ) ( 393680 * )
NEW Metal2 ( 393680 3920 ) ( * 5040 )
NEW Metal2 ( 393680 5040 ) ( 394800 * )
NEW Metal2 ( 394800 3920 0 ) ( * 5040 )
NEW Metal3 ( 386960 1251600 ) ( 1363600 * )
NEW Metal2 ( 386960 3920 ) ( * 1251600 )
NEW Metal2 ( 1363600 1251600 ) ( * 1495200 )
NEW Metal2 ( 1363600 1495200 ) ( 1371440 * )
NEW Metal2 ( 1371440 1690640 ) ( 1374800 * 0 )
NEW Metal2 ( 1371440 1495200 ) ( * 1690640 )
NEW Metal2 ( 386960 1251600 ) Via2_VH
NEW Metal2 ( 1363600 1251600 ) Via2_VH ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( computer wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED Metal2 ( 1853040 1690640 ) ( 1856400 * 0 )
NEW Metal2 ( 1850800 1453200 ) ( * 1495200 )
NEW Metal2 ( 1850800 1495200 ) ( 1853040 * )
NEW Metal2 ( 1853040 1495200 ) ( * 1690640 )
NEW Metal2 ( 1351280 3920 ) ( 1356880 * )
NEW Metal2 ( 1356880 3920 ) ( * 5040 )
NEW Metal2 ( 1356880 5040 ) ( 1358000 * )
NEW Metal2 ( 1358000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1344560 1453200 ) ( 1850800 * )
NEW Metal2 ( 1344560 201600 ) ( 1351280 * )
NEW Metal2 ( 1351280 3920 ) ( * 201600 )
NEW Metal2 ( 1344560 201600 ) ( * 1453200 )
NEW Metal2 ( 1850800 1453200 ) Via2_VH
NEW Metal2 ( 1344560 1453200 ) Via2_VH ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( computer wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED Metal2 ( 1384880 3920 ) ( 1390480 * )
NEW Metal2 ( 1390480 3920 ) ( * 5040 )
NEW Metal2 ( 1390480 5040 ) ( 1391600 * )
NEW Metal2 ( 1391600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1869840 1690640 ) ( 1873200 * 0 )
NEW Metal2 ( 1381520 201600 ) ( 1384880 * )
NEW Metal2 ( 1384880 3920 ) ( * 201600 )
NEW Metal2 ( 1381520 201600 ) ( * 429520 )
NEW Metal2 ( 1867600 429520 ) ( * 1495200 )
NEW Metal2 ( 1867600 1495200 ) ( 1869840 * )
NEW Metal2 ( 1869840 1495200 ) ( * 1690640 )
NEW Metal3 ( 1381520 429520 ) ( 1867600 * )
NEW Metal2 ( 1381520 429520 ) Via2_VH
NEW Metal2 ( 1867600 429520 ) Via2_VH ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( computer wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 439600 3920 0 ) ( * 84000 )
NEW Metal2 ( 437360 84000 ) ( 439600 * )
NEW Metal2 ( 437360 84000 ) ( * 1538320 )
NEW Metal2 ( 1397200 1680000 ) ( 1398320 * )
NEW Metal2 ( 1397200 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 1398320 1646400 ) ( * 1680000 )
NEW Metal2 ( 1393840 1538320 ) ( * 1646400 )
NEW Metal2 ( 1393840 1646400 ) ( 1398320 * )
NEW Metal3 ( 437360 1538320 ) ( 1393840 * )
NEW Metal2 ( 437360 1538320 ) Via2_VH
NEW Metal2 ( 1393840 1538320 ) Via2_VH ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( computer wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 486640 3920 0 ) ( * 40880 )
NEW Metal3 ( 486640 40880 ) ( 513520 * )
NEW Metal2 ( 513520 40880 ) ( * 1606640 )
NEW Metal3 ( 513520 1606640 ) ( 1416240 * )
NEW Metal2 ( 1416240 1690640 ) ( 1419600 * 0 )
NEW Metal2 ( 1416240 1606640 ) ( * 1690640 )
NEW Metal2 ( 486640 40880 ) Via2_VH
NEW Metal2 ( 513520 40880 ) Via2_VH
NEW Metal2 ( 513520 1606640 ) Via2_VH
NEW Metal2 ( 1416240 1606640 ) Via2_VH ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( computer wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED Metal1 ( 504560 42000 ) ( 511280 * )
NEW Metal2 ( 511280 3920 ) ( * 42000 )
NEW Metal2 ( 511280 3920 ) ( 516880 * )
NEW Metal2 ( 516880 3920 ) ( * 5040 )
NEW Metal2 ( 516880 5040 ) ( 518000 * )
NEW Metal2 ( 518000 3920 0 ) ( * 5040 )
NEW Metal2 ( 504560 42000 ) ( * 1218000 )
NEW Metal3 ( 504560 1218000 ) ( 1429680 * )
NEW Metal2 ( 1429680 1218000 ) ( * 1562400 )
NEW Metal2 ( 1429680 1562400 ) ( 1433040 * )
NEW Metal2 ( 1433040 1690640 ) ( 1436400 * 0 )
NEW Metal2 ( 1433040 1562400 ) ( * 1690640 )
NEW Metal1 ( 504560 42000 ) Via1_HV
NEW Metal1 ( 511280 42000 ) Via1_HV
NEW Metal2 ( 504560 1218000 ) Via2_VH
NEW Metal2 ( 1429680 1218000 ) Via2_VH ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( computer wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 544880 3920 ) ( 550480 * )
NEW Metal2 ( 550480 3920 ) ( * 5040 )
NEW Metal2 ( 550480 5040 ) ( 551600 * )
NEW Metal2 ( 551600 3920 0 ) ( * 5040 )
NEW Metal1 ( 1445360 1624560 ) ( 1450960 * )
NEW Metal1 ( 538160 177520 ) ( 544880 * )
NEW Metal2 ( 544880 3920 ) ( * 177520 )
NEW Metal2 ( 538160 177520 ) ( * 1605520 )
NEW Metal2 ( 1445360 1605520 ) ( * 1624560 )
NEW Metal3 ( 538160 1605520 ) ( 1445360 * )
NEW Metal2 ( 1450960 1690640 ) ( 1453200 * 0 )
NEW Metal2 ( 1450960 1624560 ) ( * 1690640 )
NEW Metal1 ( 1445360 1624560 ) Via1_HV
NEW Metal1 ( 1450960 1624560 ) Via1_HV
NEW Metal1 ( 538160 177520 ) Via1_HV
NEW Metal1 ( 544880 177520 ) Via1_HV
NEW Metal2 ( 538160 1605520 ) Via2_VH
NEW Metal2 ( 1445360 1605520 ) Via2_VH ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( computer wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 1464400 1486800 ) ( * 1495200 )
NEW Metal2 ( 1464400 1495200 ) ( 1466640 * )
NEW Metal2 ( 577360 3920 ) ( 584080 * )
NEW Metal2 ( 584080 3920 ) ( * 5040 )
NEW Metal2 ( 584080 5040 ) ( 585200 * )
NEW Metal2 ( 585200 3920 0 ) ( * 5040 )
NEW Metal3 ( 572880 1486800 ) ( 1464400 * )
NEW Metal2 ( 572880 201600 ) ( 577360 * )
NEW Metal2 ( 577360 3920 ) ( * 201600 )
NEW Metal2 ( 572880 201600 ) ( * 1486800 )
NEW Metal2 ( 1466640 1690640 ) ( 1470000 * 0 )
NEW Metal2 ( 1466640 1495200 ) ( * 1690640 )
NEW Metal2 ( 1464400 1486800 ) Via2_VH
NEW Metal2 ( 572880 1486800 ) Via2_VH ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( computer wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 1482320 1184400 ) ( * 1495200 )
NEW Metal2 ( 1482320 1495200 ) ( 1483440 * )
NEW Metal2 ( 612080 3920 ) ( 617680 * )
NEW Metal2 ( 617680 3920 ) ( * 5040 )
NEW Metal2 ( 617680 5040 ) ( 618800 * )
NEW Metal2 ( 618800 3920 0 ) ( * 5040 )
NEW Metal3 ( 605360 1184400 ) ( 1482320 * )
NEW Metal2 ( 605360 201600 ) ( 612080 * )
NEW Metal2 ( 612080 3920 ) ( * 201600 )
NEW Metal2 ( 605360 201600 ) ( * 1184400 )
NEW Metal2 ( 1483440 1690640 ) ( 1486800 * 0 )
NEW Metal2 ( 1483440 1495200 ) ( * 1690640 )
NEW Metal2 ( 1482320 1184400 ) Via2_VH
NEW Metal2 ( 605360 1184400 ) Via2_VH ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( computer wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 645680 3920 ) ( 651280 * )
NEW Metal2 ( 651280 3920 ) ( * 5040 )
NEW Metal2 ( 651280 5040 ) ( 652400 * )
NEW Metal2 ( 652400 3920 0 ) ( * 5040 )
NEW Metal3 ( 638960 1234800 ) ( 1498000 * )
NEW Metal2 ( 1498000 1649200 ) ( 1500240 * )
NEW Metal2 ( 638960 201600 ) ( 645680 * )
NEW Metal2 ( 645680 3920 ) ( * 201600 )
NEW Metal2 ( 638960 201600 ) ( * 1234800 )
NEW Metal2 ( 1498000 1234800 ) ( * 1649200 )
NEW Metal2 ( 1500240 1690640 ) ( 1503600 * 0 )
NEW Metal2 ( 1500240 1649200 ) ( * 1690640 )
NEW Metal2 ( 638960 1234800 ) Via2_VH
NEW Metal2 ( 1498000 1234800 ) Via2_VH ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( computer wbs_cyc_i ) + USE SIGNAL
+ ROUTED Metal2 ( 273840 3920 0 ) ( * 48720 )
NEW Metal3 ( 273840 48720 ) ( 327600 * )
NEW Metal3 ( 327600 1622320 ) ( 1313200 * )
NEW Metal2 ( 327600 48720 ) ( * 1622320 )
NEW Metal2 ( 1313200 1622320 ) ( * 1690640 0 )
NEW Metal2 ( 273840 48720 ) Via2_VH
NEW Metal2 ( 327600 48720 ) Via2_VH
NEW Metal2 ( 327600 1622320 ) Via2_VH
NEW Metal2 ( 1313200 1622320 ) Via2_VH ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( computer wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED Metal1 ( 302960 44240 ) ( 309680 * )
NEW Metal2 ( 309680 3920 ) ( * 44240 )
NEW Metal2 ( 309680 3920 ) ( 315280 * )
NEW Metal2 ( 315280 3920 ) ( * 5040 )
NEW Metal2 ( 315280 5040 ) ( 316400 * )
NEW Metal2 ( 316400 3920 0 ) ( * 5040 )
NEW Metal2 ( 302960 44240 ) ( * 1587600 )
NEW Metal3 ( 302960 1587600 ) ( 1332240 * )
NEW Metal2 ( 1332240 1690640 ) ( 1335600 * 0 )
NEW Metal2 ( 1332240 1587600 ) ( * 1690640 )
NEW Metal1 ( 302960 44240 ) Via1_HV
NEW Metal1 ( 309680 44240 ) Via1_HV
NEW Metal2 ( 302960 1587600 ) Via2_VH
NEW Metal2 ( 1332240 1587600 ) Via2_VH ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( computer wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 689360 3920 ) ( 696080 * )
NEW Metal2 ( 696080 3920 ) ( * 5040 )
NEW Metal2 ( 696080 5040 ) ( 697200 * )
NEW Metal2 ( 697200 3920 0 ) ( * 5040 )
NEW Metal2 ( 689360 3920 ) ( * 1571920 )
NEW Metal2 ( 1514800 1615600 ) ( 1522640 * )
NEW Metal2 ( 1514800 1571920 ) ( * 1615600 )
NEW Metal3 ( 689360 1571920 ) ( 1514800 * )
NEW Metal2 ( 1522640 1690640 ) ( 1526000 * 0 )
NEW Metal2 ( 1522640 1615600 ) ( * 1690640 )
NEW Metal2 ( 689360 1571920 ) Via2_VH
NEW Metal2 ( 1514800 1571920 ) Via2_VH ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( computer wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 722960 3920 ) ( 729680 * )
NEW Metal2 ( 729680 3920 ) ( * 5040 )
NEW Metal2 ( 729680 5040 ) ( 730800 * )
NEW Metal2 ( 730800 3920 0 ) ( * 5040 )
NEW Metal2 ( 722960 3920 ) ( * 1574160 )
NEW Metal3 ( 1523760 1648080 ) ( 1542800 * )
NEW Metal2 ( 1523760 1574160 ) ( * 1648080 )
NEW Metal3 ( 722960 1574160 ) ( 1523760 * )
NEW Metal2 ( 1542800 1648080 ) ( * 1690640 0 )
NEW Metal2 ( 722960 1574160 ) Via2_VH
NEW Metal2 ( 1523760 1648080 ) Via2_VH
NEW Metal2 ( 1542800 1648080 ) Via2_VH
NEW Metal2 ( 1523760 1574160 ) Via2_VH ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( computer wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 756560 3920 ) ( 763280 * )
NEW Metal2 ( 763280 3920 ) ( * 5040 )
NEW Metal2 ( 763280 5040 ) ( 764400 * )
NEW Metal2 ( 764400 3920 0 ) ( * 5040 )
NEW Metal2 ( 756560 3920 ) ( * 378000 )
NEW Metal3 ( 1547280 1649200 ) ( 1556240 * )
NEW Metal3 ( 756560 378000 ) ( 1547280 * )
NEW Metal2 ( 1547280 378000 ) ( * 1649200 )
NEW Metal2 ( 1556240 1690640 ) ( 1559600 * 0 )
NEW Metal2 ( 1556240 1649200 ) ( * 1690640 )
NEW Metal2 ( 756560 378000 ) Via2_VH
NEW Metal2 ( 1547280 1649200 ) Via2_VH
NEW Metal2 ( 1556240 1649200 ) Via2_VH
NEW Metal2 ( 1547280 378000 ) Via2_VH ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( computer wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 790160 3920 ) ( 796880 * )
NEW Metal2 ( 796880 3920 ) ( * 5040 )
NEW Metal2 ( 796880 5040 ) ( 798000 * )
NEW Metal2 ( 798000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1554000 1651440 ) ( 1576400 * )
NEW Metal2 ( 790160 3920 ) ( * 1575280 )
NEW Metal2 ( 1554000 1575280 ) ( * 1651440 )
NEW Metal3 ( 790160 1575280 ) ( 1554000 * )
NEW Metal2 ( 1576400 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 1554000 1651440 ) Via2_VH
NEW Metal2 ( 1576400 1651440 ) Via2_VH
NEW Metal2 ( 790160 1575280 ) Via2_VH
NEW Metal2 ( 1554000 1575280 ) Via2_VH ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( computer wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED Metal2 ( 823760 3920 ) ( 830480 * )
NEW Metal2 ( 830480 3920 ) ( * 5040 )
NEW Metal2 ( 830480 5040 ) ( 831600 * )
NEW Metal2 ( 831600 3920 0 ) ( * 5040 )
NEW Metal1 ( 1582000 1623440 ) ( 1589840 * )
NEW Metal2 ( 823760 3920 ) ( * 428400 )
NEW Metal3 ( 823760 428400 ) ( 1582000 * )
NEW Metal2 ( 1582000 428400 ) ( * 1623440 )
NEW Metal2 ( 1589840 1690640 ) ( 1593200 * 0 )
NEW Metal2 ( 1589840 1623440 ) ( * 1690640 )
NEW Metal1 ( 1582000 1623440 ) Via1_HV
NEW Metal1 ( 1589840 1623440 ) Via1_HV
NEW Metal2 ( 823760 428400 ) Via2_VH
NEW Metal2 ( 1582000 428400 ) Via2_VH ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( computer wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 857360 3920 ) ( 864080 * )
NEW Metal2 ( 864080 3920 ) ( * 5040 )
NEW Metal2 ( 864080 5040 ) ( 865200 * )
NEW Metal2 ( 865200 3920 0 ) ( * 5040 )
NEW Metal3 ( 1587600 1651440 ) ( 1610000 * )
NEW Metal2 ( 857360 3920 ) ( * 1607760 )
NEW Metal2 ( 1587600 1607760 ) ( * 1651440 )
NEW Metal3 ( 857360 1607760 ) ( 1587600 * )
NEW Metal2 ( 1610000 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 1587600 1651440 ) Via2_VH
NEW Metal2 ( 1610000 1651440 ) Via2_VH
NEW Metal2 ( 857360 1607760 ) Via2_VH
NEW Metal2 ( 1587600 1607760 ) Via2_VH ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( computer wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 1615600 1150800 ) ( * 1495200 )
NEW Metal2 ( 1615600 1495200 ) ( 1623440 * )
NEW Metal2 ( 890960 3920 ) ( 897680 * )
NEW Metal2 ( 897680 3920 ) ( * 5040 )
NEW Metal2 ( 897680 5040 ) ( 898800 * )
NEW Metal2 ( 898800 3920 0 ) ( * 5040 )
NEW Metal3 ( 890960 1150800 ) ( 1615600 * )
NEW Metal2 ( 890960 3920 ) ( * 1150800 )
NEW Metal2 ( 1623440 1690640 ) ( 1626800 * 0 )
NEW Metal2 ( 1623440 1495200 ) ( * 1690640 )
NEW Metal2 ( 1615600 1150800 ) Via2_VH
NEW Metal2 ( 890960 1150800 ) Via2_VH ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( computer wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 924560 3920 ) ( 931280 * )
NEW Metal2 ( 931280 3920 ) ( * 5040 )
NEW Metal2 ( 931280 5040 ) ( 932400 * )
NEW Metal2 ( 932400 3920 0 ) ( * 5040 )
NEW Metal1 ( 1631280 1615600 ) ( 1640240 * )
NEW Metal2 ( 924560 3920 ) ( * 1117200 )
NEW Metal2 ( 1631280 1117200 ) ( * 1615600 )
NEW Metal3 ( 924560 1117200 ) ( 1631280 * )
NEW Metal2 ( 1640240 1690640 ) ( 1643600 * 0 )
NEW Metal2 ( 1640240 1615600 ) ( * 1690640 )
NEW Metal1 ( 1631280 1615600 ) Via1_HV
NEW Metal1 ( 1640240 1615600 ) Via1_HV
NEW Metal2 ( 924560 1117200 ) Via2_VH
NEW Metal2 ( 1631280 1117200 ) Via2_VH ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( computer wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 958160 3920 ) ( 964880 * )
NEW Metal2 ( 964880 3920 ) ( * 5040 )
NEW Metal2 ( 964880 5040 ) ( 966000 * )
NEW Metal2 ( 966000 3920 0 ) ( * 5040 )
NEW Metal2 ( 958160 3920 ) ( * 1588720 )
NEW Metal3 ( 958160 1588720 ) ( 1657040 * )
NEW Metal2 ( 1657040 1690640 ) ( 1660400 * 0 )
NEW Metal2 ( 1657040 1588720 ) ( * 1690640 )
NEW Metal2 ( 958160 1588720 ) Via2_VH
NEW Metal2 ( 1657040 1588720 ) Via2_VH ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( computer wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED Metal2 ( 991760 3920 ) ( 998480 * )
NEW Metal2 ( 998480 3920 ) ( * 5040 )
NEW Metal2 ( 998480 5040 ) ( 999600 * )
NEW Metal2 ( 999600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1664880 1651440 ) ( 1673840 * )
NEW Metal2 ( 991760 3920 ) ( * 1084720 )
NEW Metal2 ( 1664880 1084720 ) ( * 1651440 )
NEW Metal3 ( 991760 1084720 ) ( 1664880 * )
NEW Metal2 ( 1673840 1690640 ) ( 1677200 * 0 )
NEW Metal2 ( 1673840 1651440 ) ( * 1690640 )
NEW Metal2 ( 1664880 1651440 ) Via2_VH
NEW Metal2 ( 1673840 1651440 ) Via2_VH
NEW Metal2 ( 991760 1084720 ) Via2_VH
NEW Metal2 ( 1664880 1084720 ) Via2_VH ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( computer wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 353360 3920 ) ( 360080 * )
NEW Metal2 ( 360080 3920 ) ( * 5040 )
NEW Metal2 ( 360080 5040 ) ( 361200 * )
NEW Metal2 ( 361200 3920 0 ) ( * 5040 )
NEW Metal2 ( 353360 3920 ) ( * 1554000 )
NEW Metal3 ( 353360 1554000 ) ( 1354640 * )
NEW Metal2 ( 1354640 1690640 ) ( 1358000 * 0 )
NEW Metal2 ( 1354640 1554000 ) ( * 1690640 )
NEW Metal2 ( 353360 1554000 ) Via2_VH
NEW Metal2 ( 1354640 1554000 ) Via2_VH ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( computer wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED Metal3 ( 1671600 1652560 ) ( 1694000 * )
NEW Metal2 ( 1694000 1652560 ) ( * 1690640 0 )
NEW Metal2 ( 1671600 1556240 ) ( * 1652560 )
NEW Metal2 ( 1025360 3920 ) ( 1032080 * )
NEW Metal2 ( 1032080 3920 ) ( * 5040 )
NEW Metal2 ( 1032080 5040 ) ( 1033200 * )
NEW Metal2 ( 1033200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1025360 3920 ) ( * 1556240 )
NEW Metal3 ( 1025360 1556240 ) ( 1671600 * )
NEW Metal2 ( 1671600 1652560 ) Via2_VH
NEW Metal2 ( 1694000 1652560 ) Via2_VH
NEW Metal2 ( 1671600 1556240 ) Via2_VH
NEW Metal2 ( 1025360 1556240 ) Via2_VH ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( computer wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED Metal1 ( 1698480 1642480 ) ( 1707440 * )
NEW Metal2 ( 1707440 1642480 ) ( * 1690640 )
NEW Metal2 ( 1707440 1690640 ) ( 1710800 * 0 )
NEW Metal2 ( 1698480 244720 ) ( * 1642480 )
NEW Metal2 ( 1058960 3920 ) ( 1065680 * )
NEW Metal2 ( 1065680 3920 ) ( * 5040 )
NEW Metal2 ( 1065680 5040 ) ( 1066800 * )
NEW Metal2 ( 1066800 3920 0 ) ( * 5040 )
NEW Metal3 ( 1058960 244720 ) ( 1698480 * )
NEW Metal2 ( 1058960 3920 ) ( * 244720 )
NEW Metal2 ( 1698480 244720 ) Via2_VH
NEW Metal1 ( 1698480 1642480 ) Via1_HV
NEW Metal1 ( 1707440 1642480 ) Via1_HV
NEW Metal2 ( 1058960 244720 ) Via2_VH ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( computer wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED Metal1 ( 1715280 1622320 ) ( 1724240 * )
NEW Metal2 ( 1724240 1622320 ) ( * 1690640 )
NEW Metal2 ( 1724240 1690640 ) ( 1727600 * 0 )
NEW Metal2 ( 1715280 1403920 ) ( * 1622320 )
NEW Metal2 ( 1092560 3920 ) ( 1099280 * )
NEW Metal2 ( 1099280 3920 ) ( * 5040 )
NEW Metal2 ( 1099280 5040 ) ( 1100400 * )
NEW Metal2 ( 1100400 3920 0 ) ( * 5040 )
NEW Metal3 ( 1092560 1403920 ) ( 1715280 * )
NEW Metal2 ( 1092560 3920 ) ( * 1403920 )
NEW Metal2 ( 1715280 1403920 ) Via2_VH
NEW Metal1 ( 1715280 1622320 ) Via1_HV
NEW Metal1 ( 1724240 1622320 ) Via1_HV
NEW Metal2 ( 1092560 1403920 ) Via2_VH ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( computer wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 1126160 3920 ) ( 1132880 * )
NEW Metal2 ( 1132880 3920 ) ( * 5040 )
NEW Metal2 ( 1132880 5040 ) ( 1134000 * )
NEW Metal2 ( 1134000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1733200 1651440 ) ( 1741040 * )
NEW Metal2 ( 1741040 1651440 ) ( * 1690640 )
NEW Metal2 ( 1741040 1690640 ) ( 1744400 * 0 )
NEW Metal2 ( 1126160 3920 ) ( * 1319920 )
NEW Metal3 ( 1126160 1319920 ) ( 1733200 * )
NEW Metal2 ( 1733200 1319920 ) ( * 1651440 )
NEW Metal2 ( 1733200 1651440 ) Via2_VH
NEW Metal2 ( 1741040 1651440 ) Via2_VH
NEW Metal2 ( 1126160 1319920 ) Via2_VH
NEW Metal2 ( 1733200 1319920 ) Via2_VH ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( computer wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED Metal2 ( 1159760 3920 ) ( 1166480 * )
NEW Metal2 ( 1166480 3920 ) ( * 5040 )
NEW Metal2 ( 1166480 5040 ) ( 1167600 * )
NEW Metal2 ( 1167600 3920 0 ) ( * 5040 )
NEW Metal2 ( 1159760 3920 ) ( * 260400 )
NEW Metal3 ( 1159760 260400 ) ( 1750000 * )
NEW Metal2 ( 1750000 1635760 ) ( 1753360 * )
NEW Metal2 ( 1753360 1635760 ) ( * 1651440 )
NEW Metal2 ( 1753360 1651440 ) ( 1757840 * )
NEW Metal2 ( 1757840 1651440 ) ( * 1690640 )
NEW Metal2 ( 1757840 1690640 ) ( 1761200 * 0 )
NEW Metal2 ( 1750000 260400 ) ( * 1635760 )
NEW Metal2 ( 1159760 260400 ) Via2_VH
NEW Metal2 ( 1750000 260400 ) Via2_VH ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( computer wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED Metal2 ( 1193360 3920 ) ( 1200080 * )
NEW Metal2 ( 1200080 3920 ) ( * 5040 )
NEW Metal2 ( 1200080 5040 ) ( 1201200 * )
NEW Metal2 ( 1201200 3920 0 ) ( * 5040 )
NEW Metal2 ( 1193360 3920 ) ( * 394800 )
NEW Metal2 ( 1774640 1690640 ) ( 1778000 * 0 )
NEW Metal3 ( 1193360 394800 ) ( 1766800 * )
NEW Metal2 ( 1766800 394800 ) ( * 1495200 )
NEW Metal2 ( 1766800 1495200 ) ( 1774640 * )
NEW Metal2 ( 1774640 1495200 ) ( * 1690640 )
NEW Metal2 ( 1193360 394800 ) Via2_VH
NEW Metal2 ( 1766800 394800 ) Via2_VH ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( computer wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 1226960 3920 ) ( 1233680 * )
NEW Metal2 ( 1233680 3920 ) ( * 5040 )
NEW Metal2 ( 1233680 5040 ) ( 1234800 * )
NEW Metal2 ( 1234800 3920 0 ) ( * 5040 )
NEW Metal2 ( 1226960 3920 ) ( * 1286320 )
NEW Metal2 ( 1783600 1652560 ) ( 1791440 * )
NEW Metal2 ( 1791440 1652560 ) ( * 1690640 )
NEW Metal2 ( 1791440 1690640 ) ( 1794800 * 0 )
NEW Metal3 ( 1226960 1286320 ) ( 1783600 * )
NEW Metal2 ( 1783600 1286320 ) ( * 1652560 )
NEW Metal2 ( 1226960 1286320 ) Via2_VH
NEW Metal2 ( 1783600 1286320 ) Via2_VH ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( computer wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 1260560 3920 ) ( 1267280 * )
NEW Metal2 ( 1267280 3920 ) ( * 5040 )
NEW Metal2 ( 1267280 5040 ) ( 1268400 * )
NEW Metal2 ( 1268400 3920 0 ) ( * 5040 )
NEW Metal3 ( 1799280 1651440 ) ( 1808240 * )
NEW Metal2 ( 1808240 1651440 ) ( * 1690640 )
NEW Metal2 ( 1808240 1690640 ) ( 1811600 * 0 )
NEW Metal2 ( 1260560 3920 ) ( * 411600 )
NEW Metal3 ( 1260560 411600 ) ( 1799280 * )
NEW Metal2 ( 1799280 411600 ) ( * 1651440 )
NEW Metal2 ( 1799280 1651440 ) Via2_VH
NEW Metal2 ( 1808240 1651440 ) Via2_VH
NEW Metal2 ( 1260560 411600 ) Via2_VH
NEW Metal2 ( 1799280 411600 ) Via2_VH ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( computer wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED Metal2 ( 1295280 3920 ) ( 1300880 * )
NEW Metal2 ( 1300880 3920 ) ( * 5040 )
NEW Metal2 ( 1300880 5040 ) ( 1302000 * )
NEW Metal2 ( 1302000 3920 0 ) ( * 5040 )
NEW Metal3 ( 1295280 1472240 ) ( 1817200 * )
NEW Metal2 ( 1825040 1690640 ) ( 1828400 * 0 )
NEW Metal2 ( 1295280 3920 ) ( * 1472240 )
NEW Metal2 ( 1825040 1612800 ) ( * 1690640 )
NEW Metal2 ( 1817200 1612800 ) ( 1825040 * )
NEW Metal2 ( 1817200 1472240 ) ( * 1612800 )
NEW Metal2 ( 1295280 1472240 ) Via2_VH
NEW Metal2 ( 1817200 1472240 ) Via2_VH ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( computer wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED Metal2 ( 1327760 3920 ) ( 1334480 * )
NEW Metal2 ( 1334480 3920 ) ( * 5040 )
NEW Metal2 ( 1334480 5040 ) ( 1335600 * )
NEW Metal2 ( 1335600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1327760 445200 ) ( 1832880 * )
NEW Metal3 ( 1832880 1627920 ) ( 1841840 * )
NEW Metal2 ( 1841840 1627920 ) ( * 1690640 )
NEW Metal2 ( 1841840 1690640 ) ( 1845200 * 0 )
NEW Metal2 ( 1327760 3920 ) ( * 445200 )
NEW Metal2 ( 1832880 445200 ) ( * 1627920 )
NEW Metal2 ( 1327760 445200 ) Via2_VH
NEW Metal2 ( 1832880 445200 ) Via2_VH
NEW Metal2 ( 1832880 1627920 ) Via2_VH
NEW Metal2 ( 1841840 1627920 ) Via2_VH ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( computer wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 1381520 1268400 ) ( * 1495200 )
NEW Metal2 ( 1381520 1495200 ) ( 1383760 * )
NEW Metal2 ( 403760 201600 ) ( 406000 * )
NEW Metal2 ( 406000 3920 0 ) ( * 201600 )
NEW Metal2 ( 403760 201600 ) ( * 1268400 )
NEW Metal3 ( 403760 1268400 ) ( 1381520 * )
NEW Metal2 ( 1380400 1690640 0 ) ( 1383760 * )
NEW Metal2 ( 1383760 1495200 ) ( * 1690640 )
NEW Metal2 ( 1381520 1268400 ) Via2_VH
NEW Metal2 ( 403760 1268400 ) Via2_VH ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( computer wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED Metal3 ( 1849680 1651440 ) ( 1858640 * )
NEW Metal2 ( 1858640 1651440 ) ( * 1690640 )
NEW Metal2 ( 1858640 1690640 ) ( 1862000 * 0 )
NEW Metal2 ( 1849680 1253840 ) ( * 1651440 )
NEW Metal2 ( 1361360 3920 ) ( 1368080 * )
NEW Metal2 ( 1368080 3920 ) ( * 5040 )
NEW Metal2 ( 1368080 5040 ) ( 1369200 * )
NEW Metal2 ( 1369200 3920 0 ) ( * 5040 )
NEW Metal3 ( 1361360 1253840 ) ( 1849680 * )
NEW Metal2 ( 1361360 3920 ) ( * 1253840 )
NEW Metal2 ( 1849680 1253840 ) Via2_VH
NEW Metal2 ( 1849680 1651440 ) Via2_VH
NEW Metal2 ( 1858640 1651440 ) Via2_VH
NEW Metal2 ( 1361360 1253840 ) Via2_VH ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( computer wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED Metal2 ( 1398320 3920 ) ( 1401680 * )
NEW Metal2 ( 1401680 3920 ) ( * 5040 )
NEW Metal2 ( 1401680 5040 ) ( 1402800 * )
NEW Metal2 ( 1402800 3920 0 ) ( * 5040 )
NEW Metal3 ( 1866480 1651440 ) ( 1875440 * )
NEW Metal2 ( 1875440 1651440 ) ( * 1690640 )
NEW Metal2 ( 1875440 1690640 ) ( 1878800 * 0 )
NEW Metal2 ( 1398320 3920 ) ( * 462000 )
NEW Metal2 ( 1866480 462000 ) ( * 1651440 )
NEW Metal3 ( 1398320 462000 ) ( 1866480 * )
NEW Metal2 ( 1398320 462000 ) Via2_VH
NEW Metal2 ( 1866480 462000 ) Via2_VH
NEW Metal2 ( 1866480 1651440 ) Via2_VH
NEW Metal2 ( 1875440 1651440 ) Via2_VH ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( computer wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 444080 3920 ) ( 449680 * )
NEW Metal2 ( 449680 3920 ) ( * 5040 )
NEW Metal2 ( 449680 5040 ) ( 450800 * )
NEW Metal2 ( 450800 3920 0 ) ( * 5040 )
NEW Metal2 ( 438480 201600 ) ( 444080 * )
NEW Metal2 ( 444080 3920 ) ( * 201600 )
NEW Metal2 ( 438480 201600 ) ( * 462000 )
NEW Metal3 ( 438480 462000 ) ( 1396080 * )
NEW Metal2 ( 1400560 1690640 ) ( 1402800 * 0 )
NEW Metal2 ( 1396080 462000 ) ( * 1528800 )
NEW Metal2 ( 1396080 1528800 ) ( 1400560 * )
NEW Metal2 ( 1400560 1528800 ) ( * 1690640 )
NEW Metal2 ( 438480 462000 ) Via2_VH
NEW Metal2 ( 1396080 462000 ) Via2_VH ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( computer wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 487760 3920 ) ( 494480 * )
NEW Metal2 ( 494480 3920 ) ( * 5040 )
NEW Metal2 ( 494480 5040 ) ( 495600 * )
NEW Metal2 ( 495600 3920 0 ) ( * 5040 )
NEW Metal2 ( 487760 3920 ) ( * 1083600 )
NEW Metal2 ( 1414000 1083600 ) ( * 1495200 )
NEW Metal2 ( 1414000 1495200 ) ( 1418480 * )
NEW Metal3 ( 487760 1083600 ) ( 1414000 * )
NEW Metal2 ( 1418480 1495200 ) ( * 1680000 )
NEW Metal2 ( 1418480 1680000 ) ( 1421840 * )
NEW Metal2 ( 1421840 1680000 ) ( * 1690640 )
NEW Metal2 ( 1421840 1690640 ) ( 1425200 * 0 )
NEW Metal2 ( 487760 1083600 ) Via2_VH
NEW Metal2 ( 1414000 1083600 ) Via2_VH ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( computer wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 521360 3920 ) ( 528080 * )
NEW Metal2 ( 528080 3920 ) ( * 5040 )
NEW Metal2 ( 528080 5040 ) ( 529200 * )
NEW Metal2 ( 529200 3920 0 ) ( * 5040 )
NEW Metal2 ( 521360 3920 ) ( * 1050000 )
NEW Metal2 ( 1430800 1050000 ) ( * 1495200 )
NEW Metal2 ( 1430800 1495200 ) ( 1438640 * )
NEW Metal3 ( 521360 1050000 ) ( 1430800 * )
NEW Metal2 ( 1438640 1690640 ) ( 1442000 * 0 )
NEW Metal2 ( 1438640 1495200 ) ( * 1690640 )
NEW Metal2 ( 521360 1050000 ) Via2_VH
NEW Metal2 ( 1430800 1050000 ) Via2_VH ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( computer wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED Metal1 ( 1446480 1621200 ) ( 1455440 * )
NEW Metal2 ( 1446480 75600 ) ( * 1621200 )
NEW Metal2 ( 565040 3920 0 ) ( * 75600 )
NEW Metal3 ( 565040 75600 ) ( 1446480 * )
NEW Metal2 ( 1455440 1690640 ) ( 1458800 * 0 )
NEW Metal2 ( 1455440 1621200 ) ( * 1690640 )
NEW Metal2 ( 1446480 75600 ) Via2_VH
NEW Metal1 ( 1446480 1621200 ) Via1_HV
NEW Metal1 ( 1455440 1621200 ) Via1_HV
NEW Metal2 ( 565040 75600 ) Via2_VH ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( computer wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 588560 3920 ) ( 595280 * )
NEW Metal2 ( 595280 3920 ) ( * 5040 )
NEW Metal2 ( 595280 5040 ) ( 596400 * )
NEW Metal2 ( 596400 3920 0 ) ( * 5040 )
NEW Metal2 ( 588560 3920 ) ( * 1555120 )
NEW Metal3 ( 588560 1555120 ) ( 1419600 * )
NEW Metal2 ( 1419600 1555120 ) ( * 1650320 )
NEW Metal3 ( 1419600 1650320 ) ( 1475600 * )
NEW Metal2 ( 1475600 1650320 ) ( * 1690640 0 )
NEW Metal2 ( 1475600 1650320 ) Via2_VH
NEW Metal2 ( 1419600 1555120 ) Via2_VH
NEW Metal2 ( 588560 1555120 ) Via2_VH
NEW Metal2 ( 1419600 1650320 ) Via2_VH ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( computer wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED Metal1 ( 1481200 1615600 ) ( 1489040 * )
NEW Metal2 ( 1481200 109200 ) ( * 1615600 )
NEW Metal2 ( 632240 3920 0 ) ( * 109200 )
NEW Metal3 ( 632240 109200 ) ( 1481200 * )
NEW Metal2 ( 1489040 1690640 ) ( 1492400 * 0 )
NEW Metal2 ( 1489040 1615600 ) ( * 1690640 )
NEW Metal1 ( 1481200 1615600 ) Via1_HV
NEW Metal1 ( 1489040 1615600 ) Via1_HV
NEW Metal2 ( 1481200 109200 ) Via2_VH
NEW Metal2 ( 632240 109200 ) Via2_VH ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( computer wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 1486800 1540560 ) ( * 1662640 )
NEW Metal2 ( 655760 3920 ) ( 662480 * )
NEW Metal2 ( 662480 3920 ) ( * 5040 )
NEW Metal2 ( 662480 5040 ) ( 663600 * )
NEW Metal2 ( 663600 3920 0 ) ( * 5040 )
NEW Metal3 ( 1486800 1662640 ) ( 1509200 * )
NEW Metal2 ( 655760 3920 ) ( * 1540560 )
NEW Metal3 ( 655760 1540560 ) ( 1486800 * )
NEW Metal2 ( 1509200 1662640 ) ( * 1690640 0 )
NEW Metal2 ( 1486800 1662640 ) Via2_VH
NEW Metal2 ( 1486800 1540560 ) Via2_VH
NEW Metal2 ( 1509200 1662640 ) Via2_VH
NEW Metal2 ( 655760 1540560 ) Via2_VH ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( computer wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 319760 3920 ) ( 326480 * )
NEW Metal2 ( 326480 3920 ) ( * 5040 )
NEW Metal2 ( 326480 5040 ) ( 327600 * )
NEW Metal2 ( 327600 3920 0 ) ( * 5040 )
NEW Metal3 ( 319760 478800 ) ( 1327760 * )
NEW Metal2 ( 319760 3920 ) ( * 478800 )
NEW Metal1 ( 1327760 1621200 ) ( 1337840 * )
NEW Metal2 ( 1327760 478800 ) ( * 1621200 )
NEW Metal2 ( 1337840 1690640 ) ( 1341200 * 0 )
NEW Metal2 ( 1337840 1621200 ) ( * 1690640 )
NEW Metal2 ( 319760 478800 ) Via2_VH
NEW Metal2 ( 1327760 478800 ) Via2_VH
NEW Metal1 ( 1327760 1621200 ) Via1_HV
NEW Metal1 ( 1337840 1621200 ) Via1_HV ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( computer wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED Metal2 ( 708400 3920 0 ) ( * 84000 )
NEW Metal2 ( 706160 84000 ) ( 708400 * )
NEW Metal2 ( 706160 84000 ) ( * 1573040 )
NEW Metal1 ( 1529360 1613360 ) ( 1531600 * )
NEW Metal2 ( 1531600 1573040 ) ( * 1613360 )
NEW Metal3 ( 706160 1573040 ) ( 1531600 * )
NEW Metal2 ( 1529360 1690640 ) ( 1531600 * 0 )
NEW Metal2 ( 1529360 1613360 ) ( * 1690640 )
NEW Metal2 ( 706160 1573040 ) Via2_VH
NEW Metal1 ( 1531600 1613360 ) Via1_HV
NEW Metal1 ( 1529360 1613360 ) Via1_HV
NEW Metal2 ( 1531600 1573040 ) Via2_VH ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( computer wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED Metal2 ( 740880 201600 ) ( 742000 * )
NEW Metal2 ( 742000 3920 0 ) ( * 201600 )
NEW Metal2 ( 740880 201600 ) ( * 1016400 )
NEW Metal3 ( 740880 1016400 ) ( 1548400 * )
NEW Metal2 ( 1547280 1652560 ) ( 1548400 * )
NEW Metal2 ( 1548400 1016400 ) ( * 1652560 )
NEW Metal2 ( 1547280 1652560 ) ( * 1680000 )
NEW Metal2 ( 1547280 1680000 ) ( 1548400 * )
NEW Metal2 ( 1548400 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 740880 1016400 ) Via2_VH
NEW Metal2 ( 1548400 1016400 ) Via2_VH ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( computer wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED Metal2 ( 775600 3920 0 ) ( * 84000 )
NEW Metal2 ( 773360 84000 ) ( 775600 * )
NEW Metal2 ( 773360 84000 ) ( * 1369200 )
NEW Metal1 ( 1562960 1613360 ) ( 1565200 * )
NEW Metal3 ( 773360 1369200 ) ( 1565200 * )
NEW Metal2 ( 1565200 1369200 ) ( * 1613360 )
NEW Metal2 ( 1562960 1690640 ) ( 1565200 * 0 )
NEW Metal2 ( 1562960 1613360 ) ( * 1690640 )
NEW Metal2 ( 773360 1369200 ) Via2_VH
NEW Metal1 ( 1565200 1613360 ) Via1_HV
NEW Metal1 ( 1562960 1613360 ) Via1_HV
NEW Metal2 ( 1565200 1369200 ) Via2_VH ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( computer wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED Metal2 ( 811440 3920 0 ) ( * 76720 )
NEW Metal3 ( 811440 76720 ) ( 1583120 * )
NEW Metal2 ( 1582000 1651440 ) ( 1583120 * )
NEW Metal2 ( 1583120 76720 ) ( * 1651440 )
NEW Metal2 ( 1582000 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 811440 76720 ) Via2_VH
NEW Metal2 ( 1583120 76720 ) Via2_VH ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( computer wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED Metal3 ( 841680 982800 ) ( 1597680 * )
NEW Metal2 ( 841680 201600 ) ( 842800 * )
NEW Metal2 ( 842800 3920 0 ) ( * 201600 )
NEW Metal2 ( 841680 201600 ) ( * 982800 )
NEW Metal2 ( 1597680 982800 ) ( * 1495200 )
NEW Metal2 ( 1597680 1495200 ) ( 1598800 * )
NEW Metal2 ( 1598800 1495200 ) ( * 1690640 0 )
NEW Metal2 ( 841680 982800 ) Via2_VH
NEW Metal2 ( 1597680 982800 ) Via2_VH ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( computer wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED Metal2 ( 876400 3920 0 ) ( * 126000 )
NEW Metal3 ( 876400 126000 ) ( 1613360 * )
NEW Metal2 ( 1613360 1690640 ) ( 1615600 * 0 )
NEW Metal2 ( 1613360 126000 ) ( * 1690640 )
NEW Metal2 ( 1613360 126000 ) Via2_VH
NEW Metal2 ( 876400 126000 ) Via2_VH ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( computer wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED Metal2 ( 912240 3920 0 ) ( * 92400 )
NEW Metal3 ( 912240 92400 ) ( 1630160 * )
NEW Metal2 ( 1630160 1690640 ) ( 1632400 * 0 )
NEW Metal2 ( 1630160 92400 ) ( * 1690640 )
NEW Metal2 ( 912240 92400 ) Via2_VH
NEW Metal2 ( 1630160 92400 ) Via2_VH ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( computer wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED Metal2 ( 942480 201600 ) ( 943600 * )
NEW Metal2 ( 943600 3920 0 ) ( * 201600 )
NEW Metal2 ( 942480 201600 ) ( * 1302000 )
NEW Metal3 ( 942480 1302000 ) ( 1648080 * )
NEW Metal2 ( 1648080 1302000 ) ( * 1680000 )
NEW Metal2 ( 1648080 1680000 ) ( 1649200 * )
NEW Metal2 ( 1649200 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 942480 1302000 ) Via2_VH
NEW Metal2 ( 1648080 1302000 ) Via2_VH ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( computer wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED Metal2 ( 977200 3920 0 ) ( * 142800 )
NEW Metal3 ( 977200 142800 ) ( 1663760 * )
NEW Metal2 ( 1663760 1690640 ) ( 1666000 * 0 )
NEW Metal2 ( 1663760 142800 ) ( * 1690640 )
NEW Metal2 ( 977200 142800 ) Via2_VH
NEW Metal2 ( 1663760 142800 ) Via2_VH ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( computer wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED Metal1 ( 1680560 1613360 ) ( 1682800 * )
NEW Metal2 ( 1680560 1613360 ) ( * 1690640 )
NEW Metal2 ( 1680560 1690640 ) ( 1682800 * 0 )
NEW Metal2 ( 1010800 3920 0 ) ( * 84000 )
NEW Metal2 ( 1008560 84000 ) ( 1010800 * )
NEW Metal2 ( 1008560 84000 ) ( * 1335600 )
NEW Metal2 ( 1682800 1335600 ) ( * 1613360 )
NEW Metal3 ( 1008560 1335600 ) ( 1682800 * )
NEW Metal1 ( 1682800 1613360 ) Via1_HV
NEW Metal1 ( 1680560 1613360 ) Via1_HV
NEW Metal2 ( 1008560 1335600 ) Via2_VH
NEW Metal2 ( 1682800 1335600 ) Via2_VH ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( computer wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 374640 3920 0 ) ( * 43120 )
NEW Metal3 ( 374640 43120 ) ( 397040 * )
NEW Metal2 ( 397040 43120 ) ( * 1604400 )
NEW Metal3 ( 397040 1604400 ) ( 1363600 * )
NEW Metal2 ( 1363600 1604400 ) ( * 1690640 0 )
NEW Metal2 ( 374640 43120 ) Via2_VH
NEW Metal2 ( 397040 43120 ) Via2_VH
NEW Metal2 ( 397040 1604400 ) Via2_VH
NEW Metal2 ( 1363600 1604400 ) Via2_VH ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( computer wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED Metal2 ( 1697360 1690640 ) ( 1699600 * 0 )
NEW Metal2 ( 1697360 226800 ) ( * 1690640 )
NEW Metal3 ( 1043280 226800 ) ( 1697360 * )
NEW Metal2 ( 1043280 201600 ) ( * 226800 )
NEW Metal2 ( 1043280 201600 ) ( 1044400 * )
NEW Metal2 ( 1044400 3920 0 ) ( * 201600 )
NEW Metal2 ( 1697360 226800 ) Via2_VH
NEW Metal2 ( 1043280 226800 ) Via2_VH ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( computer wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED Metal2 ( 1716400 1419600 ) ( * 1690640 0 )
NEW Metal3 ( 1076880 1419600 ) ( 1716400 * )
NEW Metal2 ( 1076880 201600 ) ( 1078000 * )
NEW Metal2 ( 1078000 3920 0 ) ( * 201600 )
NEW Metal2 ( 1076880 201600 ) ( * 1419600 )
NEW Metal2 ( 1716400 1419600 ) Via2_VH
NEW Metal2 ( 1076880 1419600 ) Via2_VH ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( computer wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED Metal2 ( 1732080 1653680 ) ( 1733200 * )
NEW Metal2 ( 1733200 1653680 ) ( * 1690640 0 )
NEW Metal2 ( 1111600 3920 0 ) ( * 159600 )
NEW Metal3 ( 1111600 159600 ) ( 1732080 * )
NEW Metal2 ( 1732080 159600 ) ( * 1653680 )
NEW Metal2 ( 1111600 159600 ) Via2_VH
NEW Metal2 ( 1732080 159600 ) Via2_VH ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( computer wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED Metal2 ( 1144080 201600 ) ( * 210000 )
NEW Metal2 ( 1144080 201600 ) ( 1145200 * )
NEW Metal2 ( 1145200 3920 0 ) ( * 201600 )
NEW Metal3 ( 1144080 210000 ) ( 1748880 * )
NEW Metal2 ( 1746640 1653680 ) ( 1748880 * )
NEW Metal2 ( 1746640 1653680 ) ( * 1658160 )
NEW Metal2 ( 1746640 1658160 ) ( 1747760 * )
NEW Metal2 ( 1747760 1658160 ) ( * 1690640 )
NEW Metal2 ( 1747760 1690640 ) ( 1750000 * 0 )
NEW Metal2 ( 1748880 210000 ) ( * 1653680 )
NEW Metal2 ( 1144080 210000 ) Via2_VH
NEW Metal2 ( 1748880 210000 ) Via2_VH ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( computer wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED Metal2 ( 1177680 201600 ) ( 1178800 * )
NEW Metal2 ( 1178800 3920 0 ) ( * 201600 )
NEW Metal2 ( 1177680 201600 ) ( * 1438640 )
NEW Metal3 ( 1177680 1438640 ) ( 1765680 * )
NEW Metal2 ( 1765680 1651440 ) ( 1766800 * )
NEW Metal2 ( 1766800 1651440 ) ( * 1690640 0 )
NEW Metal2 ( 1765680 1438640 ) ( * 1651440 )
NEW Metal2 ( 1177680 1438640 ) Via2_VH
NEW Metal2 ( 1765680 1438640 ) Via2_VH ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( computer wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED Metal2 ( 1214640 3920 0 ) ( * 47600 )
NEW Metal3 ( 1214640 47600 ) ( 1781360 * )
NEW Metal2 ( 1781360 1690640 ) ( 1783600 * 0 )
NEW Metal2 ( 1781360 47600 ) ( * 1690640 )
NEW Metal2 ( 1214640 47600 ) Via2_VH
NEW Metal2 ( 1781360 47600 ) Via2_VH ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( computer wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED Metal2 ( 1248240 3920 0 ) ( * 48720 )
NEW Metal3 ( 1248240 48720 ) ( 1798160 * )
NEW Metal2 ( 1798160 1690640 ) ( 1800400 * 0 )
NEW Metal2 ( 1798160 48720 ) ( * 1690640 )
NEW Metal2 ( 1248240 48720 ) Via2_VH
NEW Metal2 ( 1798160 48720 ) Via2_VH ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( computer wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED Metal2 ( 1281840 3920 0 ) ( * 49840 )
NEW Metal3 ( 1281840 49840 ) ( 1814960 * )
NEW Metal2 ( 1814960 1690640 ) ( 1817200 * 0 )
NEW Metal2 ( 1814960 49840 ) ( * 1690640 )
NEW Metal2 ( 1281840 49840 ) Via2_VH
NEW Metal2 ( 1814960 49840 ) Via2_VH ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( computer wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED Metal2 ( 1315440 3920 0 ) ( * 40880 )
NEW Metal3 ( 1315440 40880 ) ( 1834000 * )
NEW Metal2 ( 1834000 40880 ) ( * 1690640 0 )
NEW Metal2 ( 1315440 40880 ) Via2_VH
NEW Metal2 ( 1834000 40880 ) Via2_VH ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( computer wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED Metal2 ( 1850800 1654800 ) ( * 1690640 0 )
NEW Metal2 ( 1470000 59920 ) ( * 1654800 )
NEW Metal2 ( 1349040 3920 0 ) ( * 59920 )
NEW Metal3 ( 1349040 59920 ) ( 1470000 * )
NEW Metal3 ( 1470000 1654800 ) ( 1850800 * )
NEW Metal2 ( 1470000 59920 ) Via2_VH
NEW Metal2 ( 1470000 1654800 ) Via2_VH
NEW Metal2 ( 1850800 1654800 ) Via2_VH
NEW Metal2 ( 1349040 59920 ) Via2_VH ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( computer wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 419440 3920 0 ) ( * 42000 )
NEW Metal3 ( 419440 42000 ) ( 1378160 * )
NEW Metal3 ( 1378160 1621200 ) ( 1386000 * )
NEW Metal2 ( 1378160 42000 ) ( * 1621200 )
NEW Metal2 ( 1386000 1621200 ) ( * 1690640 0 )
NEW Metal2 ( 1378160 42000 ) Via2_VH
NEW Metal2 ( 419440 42000 ) Via2_VH
NEW Metal2 ( 1378160 1621200 ) Via2_VH
NEW Metal2 ( 1386000 1621200 ) Via2_VH ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( computer wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED Metal2 ( 1867600 1621200 ) ( * 1690640 0 )
NEW Metal3 ( 1444800 1621200 ) ( 1867600 * )
NEW Metal3 ( 1380400 1620080 ) ( 1444800 * )
NEW Metal3 ( 1444800 1620080 ) ( * 1621200 )
NEW Metal2 ( 1380400 3920 0 ) ( * 1620080 )
NEW Metal2 ( 1867600 1621200 ) Via2_VH
NEW Metal2 ( 1380400 1620080 ) Via2_VH ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( computer wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED Metal2 ( 1884400 1658160 ) ( * 1690640 0 )
NEW Metal2 ( 1414000 3920 0 ) ( * 193200 )
NEW Metal3 ( 1758960 1658160 ) ( 1884400 * )
NEW Metal3 ( 1414000 193200 ) ( 1755600 * )
NEW Metal2 ( 1755600 193200 ) ( * 1495200 )
NEW Metal2 ( 1755600 1495200 ) ( 1758960 * )
NEW Metal2 ( 1758960 1495200 ) ( * 1658160 )
NEW Metal2 ( 1884400 1658160 ) Via2_VH
NEW Metal2 ( 1414000 193200 ) Via2_VH
NEW Metal2 ( 1758960 1658160 ) Via2_VH
NEW Metal2 ( 1755600 193200 ) Via2_VH ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( computer wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 464240 3920 0 ) ( * 44240 )
NEW Metal3 ( 464240 44240 ) ( 1394960 * )
NEW Metal3 ( 1394960 1621200 ) ( 1405040 * )
NEW Metal2 ( 1394960 44240 ) ( * 1621200 )
NEW Metal2 ( 1405040 1690640 ) ( 1408400 * 0 )
NEW Metal2 ( 1405040 1621200 ) ( * 1690640 )
NEW Metal2 ( 464240 44240 ) Via2_VH
NEW Metal2 ( 1394960 44240 ) Via2_VH
NEW Metal2 ( 1394960 1621200 ) Via2_VH
NEW Metal2 ( 1405040 1621200 ) Via2_VH ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( computer wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED Metal2 ( 505680 201600 ) ( * 294000 )
NEW Metal2 ( 505680 201600 ) ( 506800 * )
NEW Metal2 ( 506800 3920 0 ) ( * 201600 )
NEW Metal2 ( 1168720 294000 ) ( * 1659280 )
NEW Metal3 ( 505680 294000 ) ( 1168720 * )
NEW Metal3 ( 1168720 1659280 ) ( 1430800 * )
NEW Metal2 ( 1430800 1659280 ) ( * 1690640 0 )
NEW Metal2 ( 505680 294000 ) Via2_VH
NEW Metal2 ( 1168720 294000 ) Via2_VH
NEW Metal2 ( 1168720 1659280 ) Via2_VH
NEW Metal2 ( 1430800 1659280 ) Via2_VH ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( computer wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED Metal2 ( 1446480 1651440 ) ( 1447600 * )
NEW Metal2 ( 539280 201600 ) ( 540400 * )
NEW Metal2 ( 540400 3920 0 ) ( * 201600 )
NEW Metal2 ( 539280 201600 ) ( * 1539440 )
NEW Metal2 ( 1447600 1539440 ) ( * 1651440 )
NEW Metal3 ( 539280 1539440 ) ( 1447600 * )
NEW Metal2 ( 1446480 1651440 ) ( * 1680000 )
NEW Metal2 ( 1446480 1680000 ) ( 1447600 * )
NEW Metal2 ( 1447600 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 539280 1539440 ) Via2_VH
NEW Metal2 ( 1447600 1539440 ) Via2_VH ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( computer wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED Metal2 ( 574000 3920 0 ) ( * 84000 )
NEW Metal2 ( 571760 84000 ) ( 574000 * )
NEW Metal2 ( 571760 84000 ) ( * 1557360 )
NEW Metal3 ( 571760 1557360 ) ( 1033200 * )
NEW Metal2 ( 1033200 1557360 ) ( * 1658160 )
NEW Metal3 ( 1033200 1658160 ) ( 1464400 * )
NEW Metal2 ( 1464400 1658160 ) ( * 1690640 0 )
NEW Metal2 ( 1464400 1658160 ) Via2_VH
NEW Metal2 ( 1033200 1658160 ) Via2_VH
NEW Metal2 ( 571760 1557360 ) Via2_VH
NEW Metal2 ( 1033200 1557360 ) Via2_VH ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( computer wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED Metal2 ( 609840 3920 0 ) ( * 45360 )
NEW Metal3 ( 609840 45360 ) ( 1478960 * )
NEW Metal2 ( 1478960 1690640 ) ( 1481200 * 0 )
NEW Metal2 ( 1478960 45360 ) ( * 1690640 )
NEW Metal2 ( 1478960 45360 ) Via2_VH
NEW Metal2 ( 609840 45360 ) Via2_VH ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( computer wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED Metal2 ( 643440 3920 0 ) ( * 46480 )
NEW Metal3 ( 643440 46480 ) ( 1499120 * )
NEW Metal1 ( 1495760 1613360 ) ( 1499120 * )
NEW Metal2 ( 1499120 46480 ) ( * 1613360 )
NEW Metal2 ( 1495760 1690640 ) ( 1498000 * 0 )
NEW Metal2 ( 1495760 1613360 ) ( * 1690640 )
NEW Metal2 ( 643440 46480 ) Via2_VH
NEW Metal2 ( 1499120 46480 ) Via2_VH
NEW Metal1 ( 1499120 1613360 ) Via1_HV
NEW Metal1 ( 1495760 1613360 ) Via1_HV ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( computer wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED Metal2 ( 677040 3920 0 ) ( * 58800 )
NEW Metal3 ( 677040 58800 ) ( 1260000 * )
NEW Metal3 ( 1260000 57680 ) ( * 58800 )
NEW Metal3 ( 1260000 57680 ) ( 1512560 * )
NEW Metal2 ( 1512560 1690640 ) ( 1514800 * 0 )
NEW Metal2 ( 1512560 57680 ) ( * 1690640 )
NEW Metal2 ( 677040 58800 ) Via2_VH
NEW Metal2 ( 1512560 57680 ) Via2_VH ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( computer wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED Metal2 ( 764400 411600 ) ( * 1657040 )
NEW Metal2 ( 336560 201600 ) ( 338800 * )
NEW Metal2 ( 338800 3920 0 ) ( * 201600 )
NEW Metal2 ( 336560 201600 ) ( * 411600 )
NEW Metal3 ( 336560 411600 ) ( 764400 * )
NEW Metal3 ( 764400 1657040 ) ( 1346800 * )
NEW Metal2 ( 1346800 1657040 ) ( * 1690640 0 )
NEW Metal2 ( 764400 1657040 ) Via2_VH
NEW Metal2 ( 764400 411600 ) Via2_VH
NEW Metal2 ( 336560 411600 ) Via2_VH
NEW Metal2 ( 1346800 1657040 ) Via2_VH ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( computer wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED Metal2 ( 385840 3920 0 ) ( * 42000 )
NEW Metal3 ( 385840 42000 ) ( 394800 * )
NEW Metal2 ( 394800 42000 ) ( * 1655920 )
NEW Metal3 ( 394800 1655920 ) ( 1369200 * )
NEW Metal2 ( 1369200 1655920 ) ( * 1690640 0 )
NEW Metal2 ( 385840 42000 ) Via2_VH
NEW Metal2 ( 394800 42000 ) Via2_VH
NEW Metal2 ( 394800 1655920 ) Via2_VH
NEW Metal2 ( 1369200 1655920 ) Via2_VH ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( computer wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED Metal2 ( 430640 3920 0 ) ( * 43120 )
NEW Metal3 ( 430640 43120 ) ( 1379280 * )
NEW Metal1 ( 1379280 1621200 ) ( 1388240 * )
NEW Metal2 ( 1379280 43120 ) ( * 1621200 )
NEW Metal2 ( 1388240 1690640 ) ( 1391600 * 0 )
NEW Metal2 ( 1388240 1621200 ) ( * 1690640 )
NEW Metal2 ( 1379280 43120 ) Via2_VH
NEW Metal2 ( 430640 43120 ) Via2_VH
NEW Metal1 ( 1379280 1621200 ) Via1_HV
NEW Metal1 ( 1388240 1621200 ) Via1_HV ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( computer wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED Metal2 ( 470960 201600 ) ( * 243600 )
NEW Metal2 ( 470960 201600 ) ( 473200 * )
NEW Metal2 ( 473200 3920 0 ) ( * 201600 )
NEW Metal3 ( 470960 243600 ) ( 1412880 * )
NEW Metal2 ( 1412880 243600 ) ( * 1680000 )
NEW Metal2 ( 1412880 1680000 ) ( 1414000 * )
NEW Metal2 ( 1414000 1680000 ) ( * 1690640 0 )
NEW Metal2 ( 470960 243600 ) Via2_VH
NEW Metal2 ( 1412880 243600 ) Via2_VH ;
- wbs_stb_i ( PIN wbs_stb_i ) ( computer wbs_stb_i ) + USE SIGNAL
+ ROUTED Metal2 ( 285040 3920 0 ) ( * 42000 )
NEW Metal3 ( 285040 42000 ) ( 294000 * )
NEW Metal2 ( 294000 42000 ) ( * 1654800 )
NEW Metal3 ( 294000 1654800 ) ( 1318800 * )
NEW Metal2 ( 1318800 1654800 ) ( * 1690640 0 )
NEW Metal2 ( 285040 42000 ) Via2_VH
NEW Metal2 ( 294000 42000 ) Via2_VH
NEW Metal2 ( 294000 1654800 ) Via2_VH
NEW Metal2 ( 1318800 1654800 ) Via2_VH ;
- wbs_we_i ( PIN wbs_we_i ) ( computer wbs_we_i ) + USE SIGNAL
+ ROUTED Metal2 ( 286160 3920 ) ( 292880 * )
NEW Metal2 ( 292880 3920 ) ( * 5040 )
NEW Metal2 ( 292880 5040 ) ( 294000 * )
NEW Metal2 ( 294000 3920 0 ) ( * 5040 )
NEW Metal2 ( 286160 3920 ) ( * 1537200 )
NEW Metal3 ( 286160 1537200 ) ( 1321040 * )
NEW Metal2 ( 1321040 1690640 ) ( 1324400 * 0 )
NEW Metal2 ( 1321040 1537200 ) ( * 1690640 )
NEW Metal2 ( 286160 1537200 ) Via2_VH
NEW Metal2 ( 1321040 1537200 ) Via2_VH ;
END NETS
END DESIGN