blob: 1a3084e5afcb00f601c3a29471332d49d57cdcdf [file] [log] [blame]
Teo Ene74d7e282020-07-23 15:38:19 -05001// type: INV
2`timescale 1ns/10ps
3`celldefine
4module INVXL (Y, A);
5 output Y;
6 input A;
7
8 // Function
9 not (Y, A);
10
11 // Timing
12 specify
13 (A => Y) = 0;
14 endspecify
15endmodule
16`endcelldefine
17