Teo Ene | 74d7e28 | 2020-07-23 15:38:19 -0500 | [diff] [blame^] | 1 | // type: INV |
2 | `timescale 1ns/10ps | ||||
3 | `celldefine | ||||
4 | module INVXL (Y, A); | ||||
5 | output Y; | ||||
6 | input A; | ||||
7 | |||||
8 | // Function | ||||
9 | not (Y, A); | ||||
10 | |||||
11 | // Timing | ||||
12 | specify | ||||
13 | (A => Y) = 0; | ||||
14 | endspecify | ||||
15 | endmodule | ||||
16 | `endcelldefine | ||||
17 |