blob: 1488ebd04706c7cb67ee4a01b186c5aaf8e4f2b4 [file] [log] [blame]
module adder (input [31:0] a, b,
output [31:0] y);
assign y = a + b;
endmodule // adder