blob: 819bb378dd6d8e3ff9caea44d6d34190ed4365b0 [file] [log] [blame]
// type: ANT
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_ANT (A);
input A;
// Timing
specify
endspecify
endmodule
`endcelldefine