Adding .gitignore file.

Updating sky130_fd_sc_ms 0.0.2.

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
diff --git a/README.rst b/README.rst
index c527d5d..0382425 100644
--- a/README.rst
+++ b/README.rst
@@ -1,5 +1,5 @@
 :lib:`sky130_fd_sc_ms` - SKY130 Medium Speed Digital Standard Cells (SkyWater Provided)
 =======================================================================================
 
-Initial release of version (0, 0, 0).
+Initial release of version (0, 0, 1).
 
diff --git a/cells/conb/sky130_fd_sc_ms__conb_1.lef b/cells/conb/sky130_fd_sc_ms__conb_1.lef
index a8c1cf1..5849159 100644
--- a/cells/conb/sky130_fd_sc_ms__conb_1.lef
+++ b/cells/conb/sky130_fd_sc_ms__conb_1.lef
@@ -26,6 +26,7 @@
   SYMMETRY X Y ;
   SITE unit ;
   PIN HI
+    ANTENNADIFFAREA  0.000000 ;
     ANTENNAPARTIALMETALSIDEAREA  0.182000 ;
     DIRECTION OUTPUT ;
     USE SIGNAL ;
@@ -36,6 +37,7 @@
     END
   END HI
   PIN LO
+    ANTENNADIFFAREA  0.000000 ;
     ANTENNAPARTIALMETALSIDEAREA  0.182000 ;
     DIRECTION OUTPUT ;
     USE SIGNAL ;
diff --git a/cells/diode/sky130_fd_sc_ms__diode_2.lef b/cells/diode/sky130_fd_sc_ms__diode_2.lef
deleted file mode 100644
index 0d73039..0000000
--- a/cells/diode/sky130_fd_sc_ms__diode_2.lef
+++ /dev/null
@@ -1,78 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-MACRO sky130_fd_sc_ms__diode_2
-  CLASS CORE ANTENNACELL ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.960000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
-  PIN DIODE
-    ANTENNADIFFAREA  0.641700 ;
-    DIRECTION INPUT ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.265000 0.865000 3.065000 ;
-    END
-  END DIODE
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.245000 0.960000 0.245000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 0.000000 0.250000 0.250000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.080000 0.250000 3.330000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.085000 0.960000 3.575000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.960000 0.085000 ;
-      RECT 0.000000  3.245000 0.960000 3.415000 ;
-    LAYER mcon ;
-      RECT 0.155000 -0.085000 0.325000 0.085000 ;
-      RECT 0.155000  3.245000 0.325000 3.415000 ;
-      RECT 0.635000 -0.085000 0.805000 0.085000 ;
-      RECT 0.635000  3.245000 0.805000 3.415000 ;
-  END
-END sky130_fd_sc_ms__diode_2
diff --git a/cells/diode/sky130_fd_sc_ms__diode_2.magic.lef b/cells/diode/sky130_fd_sc_ms__diode_2.magic.lef
index b887841..71b6f2b 100644
--- a/cells/diode/sky130_fd_sc_ms__diode_2.magic.lef
+++ b/cells/diode/sky130_fd_sc_ms__diode_2.magic.lef
@@ -23,23 +23,18 @@
   DATABASE MICRONS 200 ;
 END UNITS
 MACRO sky130_fd_sc_ms__diode_2
-  CLASS CORE ANTENNACELL ;
-  SOURCE USER ;
+  CLASS BLOCK ;
   FOREIGN sky130_fd_sc_ms__diode_2 ;
   ORIGIN  0.000000  0.000000 ;
   SIZE  0.960000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
   PIN DIODE
     ANTENNADIFFAREA  0.641700 ;
-    DIRECTION INPUT ;
     PORT
       LAYER li1 ;
         RECT 0.095000 0.265000 0.865000 3.065000 ;
     END
   END DIODE
   PIN VGND
-    DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER li1 ;
@@ -52,7 +47,6 @@
     END
   END VGND
   PIN VPWR
-    DIRECTION INOUT ;
     USE POWER ;
     PORT
       LAYER li1 ;
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.lef
deleted file mode 100644
index 3ddd898..0000000
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.lef
+++ /dev/null
@@ -1,72 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-MACRO sky130_fd_sc_ms__fill_diode_2
-  CLASS CORE ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.960000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.245000 0.960000 0.245000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 0.000000 0.250000 0.250000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.080000 0.250000 3.330000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.085000 0.960000 3.575000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.960000 0.085000 ;
-      RECT 0.000000  3.245000 0.960000 3.415000 ;
-      RECT 0.135000  0.085000 0.825000 0.580000 ;
-      RECT 0.135000  2.750000 0.825000 3.245000 ;
-    LAYER mcon ;
-      RECT 0.155000 -0.085000 0.325000 0.085000 ;
-      RECT 0.155000  3.245000 0.325000 3.415000 ;
-      RECT 0.635000 -0.085000 0.805000 0.085000 ;
-      RECT 0.635000  3.245000 0.805000 3.415000 ;
-  END
-END sky130_fd_sc_ms__fill_diode_2
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.magic.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.magic.lef
index 5b98210..a01a800 100644
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.magic.lef
+++ b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_2.magic.lef
@@ -23,15 +23,11 @@
   DATABASE MICRONS 200 ;
 END UNITS
 MACRO sky130_fd_sc_ms__fill_diode_2
-  CLASS CORE ;
-  SOURCE USER ;
+  CLASS BLOCK ;
   FOREIGN sky130_fd_sc_ms__fill_diode_2 ;
   ORIGIN  0.000000  0.000000 ;
   SIZE  0.960000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
   PIN VGND
-    DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER li1 ;
@@ -45,7 +41,6 @@
     END
   END VGND
   PIN VPWR
-    DIRECTION INOUT ;
     USE POWER ;
     PORT
       LAYER li1 ;
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.lef
deleted file mode 100644
index 86e13ab..0000000
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.lef
+++ /dev/null
@@ -1,76 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-MACRO sky130_fd_sc_ms__fill_diode_4
-  CLASS CORE ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.920000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.245000 1.920000 0.245000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 0.000000 0.250000 0.250000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.080000 0.250000 3.330000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.085000 1.920000 3.575000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.920000 0.085000 ;
-      RECT 0.000000  3.245000 1.920000 3.415000 ;
-      RECT 0.135000  0.085000 1.785000 0.580000 ;
-      RECT 0.135000  2.750000 1.785000 3.245000 ;
-    LAYER mcon ;
-      RECT 0.155000 -0.085000 0.325000 0.085000 ;
-      RECT 0.155000  3.245000 0.325000 3.415000 ;
-      RECT 0.635000 -0.085000 0.805000 0.085000 ;
-      RECT 0.635000  3.245000 0.805000 3.415000 ;
-      RECT 1.115000 -0.085000 1.285000 0.085000 ;
-      RECT 1.115000  3.245000 1.285000 3.415000 ;
-      RECT 1.595000 -0.085000 1.765000 0.085000 ;
-      RECT 1.595000  3.245000 1.765000 3.415000 ;
-  END
-END sky130_fd_sc_ms__fill_diode_4
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.magic.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.magic.lef
index d5f4553..15f063a 100644
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.magic.lef
+++ b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.magic.lef
@@ -23,15 +23,11 @@
   DATABASE MICRONS 200 ;
 END UNITS
 MACRO sky130_fd_sc_ms__fill_diode_4
-  CLASS CORE ;
-  SOURCE USER ;
+  CLASS BLOCK ;
   FOREIGN sky130_fd_sc_ms__fill_diode_4 ;
   ORIGIN  0.000000  0.000000 ;
   SIZE  1.920000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
   PIN VGND
-    DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER li1 ;
@@ -47,7 +43,6 @@
     END
   END VGND
   PIN VPWR
-    DIRECTION INOUT ;
     USE POWER ;
     PORT
       LAYER li1 ;
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.lef
deleted file mode 100644
index a5cf225..0000000
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.lef
+++ /dev/null
@@ -1,84 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-MACRO sky130_fd_sc_ms__fill_diode_8
-  CLASS CORE ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.840000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.245000 3.840000 0.245000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 0.000000 0.250000 0.250000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.080000 0.250000 3.330000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 3.085000 3.840000 3.575000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.840000 0.085000 ;
-      RECT 0.000000  3.245000 3.840000 3.415000 ;
-      RECT 0.135000  0.085000 3.705000 0.580000 ;
-      RECT 0.135000  2.750000 3.705000 3.245000 ;
-    LAYER mcon ;
-      RECT 0.155000 -0.085000 0.325000 0.085000 ;
-      RECT 0.155000  3.245000 0.325000 3.415000 ;
-      RECT 0.635000 -0.085000 0.805000 0.085000 ;
-      RECT 0.635000  3.245000 0.805000 3.415000 ;
-      RECT 1.115000 -0.085000 1.285000 0.085000 ;
-      RECT 1.115000  3.245000 1.285000 3.415000 ;
-      RECT 1.595000 -0.085000 1.765000 0.085000 ;
-      RECT 1.595000  3.245000 1.765000 3.415000 ;
-      RECT 2.075000 -0.085000 2.245000 0.085000 ;
-      RECT 2.075000  3.245000 2.245000 3.415000 ;
-      RECT 2.555000 -0.085000 2.725000 0.085000 ;
-      RECT 2.555000  3.245000 2.725000 3.415000 ;
-      RECT 3.035000 -0.085000 3.205000 0.085000 ;
-      RECT 3.035000  3.245000 3.205000 3.415000 ;
-      RECT 3.515000 -0.085000 3.685000 0.085000 ;
-      RECT 3.515000  3.245000 3.685000 3.415000 ;
-  END
-END sky130_fd_sc_ms__fill_diode_8
diff --git a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.magic.lef b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.magic.lef
index 49cc14b..dc07c3a 100644
--- a/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.magic.lef
+++ b/cells/fill_diode/sky130_fd_sc_ms__fill_diode_8.magic.lef
@@ -23,15 +23,11 @@
   DATABASE MICRONS 200 ;
 END UNITS
 MACRO sky130_fd_sc_ms__fill_diode_8
-  CLASS CORE ;
-  SOURCE USER ;
+  CLASS BLOCK ;
   FOREIGN sky130_fd_sc_ms__fill_diode_8 ;
   ORIGIN  0.000000  0.000000 ;
   SIZE  3.840000 BY  3.330000 ;
-  SYMMETRY X Y ;
-  SITE unit ;
   PIN VGND
-    DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER li1 ;
@@ -51,7 +47,6 @@
     END
   END VGND
   PIN VPWR
-    DIRECTION INOUT ;
     USE POWER ;
     PORT
       LAYER li1 ;