blob: 6d78bcd0b37c1b41829613a51baaa45baa0788d3 [file] [log] [blame]
{
"area": 15.984,
"cell_footprint": "sky130_fd_sc_ls__delay",
"cell_leakage_power": 0.01290136,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0128168,
"when": "A"
},
{
"value": 0.0129859,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.001196,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001131,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001262
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.0085391,
0.0012955,
-0.000194,
-0.002007,
-0.0041367,
-0.0067262,
-0.0099036,
-0.0135997,
-0.0181311,
-0.0234868,
-0.0299396,
-0.0374639,
-0.046152,
-0.0562385,
-0.0687791
],
[
0.0085268,
0.0012811,
-0.0002385,
-0.0020442,
-0.0041745,
-0.0067724,
-0.009913,
-0.0136464,
-0.0181369,
-0.0235235,
-0.0299705,
-0.0374796,
-0.0461915,
-0.0562656,
-0.0688119
],
[
0.00849,
0.0012314,
-0.000265,
-0.0020336,
-0.0041952,
-0.0067926,
-0.009938,
-0.0136638,
-0.0181926,
-0.0235461,
-0.0299993,
-0.0375048,
-0.0462158,
-0.0562933,
-0.0688373
],
[
0.0084613,
0.0012328,
-0.0002444,
-0.0020754,
-0.004259,
-0.0068466,
-0.0099493,
-0.0136874,
-0.0181864,
-0.023577,
-0.0299793,
-0.0375453,
-0.0462761,
-0.0563209,
-0.0688696
],
[
0.0084322,
0.0012009,
-0.0003135,
-0.0021137,
-0.004285,
-0.0068463,
-0.0100188,
-0.0137176,
-0.0182451,
-0.023599,
-0.0300147,
-0.0375542,
-0.0462762,
-0.0563368,
-0.0688882
],
[
0.0083973,
0.0011493,
-0.0003249,
-0.0021434,
-0.0043204,
-0.0068841,
-0.0100151,
-0.0137438,
-0.018255,
-0.0236353,
-0.0300447,
-0.0375776,
-0.046295,
-0.0563769,
-0.0689176
],
[
0.0083771,
0.0011155,
-0.0003766,
-0.0021846,
-0.0043475,
-0.0069078,
-0.0100698,
-0.0137672,
-0.0182905,
-0.0236449,
-0.0300944,
-0.0375977,
-0.046306,
-0.0563988,
-0.0689341
],
[
0.0083548,
0.0010639,
-0.0004052,
-0.0021846,
-0.0043755,
-0.0069669,
-0.0100596,
-0.013795,
-0.0182888,
-0.0236823,
-0.0301038,
-0.0376494,
-0.0463576,
-0.0564314,
-0.0689582
],
[
0.0083133,
0.0010374,
-0.0004602,
-0.0022623,
-0.0043839,
-0.0069767,
-0.0101131,
-0.0138447,
-0.0183409,
-0.0237009,
-0.0301158,
-0.037643,
-0.0463547,
-0.056419,
-0.0689665
],
[
0.0082689,
0.0009671,
-0.0005038,
-0.0023237,
-0.0044392,
-0.0070553,
-0.0101624,
-0.0138616,
-0.0183871,
-0.0237432,
-0.0301763,
-0.0377154,
-0.0463714,
-0.0564512,
-0.0689888
],
[
0.0082271,
0.0009178,
-0.0005468,
-0.0023225,
-0.004523,
-0.0070777,
-0.0102362,
-0.0139256,
-0.0184295,
-0.0237784,
-0.0302106,
-0.0377127,
-0.0464448,
-0.0564764,
-0.0690192
],
[
0.0081709,
0.0008494,
-0.0006163,
-0.0024191,
-0.0045653,
-0.00713,
-0.010239,
-0.0139723,
-0.018489,
-0.0238325,
-0.0302495,
-0.0377795,
-0.0464725,
-0.0565137,
-0.0690491
],
[
0.0080844,
0.0007946,
-0.0006804,
-0.0024706,
-0.0046313,
-0.0071834,
-0.0102965,
-0.014019,
-0.0185074,
-0.023872,
-0.0303062,
-0.0378132,
-0.0465085,
-0.0565975,
-0.0690873
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.0110057,
0.0249651,
0.027226,
0.0298935,
0.0327198,
0.0354445,
0.0386718,
0.0425592,
0.0471682,
0.052743,
0.0594563,
0.0674991,
0.0771478,
0.0887265,
0.1026589
],
[
0.011003,
0.0249556,
0.0272318,
0.0298894,
0.0327155,
0.0354417,
0.0386876,
0.0425447,
0.0471816,
0.0527782,
0.0594769,
0.0675032,
0.0771642,
0.0887353,
0.1026664
],
[
0.0109829,
0.0249498,
0.0272284,
0.0298864,
0.0327283,
0.0354527,
0.0386754,
0.0425603,
0.0472105,
0.0527938,
0.0594619,
0.0675137,
0.0771486,
0.0887391,
0.1026554
],
[
0.0109581,
0.0249361,
0.0272077,
0.029888,
0.0327137,
0.0354471,
0.0386708,
0.0425481,
0.0472324,
0.0527786,
0.0594659,
0.0675277,
0.0771793,
0.0887542,
0.1026465
],
[
0.0109252,
0.0249087,
0.0271668,
0.0298331,
0.032677,
0.0354167,
0.0386565,
0.0425483,
0.0471679,
0.0527595,
0.0594561,
0.0675015,
0.0771571,
0.088742,
0.102645
],
[
0.0108584,
0.0248515,
0.027121,
0.02979,
0.0326181,
0.03537,
0.0385994,
0.0424883,
0.0471285,
0.0527112,
0.059442,
0.0674918,
0.0771488,
0.0887507,
0.1026539
],
[
0.0108189,
0.0248039,
0.0270761,
0.0297399,
0.0325823,
0.03533,
0.0385556,
0.0424643,
0.0470955,
0.0526993,
0.0593994,
0.0674582,
0.0771228,
0.0887252,
0.1026316
],
[
0.0107787,
0.0247564,
0.0270107,
0.02969,
0.032519,
0.0352751,
0.0385072,
0.0424107,
0.0470699,
0.0526421,
0.0593896,
0.067426,
0.0770773,
0.0886711,
0.1026323
],
[
0.0107223,
0.0246908,
0.0269541,
0.0296374,
0.0324645,
0.0352392,
0.0384763,
0.0423466,
0.0470213,
0.0525923,
0.0592997,
0.0673597,
0.0770136,
0.0886431,
0.1025808
],
[
0.0106811,
0.0246184,
0.0268671,
0.0295628,
0.0324114,
0.0351573,
0.0384099,
0.0422965,
0.0469688,
0.0525435,
0.059257,
0.0672883,
0.076989,
0.0885762,
0.1025297
],
[
0.0127897,
0.0245912,
0.0268438,
0.0295309,
0.0323521,
0.0351164,
0.0383558,
0.0422385,
0.0469173,
0.052508,
0.0592059,
0.0672599,
0.0769269,
0.0885324,
0.1024877
],
[
0.0189788,
0.0245512,
0.0268116,
0.0294745,
0.0322914,
0.0350301,
0.0382781,
0.0421974,
0.0468716,
0.0524183,
0.0591581,
0.0672226,
0.0768871,
0.0885,
0.1024044
],
[
0.0189273,
0.0267792,
0.0283724,
0.0302185,
0.0323915,
0.034981,
0.0382275,
0.0421333,
0.0468036,
0.0523924,
0.059091,
0.0671572,
0.0768343,
0.0884414,
0.1023801
]
]
}
},
"max_capacitance": 0.09275,
"max_transition": 3.032363,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.530183,
0.6239587,
0.6387008,
0.6557125,
0.6753639,
0.6980946,
0.7243752,
0.754843,
0.7906943,
0.8328112,
0.8828183,
0.9424361,
1.0131133,
1.0974954,
1.1991442
],
[
0.5441696,
0.638089,
0.6523454,
0.6696873,
0.6889374,
0.7115786,
0.7378112,
0.7683385,
0.8042796,
0.8463433,
0.8964487,
0.9556089,
1.026589,
1.1112423,
1.2126302
],
[
0.5605197,
0.6538167,
0.6685135,
0.6856387,
0.7052107,
0.7279274,
0.7543182,
0.7844728,
0.8204219,
0.8626075,
0.9125933,
0.9718603,
1.04289,
1.1276647,
1.2289735
],
[
0.5883185,
0.6823744,
0.6970211,
0.7133231,
0.7329205,
0.7556257,
0.7819262,
0.8125026,
0.8482859,
0.8906013,
0.9403341,
0.9999124,
1.0707898,
1.155272,
1.2570949
],
[
0.6283941,
0.7223733,
0.7364458,
0.7534084,
0.7730407,
0.7957506,
0.8220125,
0.8525126,
0.8884102,
0.9306042,
0.980557,
1.0398777,
1.1107787,
1.1951212,
1.29709
],
[
0.6908568,
0.784491,
0.796995,
0.8139361,
0.8335472,
0.8562683,
0.8825536,
0.9130379,
0.9488995,
0.9911026,
1.0410278,
1.1003923,
1.1713669,
1.2555795,
1.3575393
],
[
0.7859608,
0.8794623,
0.8935657,
0.9105197,
0.9301451,
0.9529634,
0.9791896,
1.0096896,
1.0456116,
1.0877229,
1.1377236,
1.1969852,
1.2679102,
1.3523774,
1.454075
],
[
0.9294075,
1.0212364,
1.0359309,
1.0528588,
1.0726365,
1.0953218,
1.1216605,
1.1522339,
1.1880625,
1.2304462,
1.2802387,
1.339938,
1.4106273,
1.4954173,
1.5971567
],
[
1.1451726,
1.239147,
1.2536043,
1.2706813,
1.2903004,
1.3129421,
1.3392292,
1.3698215,
1.4058277,
1.4480347,
1.4980731,
1.5574284,
1.6283368,
1.7127121,
1.8146235
],
[
1.4715855,
1.565018,
1.5796765,
1.5966354,
1.6162086,
1.6388493,
1.6651519,
1.6957643,
1.7317648,
1.7740166,
1.8241125,
1.8834618,
1.9542499,
2.0392805,
2.1406095
],
[
1.962859,
2.0555082,
2.0701558,
2.087355,
2.1069009,
2.1296391,
2.1559217,
2.1864512,
2.2224445,
2.2646176,
2.3147189,
2.3739104,
2.4450424,
2.5299538,
2.6309228
],
[
2.681136,
2.7799398,
2.7950635,
2.8123914,
2.8321696,
2.8551133,
2.88169,
2.9125198,
2.9485561,
2.9907619,
3.0407192,
3.1001275,
3.1705216,
3.2549741,
3.3567433
],
[
3.691732,
3.8032555,
3.8195931,
3.8382986,
3.8593604,
3.8833132,
3.9110515,
3.9429238,
3.9794306,
4.0225401,
4.0733168,
4.1334278,
4.2044408,
4.2894168,
4.3908512
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.218866,
0.4249469,
0.4663351,
0.5147022,
0.5727899,
0.6429917,
0.7261109,
0.8267445,
0.9464857,
1.0910368,
1.2645732,
1.4729447,
1.7223833,
2.0219042,
2.3814157
],
[
0.2334017,
0.4400633,
0.4803988,
0.5295906,
0.5873673,
0.6576249,
0.7414203,
0.8416458,
0.9619009,
1.1063078,
1.2791306,
1.4876906,
1.7372419,
2.0366635,
2.3964219
],
[
0.2500546,
0.4571188,
0.4980338,
0.5468679,
0.6043239,
0.6753105,
0.7589061,
0.8592849,
0.9799169,
1.1241393,
1.2965308,
1.50533,
1.7549536,
2.0543935,
2.4141338
],
[
0.2762557,
0.4825783,
0.5240003,
0.5717251,
0.6305765,
0.7013489,
0.7850432,
0.8853147,
1.0059428,
1.1502866,
1.3228211,
1.5317896,
1.7812403,
2.0804869,
2.440387
],
[
0.3147921,
0.5210627,
0.5623806,
0.6113633,
0.6692444,
0.7395597,
0.82411,
0.9242954,
1.0447687,
1.1895583,
1.3626298,
1.5707748,
1.820179,
2.1202395,
2.4795923
],
[
0.3727452,
0.5795007,
0.6203982,
0.6693121,
0.7279211,
0.7976667,
0.8819054,
0.9826433,
1.1026174,
1.2473099,
1.4210777,
1.628838,
1.8783735,
2.1788169,
2.5384839
],
[
0.459119,
0.6662957,
0.7064473,
0.7554311,
0.8136827,
0.8837318,
0.968533,
1.068895,
1.1895899,
1.3345445,
1.5076936,
1.7158096,
1.9658277,
2.2658448,
2.6253713
],
[
0.5805018,
0.7873067,
0.8282399,
0.8767986,
0.9354404,
1.0053396,
1.0897807,
1.1905344,
1.3103368,
1.4554982,
1.629171,
1.8375708,
2.0869746,
2.3866724,
2.7462963
],
[
0.7478428,
0.9551331,
0.9961113,
1.0440471,
1.1028773,
1.1724984,
1.2569228,
1.3570502,
1.4784463,
1.6227321,
1.796854,
2.0048592,
2.2547393,
2.5550508,
2.9152576
],
[
0.9785271,
1.1859788,
1.226761,
1.2754882,
1.3340491,
1.4042086,
1.4879556,
1.5885295,
1.7098629,
1.8550188,
2.0283,
2.2370683,
2.4868096,
2.786499,
3.1455802
],
[
1.2987116,
1.5066925,
1.5474843,
1.5952374,
1.6541378,
1.724621,
1.8080768,
1.9085946,
2.0293129,
2.1738329,
2.3479887,
2.5565392,
2.8070344,
3.1063061,
3.4656225
],
[
1.7437131,
1.951632,
1.9918458,
2.0412025,
2.0996644,
2.1697681,
2.2543198,
2.3538067,
2.4744491,
2.6195617,
2.7925269,
3.0008696,
3.2507175,
3.5498766,
3.9111625
],
[
2.3596693,
2.5693525,
2.609962,
2.6587905,
2.7169458,
2.787514,
2.8710576,
2.9722629,
3.0930385,
3.2382179,
3.4106382,
3.6171892,
3.8679353,
4.1673727,
4.52683
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.0848664,
0.1594399,
0.1730824,
0.1894197,
0.2094227,
0.2330227,
0.2613675,
0.2945015,
0.3353415,
0.3863427,
0.4474678,
0.5204717,
0.6108273,
0.7195771,
0.849306
],
[
0.0849858,
0.1601391,
0.1732037,
0.189235,
0.2094599,
0.2330001,
0.2612228,
0.2942784,
0.3356359,
0.3867213,
0.4475228,
0.5206957,
0.6108495,
0.7190885,
0.8506085
],
[
0.0851412,
0.1597938,
0.1730476,
0.1900166,
0.2096626,
0.2329453,
0.2609111,
0.2956935,
0.336528,
0.3871899,
0.4478914,
0.5205844,
0.6101083,
0.7191461,
0.8506191
],
[
0.0852667,
0.1589908,
0.1726964,
0.1897749,
0.2090855,
0.232577,
0.2613099,
0.295325,
0.3356976,
0.3873653,
0.447189,
0.5202681,
0.6108306,
0.7196784,
0.849193
],
[
0.0851551,
0.1593177,
0.1733004,
0.1897277,
0.2087439,
0.2331245,
0.2615315,
0.2947332,
0.3354075,
0.3861017,
0.4474277,
0.5205702,
0.611,
0.7196148,
0.8497064
],
[
0.085121,
0.1599069,
0.1734531,
0.1896554,
0.2088569,
0.2329915,
0.2614877,
0.2946453,
0.3351937,
0.3859083,
0.4473141,
0.5217144,
0.6108104,
0.7196412,
0.8496905
],
[
0.0851534,
0.1595438,
0.1735191,
0.189907,
0.2091984,
0.2331438,
0.2613567,
0.2945208,
0.3356146,
0.386455,
0.4474799,
0.5204698,
0.6109895,
0.7190437,
0.85055
],
[
0.0853902,
0.1593986,
0.1736499,
0.1903515,
0.2090197,
0.2322674,
0.261202,
0.2952476,
0.3355848,
0.3871084,
0.4469625,
0.5214832,
0.6111447,
0.7195033,
0.8496205
],
[
0.0852673,
0.1600747,
0.1736528,
0.1893041,
0.2094624,
0.2331169,
0.261041,
0.2941929,
0.335528,
0.3862755,
0.4473373,
0.5205285,
0.6109608,
0.7195989,
0.8496412
],
[
0.0854482,
0.1595451,
0.1737532,
0.189466,
0.2096053,
0.2332566,
0.261504,
0.2961158,
0.3368692,
0.3856022,
0.4472026,
0.5216697,
0.6107008,
0.7182572,
0.8496098
],
[
0.0861688,
0.1600328,
0.1743269,
0.1898516,
0.2091543,
0.2330141,
0.2615376,
0.2945577,
0.3357506,
0.3867325,
0.4475887,
0.5211289,
0.6103588,
0.7190545,
0.8500134
],
[
0.099097,
0.1678699,
0.1813204,
0.1962428,
0.2154881,
0.238185,
0.2659557,
0.2990706,
0.3386047,
0.388389,
0.4492561,
0.5234564,
0.6126012,
0.7205133,
0.8509888
],
[
0.1244505,
0.1928588,
0.2058243,
0.2200581,
0.2375962,
0.2591052,
0.2851341,
0.3175051,
0.3568777,
0.4032549,
0.4625499,
0.5343145,
0.6217966,
0.7257751,
0.8545596
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00867,
0.0104,
0.01248,
0.01498,
0.01798,
0.02158,
0.02589,
0.03107,
0.03728,
0.04473,
0.05368,
0.06441,
0.07729,
0.09275
],
"values": [
[
0.1067225,
0.3808533,
0.4358,
0.5011638,
0.5801671,
0.6756709,
0.7895527,
0.926179,
1.0895848,
1.285747,
1.5209686,
1.8032851,
2.1417679,
2.5462479,
3.0302556
],
[
0.1068815,
0.3809754,
0.4357061,
0.5013053,
0.5807193,
0.675702,
0.7889802,
0.9257892,
1.0896753,
1.28538,
1.5210666,
1.8031955,
2.1413193,
2.5462084,
3.0298064
],
[
0.1070957,
0.3810723,
0.4357751,
0.5012599,
0.5805809,
0.6756989,
0.7889476,
0.9258488,
1.0894108,
1.2855905,
1.5210933,
1.803226,
2.141376,
2.5460876,
3.0298828
],
[
0.1068883,
0.3808234,
0.4358468,
0.5016563,
0.5803723,
0.6756217,
0.7891049,
0.9257091,
1.0892808,
1.2855519,
1.5210324,
1.8033014,
2.1413802,
2.5462212,
3.0297271
],
[
0.1067027,
0.380616,
0.4357506,
0.5014502,
0.5800754,
0.6755445,
0.7891028,
0.9257646,
1.0895738,
1.2859447,
1.5209628,
1.8035207,
2.1413009,
2.5459899,
3.0303673
],
[
0.1067955,
0.3805621,
0.4357886,
0.5012338,
0.5804576,
0.6755648,
0.7892837,
0.9257929,
1.089602,
1.2857231,
1.5211651,
1.8031608,
2.1417286,
2.5458704,
3.0299345
],
[
0.1067805,
0.3809911,
0.4357217,
0.5015059,
0.5800805,
0.6754131,
0.789125,
0.9257395,
1.0894726,
1.2859556,
1.5209012,
1.8035626,
2.1412667,
2.5460063,
3.0302714
],
[
0.1076979,
0.380872,
0.4356291,
0.5012597,
0.5806549,
0.675676,
0.7892229,
0.9260594,
1.0894684,
1.2857228,
1.5209273,
1.803319,
2.141691,
2.5457962,
3.0301621
],
[
0.1105436,
0.3815648,
0.4362358,
0.5019091,
0.580666,
0.675311,
0.7894189,
0.9255208,
1.0897822,
1.2856292,
1.520724,
1.8036016,
2.1407833,
2.5458176,
3.030137
],
[
0.1133542,
0.3831722,
0.4374466,
0.5028596,
0.5816853,
0.6768763,
0.7904641,
0.926294,
1.0897548,
1.2860015,
1.5210639,
1.803569,
2.141366,
2.5465587,
3.0295282
],
[
0.1185464,
0.3856363,
0.4400673,
0.5054527,
0.5845386,
0.678756,
0.7917207,
0.9283842,
1.091188,
1.2873147,
1.5218155,
1.8038391,
2.1419762,
2.5465936,
3.0304739
],
[
0.1272187,
0.3886111,
0.4426411,
0.5078887,
0.5867395,
0.6812585,
0.7946648,
0.9305206,
1.0933462,
1.2894409,
1.5237417,
1.8058158,
2.142827,
2.5475713,
3.0306115
],
[
0.1431365,
0.3940044,
0.4476159,
0.5114966,
0.5898716,
0.6842911,
0.7977243,
0.9331896,
1.0960074,
1.2922601,
1.526907,
1.8087344,
2.1459039,
2.5491955,
3.0323626
]
]
},
"timing_sense": "positive_unate"
}
}
}