harden project [skip ci]
diff --git a/gds/tiny_user_project.gds b/gds/tiny_user_project.gds
index 5a25c54..b818711 100644
--- a/gds/tiny_user_project.gds
+++ b/gds/tiny_user_project.gds
Binary files differ
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 8fe10fb..afe8206 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/mag/tiny_user_project.mag b/mag/tiny_user_project.mag
index 6923117..c1c3d81 100644
--- a/mag/tiny_user_project.mag
+++ b/mag/tiny_user_project.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672337954
+timestamp 1672339081
 << viali >>
 rect 2145 27557 2179 27591
 rect 2789 27557 2823 27591
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 4ed9fbf..505be06 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672338066
+timestamp 1672339199
 << metal1 >>
 rect 71774 702992 71780 703044
 rect 71832 703032 71838 703044
diff --git a/maglef/tiny_user_project.mag b/maglef/tiny_user_project.mag
index ec1b198..eaca662 100644
--- a/maglef/tiny_user_project.mag
+++ b/maglef/tiny_user_project.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672337956
+timestamp 1672339082
 << obsli1 >>
 rect 1104 2159 22816 27761
 << obsm1 >>
@@ -564,7 +564,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 423974
-string GDS_FILE /home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/tiny_user_project/runs/22_12_29_18_18/results/signoff/tiny_user_project.magic.gds
+string GDS_FILE /home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/tiny_user_project/runs/22_12_29_18_36/results/signoff/tiny_user_project.magic.gds
 string GDS_START 23768
 << end >>
 
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 0454bf0..c5640c2 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672338068
+timestamp 1672339201
 << obsli1 >>
 rect 286104 285159 307816 310761
 << obsm1 >>
@@ -3217,7 +3217,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 1604918
-string GDS_FILE /home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/user_project_wrapper/runs/22_12_29_18_19/results/signoff/user_project_wrapper.magic.gds
+string GDS_FILE /home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/user_project_wrapper/runs/22_12_29_18_38/results/signoff/user_project_wrapper.magic.gds
 string GDS_START 424028
 << end >>
 
diff --git a/sdc/tiny_user_project.sdc b/sdc/tiny_user_project.sdc
index 13256e3..1d46a82 100644
--- a/sdc/tiny_user_project.sdc
+++ b/sdc/tiny_user_project.sdc
@@ -1,128 +1,127 @@
 ###############################################################################
 # Created by write_sdc
-# Thu Dec 29 18:18:58 2022
+# Thu Dec 29 18:37:44 2022
 ###############################################################################
 current_design tiny_user_project
 ###############################################################################
 # Timing Constraints
 ###############################################################################
-create_clock -name io_in[0] -period 10.0000 [get_ports {io_in[0]}]
-set_clock_transition 0.1500 [get_clocks {io_in[0]}]
-set_clock_uncertainty 0.2500 io_in[0]
-set_propagated_clock [get_clocks {io_in[0]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[10]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[11]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[12]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[13]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[14]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[15]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[16]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[17]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[18]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[19]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[1]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[20]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[21]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[22]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[23]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[24]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[25]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[26]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[27]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[28]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[29]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[2]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[30]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[31]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[32]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[33]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[34]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[35]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[36]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[37]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[3]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[4]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[5]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[6]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[7]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[8]}]
-set_input_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_in[9]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[0]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[10]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[11]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[12]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[13]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[14]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[15]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[16]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[17]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[18]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[19]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[1]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[20]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[21]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[22]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[23]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[24]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[25]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[26]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[27]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[28]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[29]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[2]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[30]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[31]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[32]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[33]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[34]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[35]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[36]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[37]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[3]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[4]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[5]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[6]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[7]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[8]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_oeb[9]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[0]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[10]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[11]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[12]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[13]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[14]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[15]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[16]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[17]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[18]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[19]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[1]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[20]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[21]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[22]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[23]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[24]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[25]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[26]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[27]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[28]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[29]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[2]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[30]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[31]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[32]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[33]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[34]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[35]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[36]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[37]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[3]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[4]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[5]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[6]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[7]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[8]}]
-set_output_delay 2.0000 -clock [get_clocks {io_in[0]}] -add_delay [get_ports {io_out[9]}]
+create_clock -name clk -period 10.0000 
+set_clock_uncertainty 0.2500 clk
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[32]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[33]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[34]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[35]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[36]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[37]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[9]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[32]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[33]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[34]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[35]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[36]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[37]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[9]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[32]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[33]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[34]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[35]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[36]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[37]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[9]}]
 ###############################################################################
 # Environment
 ###############################################################################
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index 84f7031..09d3179 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Thu Dec 29 18:19:38 2022
+# Thu Dec 29 18:38:25 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/sdf/multicorner/max/user_project_wrapper.ff.sdf b/sdf/multicorner/max/user_project_wrapper.ff.sdf
index a2135d9..c52c07d 100644
--- a/sdf/multicorner/max/user_project_wrapper.ff.sdf
+++ b/sdf/multicorner/max/user_project_wrapper.ff.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:58 2022")
+ (DATE "Thu Dec 29 18:39:51 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/max/user_project_wrapper.ss.sdf b/sdf/multicorner/max/user_project_wrapper.ss.sdf
index 089760b..3881ff5 100644
--- a/sdf/multicorner/max/user_project_wrapper.ss.sdf
+++ b/sdf/multicorner/max/user_project_wrapper.ss.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:58 2022")
+ (DATE "Thu Dec 29 18:39:51 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/max/user_project_wrapper.tt.sdf b/sdf/multicorner/max/user_project_wrapper.tt.sdf
index 12aec00..f08631b 100644
--- a/sdf/multicorner/max/user_project_wrapper.tt.sdf
+++ b/sdf/multicorner/max/user_project_wrapper.tt.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:58 2022")
+ (DATE "Thu Dec 29 18:39:51 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/min/user_project_wrapper.ff.sdf b/sdf/multicorner/min/user_project_wrapper.ff.sdf
index 610110e..dbb83a0 100644
--- a/sdf/multicorner/min/user_project_wrapper.ff.sdf
+++ b/sdf/multicorner/min/user_project_wrapper.ff.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:54 2022")
+ (DATE "Thu Dec 29 18:39:47 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/min/user_project_wrapper.ss.sdf b/sdf/multicorner/min/user_project_wrapper.ss.sdf
index 2b757ee..d03059f 100644
--- a/sdf/multicorner/min/user_project_wrapper.ss.sdf
+++ b/sdf/multicorner/min/user_project_wrapper.ss.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:54 2022")
+ (DATE "Thu Dec 29 18:39:47 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/min/user_project_wrapper.tt.sdf b/sdf/multicorner/min/user_project_wrapper.tt.sdf
index d0434f0..537cc77 100644
--- a/sdf/multicorner/min/user_project_wrapper.tt.sdf
+++ b/sdf/multicorner/min/user_project_wrapper.tt.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:20:54 2022")
+ (DATE "Thu Dec 29 18:39:47 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/nom/user_project_wrapper.ff.sdf b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
index a91fa15..e5c9d70 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ff.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:21:02 2022")
+ (DATE "Thu Dec 29 18:39:56 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/nom/user_project_wrapper.ss.sdf b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
index 242b2ca..9bc44bd 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ss.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:21:02 2022")
+ (DATE "Thu Dec 29 18:39:56 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/multicorner/nom/user_project_wrapper.tt.sdf b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
index 2a4d477..5549387 100644
--- a/sdf/multicorner/nom/user_project_wrapper.tt.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:21:02 2022")
+ (DATE "Thu Dec 29 18:39:56 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/tiny_user_project.sdf b/sdf/tiny_user_project.sdf
index 41a7f55..d65669c 100644
--- a/sdf/tiny_user_project.sdf
+++ b/sdf/tiny_user_project.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "tiny_user_project")
- (DATE "Thu Dec 29 18:19:13 2022")
+ (DATE "Thu Dec 29 18:37:59 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/sdf/user_project_wrapper.sdf b/sdf/user_project_wrapper.sdf
index 43a8c5b..e32664e 100644
--- a/sdf/user_project_wrapper.sdf
+++ b/sdf/user_project_wrapper.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Thu Dec 29 18:21:04 2022")
+ (DATE "Thu Dec 29 18:39:57 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
diff --git a/signoff/tiny_user_project/metrics.csv b/signoff/tiny_user_project/metrics.csv
index 8a21fa8..bdc6883 100644
--- a/signoff/tiny_user_project/metrics.csv
+++ b/signoff/tiny_user_project/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/tiny_user_project,tiny_user_project,22_12_29_18_18,flow completed,0h1m15s0ms,0h0m54s0ms,8444.444444444445,0.018,4222.222222222223,2.15,478.5,76,0,0,0,0,0,0,0,-1,0,-1,-1,523,210,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,488504.0,0.0,0.8,0.67,0.0,0.0,-1,10,167,10,167,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,94,196,0,290,13878.3104,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,27.140,31.960,0.7,0.3,sky130_fd_sc_hd,4

+/home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/tiny_user_project,tiny_user_project,22_12_29_18_36,flow completed,0h1m19s0ms,0h0m58s0ms,8444.444444444445,0.018,4222.222222222223,2.15,478.54,76,0,0,0,0,0,0,0,-1,0,-1,-1,523,210,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,488504.0,0.0,0.8,0.67,0.0,0.0,-1,10,167,10,167,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,94,196,0,290,13878.3104,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,27.140,31.960,0.7,0.3,sky130_fd_sc_hd,4

diff --git a/signoff/user_project_wrapper/metrics.csv b/signoff/user_project_wrapper/metrics.csv
index 74032a5..cc0ed36 100644
--- a/signoff/user_project_wrapper/metrics.csv
+++ b/signoff/user_project_wrapper/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/user_project_wrapper,user_project_wrapper,22_12_29_18_19,flow completed,0h2m1s0ms,0h1m25s0ms,-2.0,-1,-1,-1,661.51,1,0,0,0,0,0,0,0,-1,0,-1,-1,269366,484,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.64,0.82,0.05,0.12,-1,19,637,19,637,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0

+/home/runner/work/clock_divide_select_4ch_tiny_user/clock_divide_select_4ch_tiny_user/openlane/user_project_wrapper,user_project_wrapper,22_12_29_18_38,flow completed,0h2m8s0ms,0h1m32s0ms,-2.0,-1,-1,-1,661.55,1,0,0,0,0,0,0,0,-1,0,-1,-1,269366,484,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.64,0.82,0.05,0.12,-1,19,637,19,637,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0