commit_v5
diff --git a/openlane/.gitignore b/openlane/.gitignore
new file mode 100644
index 0000000..e4867d8
--- /dev/null
+++ b/openlane/.gitignore
@@ -0,0 +1,2 @@
+*/runs
+default.cvcrc
diff --git a/openlane/Makefile b/openlane/Makefile
new file mode 100644
index 0000000..e1e116f
--- /dev/null
+++ b/openlane/Makefile
@@ -0,0 +1,98 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+MAKEFLAGS+=--warn-undefined-variables
+
+export OPENLANE_RUN_TAG = $(shell date '+%y_%m_%d_%H_%M')
+OPENLANE_TAG ?= 2022.11.29
+OPENLANE_IMAGE_NAME ?= efabless/openlane:$(OPENLANE_TAG)
+designs = $(shell find * -maxdepth 0 -type d)
+current_design = null
+
+openlane_cmd = \
+	"flow.tcl \
+	-design $$(realpath ./$*) \
+	-save_path $$(realpath ..) \
+	-save \
+	-tag $(OPENLANE_RUN_TAG) \
+	-overwrite \
+	-ignore_mismatches"
+openlane_cmd_interactive = "flow.tcl -it -file $$(realpath ./$*/interactive.tcl)"
+
+docker_mounts = \
+	-v $$(realpath $(PWD)/..):$$(realpath $(PWD)/..) \
+	-v $(PDK_ROOT):$(PDK_ROOT) \
+	-v $(CARAVEL_ROOT):$(CARAVEL_ROOT) \
+	-v $(OPENLANE_ROOT):/openlane
+
+docker_env = \
+	-e PDK_ROOT=$(PDK_ROOT) \
+	-e PDK=$(PDK) \
+	-e MISMATCHES_OK=1 \
+	-e CARAVEL_ROOT=$(CARAVEL_ROOT) \
+	-e OPENLANE_RUN_TAG=$(OPENLANE_RUN_TAG)
+
+ifneq ($(MCW_ROOT),)
+docker_env += -e MCW_ROOT=$(MCW_ROOT)
+docker_mounts += -v $(MCW_ROOT):$(MCW_ROOT)
+endif
+
+docker_startup_mode = $(shell test -t 0 && echo "-it" || echo "--rm" )
+docker_run = \
+	docker run $(docker_startup_mode) \
+	$(docker_mounts) \
+	$(docker_env) \
+	-u $(shell id -u $(USER)):$(shell id -g $(USER))
+
+list:
+	@echo $(designs)
+
+.PHONY: $(designs)
+$(designs) : export current_design=$@
+$(designs) : % : ./%/config.tcl
+ifneq (,$(wildcard ./$(current_design)/interactive.tcl))
+	$(docker_run) \
+		$(OPENLANE_IMAGE_NAME) sh -c $(openlane_cmd_interactive)
+else
+	# $(current_design)
+	mkdir -p ./$*/runs/$(OPENLANE_RUN_TAG) 
+	rm -rf ./$*/runs/$*
+	ln -s $$(realpath ./$*/runs/$(OPENLANE_RUN_TAG)) ./$*/runs/$*
+	$(docker_run) \
+		$(OPENLANE_IMAGE_NAME) sh -c $(openlane_cmd)
+endif
+	@mkdir -p ../signoff/$*/
+	@cp ./$*/runs/$*/OPENLANE_VERSION ../signoff/$*/
+	@cp ./$*/runs/$*/PDK_SOURCES ../signoff/$*/
+	@cp ./$*/runs/$*/reports/*.csv ../signoff/$*/
+
+.PHONY: openlane
+openlane: check-openlane-env
+	if [ -d "$(OPENLANE_ROOT)" ]; then\
+		echo "Deleting exisiting $(OPENLANE_ROOT)" && \
+		rm -rf $(OPENLANE_ROOT) && sleep 2; \
+		fi
+	git clone https://github.com/The-OpenROAD-Project/OpenLane -b $(OPENLANE_TAG) --depth=1 $(OPENLANE_ROOT) && \
+		cd $(OPENLANE_ROOT) && \
+		export OPENLANE_IMAGE_NAME=efabless/openlane:$(OPENLANE_TAG) && \
+		export IMAGE_NAME=efabless/openlane:$(OPENLANE_TAG) && \
+		$(MAKE) pull-openlane
+
+.PHONY: check-openlane-env
+check-openlane-env:
+ifeq ($(OPENLANE_ROOT),)
+	@echo "Please export OPENLANE_ROOT"
+	@exit 1
+endif
diff --git a/openlane/mux_example/config.tcl b/openlane/mux_example/config.tcl
new file mode 100644
index 0000000..4015a34
--- /dev/null
+++ b/openlane/mux_example/config.tcl
@@ -0,0 +1,52 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+set script_dir [file dirname [file normalize [info script]]]
+set ::env(DESIGN_NAME) mux_example
+
+set ::env(PDK) "gf180mcuC"
+set ::env(STD_CELL_LIBRARY) "gf180mcu_fd_sc_mcu7t5v0"
+
+
+
+set ::env(VERILOG_FILES) "$::env(DESIGN_DIR)/../../verilog/rtl/mux_example.v"
+	#$::env(CARAVEL_ROOT)/verilog/rtl/defines.v 
+
+set ::env(DIE_AREA) "0 0 900 600"
+set ::env(FP_SIZING) absolute
+
+set ::env(FP_CORE_UTIL) 40
+set ::env(PL_TARGET_DENSITY) [ expr ($::env(FP_CORE_UTIL)+5) / 100.0 ]
+
+set ::env(SYNTH_DEFINES) "MPRJ_IO_PADS=38"
+
+set ::env(CLOCK_PERIOD) "30.0"
+set ::env(CLOCK_PORT) "wb_clk_i"
+# set ::env(CLOCK_NET) "counter.clk"
+
+# macro needs to work inside Caravel, so can't be core and can't use metal 5
+set ::env(DESIGN_IS_CORE) 0
+set ::env(RT_MAX_LAYER) {Metal4}
+
+# define power straps so the macro works inside Caravel's PDN
+set ::env(VDD_NETS) [list {vdd}]
+set ::env(GND_NETS) [list {vss}]
+
+# regular pin order seems to help with aggregating all the macros for the group project
+#set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(FP_IO_VTHICKNESS_MULT) 4
+set ::env(FP_IO_HTHICKNESS_MULT) 4
+
+
diff --git a/openlane/plant_example/config.tcl b/openlane/plant_example/config.tcl
new file mode 100644
index 0000000..cf75fb5
--- /dev/null
+++ b/openlane/plant_example/config.tcl
@@ -0,0 +1,52 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+set script_dir [file dirname [file normalize [info script]]]
+set ::env(DESIGN_NAME) plant_example
+
+set ::env(PDK) "gf180mcuC"
+set ::env(STD_CELL_LIBRARY) "gf180mcu_fd_sc_mcu7t5v0"
+
+
+
+set ::env(VERILOG_FILES) "$::env(DESIGN_DIR)/../../verilog/rtl/plant_example.v"
+	#$::env(CARAVEL_ROOT)/verilog/rtl/defines.v 
+
+set ::env(DIE_AREA) "0 0 900 600"
+set ::env(FP_SIZING) absolute
+
+set ::env(FP_CORE_UTIL) 40
+set ::env(PL_TARGET_DENSITY) [ expr ($::env(FP_CORE_UTIL)+5) / 100.0 ]
+
+set ::env(SYNTH_DEFINES) "MPRJ_IO_PADS=38"
+
+set ::env(CLOCK_PERIOD) "30.0"
+set ::env(CLOCK_PORT) "wb_clk_i"
+# set ::env(CLOCK_NET) "counter.clk"
+
+# macro needs to work inside Caravel, so can't be core and can't use metal 5
+set ::env(DESIGN_IS_CORE) 0
+set ::env(RT_MAX_LAYER) {Metal4}
+
+# define power straps so the macro works inside Caravel's PDN
+set ::env(VDD_NETS) [list {vdd}]
+set ::env(GND_NETS) [list {vss}]
+
+# regular pin order seems to help with aggregating all the macros for the group project
+#set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(FP_IO_VTHICKNESS_MULT) 4
+set ::env(FP_IO_HTHICKNESS_MULT) 4
+
+
diff --git a/openlane/user_proj_example/config.tcl b/openlane/user_proj_example/config.tcl
new file mode 100644
index 0000000..441c77c
--- /dev/null
+++ b/openlane/user_proj_example/config.tcl
@@ -0,0 +1,56 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "gf180mcuC"
+set ::env(STD_CELL_LIBRARY) "gf180mcu_fd_sc_mcu7t5v0"
+
+set ::env(DESIGN_NAME) plant_example
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$::env(DESIGN_DIR)/../../verilog/rtl/plant_example.v"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(CLOCK_PORT) "wb_clk_i"
+# set ::env(CLOCK_NET) "counter.clk"
+set ::env(CLOCK_PERIOD) "30.0"
+
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 900 600"
+
+set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.45
+
+set ::env(FP_CORE_UTIL) 40
+
+set ::env(SYNTH_MAX_FANOUT) 4
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+set ::env(RT_MAX_LAYER) {Metal4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {vdd}]
+set ::env(GND_NETS) [list {vss}]
+
+set ::env(DIODE_INSERTION_STRATEGY) 4 
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/user_proj_example/pin_order.cfg b/openlane/user_proj_example/pin_order.cfg
new file mode 100644
index 0000000..2fda806
--- /dev/null
+++ b/openlane/user_proj_example/pin_order.cfg
@@ -0,0 +1,10 @@
+#BUS_SORT
+
+#S
+wb_.*
+wbs_.*
+la_.*
+irq.*
+
+#N
+io_.*
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
new file mode 100644
index 0000000..31e736d
--- /dev/null
+++ b/openlane/user_project_wrapper/config.tcl
@@ -0,0 +1,83 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# Base Configurations. Don't Touch
+# section begin
+
+set ::env(PDK) "gf180mcuC"
+set ::env(STD_CELL_LIBRARY) "gf180mcu_fd_sc_mcu7t5v0"
+
+# YOU CAN CHANGE ANY VARIABLES DEFINED IN THE DEFAULT WRAPPER CFGS BY OVERRIDING THEM IN THIS CONFIG.TCL
+source $::env(DESIGN_DIR)/fixed_dont_change/default_wrapper_cfgs.tcl
+
+set ::env(DESIGN_NAME) user_project_wrapper
+#section end
+
+# User Configurations
+
+## Source Verilog Files
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$::env(DESIGN_DIR)/../../verilog/rtl/user_project_wrapper.v"
+
+## Clock configurations
+set ::env(CLOCK_PORT) "user_clock2"
+set ::env(CLOCK_NET) "plant_example_1.clk"
+
+set ::env(CLOCK_PERIOD) "50"
+
+## Internal Macros
+### Macro PDN Connections
+set ::env(FP_PDN_MACRO_HOOKS) "\
+	plant_example_1 vdd vss vdd vss"
+
+### Macro Placement
+set ::env(MACRO_PLACEMENT_CFG) $::env(DESIGN_DIR)/macro.cfg
+
+### Black-box verilog and views
+set ::env(VERILOG_FILES_BLACKBOX) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$::env(DESIGN_DIR)/../../verilog/rtl/plant_example.v"
+
+set ::env(EXTRA_LEFS) "\
+	$::env(DESIGN_DIR)/../../lef/plant_example.lef"
+
+set ::env(EXTRA_GDS_FILES) "\
+	$::env(DESIGN_DIR)/../../gds/plant_example.gds"
+
+set ::env(RT_MAX_LAYER) {Metal4}
+
+# disable pdn check nodes becuase it hangs with multiple power domains.
+# any issue with pdn connections will be flagged with LVS so it is not a critical check.
+set ::env(FP_PDN_CHECK_NODES) 0
+
+# The following is because there are no std cells in the example wrapper project.
+set ::env(SYNTH_ELABORATE_ONLY) 1
+set ::env(PL_RANDOM_GLB_PLACEMENT) 1
+
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) 0
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) 0
+
+set ::env(FP_PDN_ENABLE_RAILS) 0
+
+set ::env(DIODE_INSERTION_STRATEGY) 0
+set ::env(RUN_FILL_INSERTION) 0
+set ::env(RUN_TAP_DECAP_INSERTION) 0
+set ::env(CLOCK_TREE_SYNTH) 0
+
+# YOU ARE NOT ALLOWED TO CHANGE ANY VARIABLES DEFINED IN THE FIXED WRAPPER CFGS 
+source $::env(DESIGN_DIR)/fixed_dont_change/fixed_wrapper_cfgs.tcl
diff --git a/openlane/user_project_wrapper/fixed_dont_change/default_wrapper_cfgs.tcl b/openlane/user_project_wrapper/fixed_dont_change/default_wrapper_cfgs.tcl
new file mode 100644
index 0000000..66a5084
--- /dev/null
+++ b/openlane/user_project_wrapper/fixed_dont_change/default_wrapper_cfgs.tcl
@@ -0,0 +1,28 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# THE FOLLOWING SECTIONS CAN BE CHANGED IF NEEDED
+
+# PDN Horizontal Pitch as mutliples of 30. Horizontal Pitch = 60 + FP_PDN_HPITCH_MULT * 30. 
+# FP_PDN_HPITCH_MULT is an integer. Minimum value is 0.
+set ::env(FP_PDN_HPITCH_MULT) 1
+
+##
+# PDN Vertical Pitch. Can be changed to any value.
+set ::env(FP_PDN_VPITCH) 90
+
+##
+# PDN vertical Offset. Can be changed to any value.
+set ::env(FP_PDN_VOFFSET) 5
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/fixed_dont_change/fixed_wrapper_cfgs.tcl b/openlane/user_project_wrapper/fixed_dont_change/fixed_wrapper_cfgs.tcl
new file mode 100644
index 0000000..636e68b
--- /dev/null
+++ b/openlane/user_project_wrapper/fixed_dont_change/fixed_wrapper_cfgs.tcl
@@ -0,0 +1,59 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# DON'T TOUCH THE FOLLOWING SECTIONS
+
+# This makes sure that the core rings are outside the boundaries
+# of your block.
+set ::env(MAGIC_ZEROIZE_ORIGIN) 0
+
+# Area Configurations. DON'T TOUCH.
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 2980.2 2980.2"
+set ::env(CORE_AREA) "12 12 2968.2 2968.2"
+
+set ::env(RUN_CVC) 0
+
+# Pin Configurations. DON'T TOUCH
+set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
+
+set ::unit 2.4
+set ::env(FP_IO_VEXTEND) [expr 2*$::unit]
+set ::env(FP_IO_HEXTEND) [expr 2*$::unit]
+set ::env(FP_IO_VLENGTH) $::unit
+set ::env(FP_IO_HLENGTH) $::unit
+
+set ::env(FP_IO_VTHICKNESS_MULT) 4
+set ::env(FP_IO_HTHICKNESS_MULT) 4
+
+# Power & Pin Configurations. DON'T TOUCH.
+set ::env(FP_PDN_CORE_RING) 1
+set ::env(FP_PDN_CORE_RING_VWIDTH) 3.1
+set ::env(FP_PDN_CORE_RING_HWIDTH) 3.1
+set ::env(FP_PDN_CORE_RING_VOFFSET) 14
+set ::env(FP_PDN_CORE_RING_HOFFSET) 16
+set ::env(FP_PDN_CORE_RING_VSPACING) 1.7
+set ::env(FP_PDN_CORE_RING_HSPACING) $::env(FP_PDN_CORE_RING_VSPACING)
+set ::env(FP_PDN_HOFFSET) 5
+set ::env(FP_PDN_HPITCH) [expr 60 + abs(int($::env(FP_PDN_HPITCH_MULT))) * 30]
+
+set ::env(FP_PDN_VWIDTH) 3.1
+set ::env(FP_PDN_HWIDTH) 3.1
+set ::env(FP_PDN_VSPACING) [expr 5*$::env(FP_PDN_CORE_RING_VWIDTH)]
+set ::env(FP_PDN_HSPACING) 26.9
+
+set ::env(VDD_NETS) [list {vdd}]
+set ::env(GND_NETS) [list {vss}]
+set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper.def b/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper.def
new file mode 100644
index 0000000..0647d54
--- /dev/null
+++ b/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper.def
@@ -0,0 +1,7656 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
+ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
+GCELLGRID X 0 DO 423 STEP 6900 ;
+GCELLGRID Y 0 DO 510 STEP 6900 ;
+VIAS 2 ;
+    - via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 350 350 350 350  + ROWCOL 2 2  ;
+    - via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 400 350 400 350  + ROWCOL 2 1  ;
+END VIAS
+PINS 645 ;
+    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1426980 ) N ;
+    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2230770 3521200 ) N ;
+    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1906010 3521200 ) N ;
+    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1581710 3521200 ) N ;
+    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1257410 3521200 ) N ;
+    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 932650 3521200 ) N ;
+    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 608350 3521200 ) N ;
+    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 284050 3521200 ) N ;
+    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3486700 ) N ;
+    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3225580 ) N ;
+    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2965140 ) N ;
+    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1692860 ) N ;
+    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2704020 ) N ;
+    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2443580 ) N ;
+    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2183140 ) N ;
+    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1922020 ) N ;
+    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1661580 ) N ;
+    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1400460 ) N ;
+    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1140020 ) N ;
+    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 879580 ) N ;
+    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 618460 ) N ;
+    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1958740 ) N ;
+    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2223940 ) N ;
+    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2489820 ) N ;
+    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2755700 ) N ;
+    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3020900 ) N ;
+    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3286780 ) N ;
+    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2879370 3521200 ) N ;
+    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2555070 3521200 ) N ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 32980 ) N ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2290580 ) N ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2556460 ) N ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2821660 ) N ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3087540 ) N ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3353420 ) N ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2798410 3521200 ) N ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2474110 3521200 ) N ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2149350 3521200 ) N ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1825050 3521200 ) N ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1500750 3521200 ) N ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 231540 ) N ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1175990 3521200 ) N ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 851690 3521200 ) N ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 527390 3521200 ) N ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 202630 3521200 ) N ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3421420 ) N ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3160300 ) N ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2899860 ) N ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2639420 ) N ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2378300 ) N ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2117860 ) N ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 430780 ) N ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1856740 ) N ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1596300 ) N ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1335860 ) N ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1074740 ) N ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 814300 ) N ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 553180 ) N ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 358020 ) N ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 162180 ) N ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 630020 ) N ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 829260 ) N ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1028500 ) N ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1227740 ) N ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1493620 ) N ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1759500 ) N ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2024700 ) N ;
+    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 165580 ) N ;
+    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2423180 ) N ;
+    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2689060 ) N ;
+    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2954940 ) N ;
+    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3220140 ) N ;
+    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3486020 ) N ;
+    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2636030 3521200 ) N ;
+    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2311730 3521200 ) N ;
+    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1987430 3521200 ) N ;
+    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1662670 3521200 ) N ;
+    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1338370 3521200 ) N ;
+    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 364820 ) N ;
+    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1014070 3521200 ) N ;
+    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 689310 3521200 ) N ;
+    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 365010 3521200 ) N ;
+    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 40710 3521200 ) N ;
+    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3290860 ) N ;
+    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3030420 ) N ;
+    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2769300 ) N ;
+    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2508860 ) N ;
+    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2247740 ) N ;
+    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1987300 ) N ;
+    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 564060 ) N ;
+    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1726860 ) N ;
+    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1465740 ) N ;
+    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1205300 ) N ;
+    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 944180 ) N ;
+    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 683740 ) N ;
+    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 423300 ) N ;
+    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 227460 ) N ;
+    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 32300 ) N ;
+    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 763300 ) N ;
+    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 962540 ) N ;
+    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1161780 ) N ;
+    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1361020 ) N ;
+    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1626220 ) N ;
+    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1892100 ) N ;
+    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2157980 ) N ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 98940 ) N ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2357220 ) N ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2622420 ) N ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2888300 ) N ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3154180 ) N ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 3419380 ) N ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2717450 3521200 ) N ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2392690 3521200 ) N ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2068390 3521200 ) N ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1744090 3521200 ) N ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1419330 3521200 ) N ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 298180 ) N ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1095030 3521200 ) N ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 770730 3521200 ) N ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 445970 3521200 ) N ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 121670 3521200 ) N ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3356140 ) N ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 3095700 ) N ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2834580 ) N ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2574140 ) N ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2313020 ) N ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 2052580 ) N ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 497420 ) N ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1792140 ) N ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1531020 ) N ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1270580 ) N ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 1009460 ) N ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 749020 ) N ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 487900 ) N ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 292740 ) N ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( -1200 96900 ) N ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 696660 ) N ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 895900 ) N ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1095140 ) N ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1294380 ) N ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1560260 ) N ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 1825460 ) N ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+        + PLACED ( 2921200 2091340 ) N ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 629510 -1200 ) N ;
+    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2402810 -1200 ) N ;
+    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2420290 -1200 ) N ;
+    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2438230 -1200 ) N ;
+    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2455710 -1200 ) N ;
+    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2473650 -1200 ) N ;
+    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2491130 -1200 ) N ;
+    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2509070 -1200 ) N ;
+    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2527010 -1200 ) N ;
+    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2544490 -1200 ) N ;
+    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2562430 -1200 ) N ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 806610 -1200 ) N ;
+    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2579910 -1200 ) N ;
+    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2597850 -1200 ) N ;
+    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2615330 -1200 ) N ;
+    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2633270 -1200 ) N ;
+    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2650750 -1200 ) N ;
+    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2668690 -1200 ) N ;
+    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2686170 -1200 ) N ;
+    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2704110 -1200 ) N ;
+    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2722050 -1200 ) N ;
+    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2739530 -1200 ) N ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 824550 -1200 ) N ;
+    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2757470 -1200 ) N ;
+    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2774950 -1200 ) N ;
+    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2792890 -1200 ) N ;
+    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2810370 -1200 ) N ;
+    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2828310 -1200 ) N ;
+    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2845790 -1200 ) N ;
+    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2863730 -1200 ) N ;
+    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2881670 -1200 ) N ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 842030 -1200 ) N ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 859970 -1200 ) N ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 877450 -1200 ) N ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 895390 -1200 ) N ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 912870 -1200 ) N ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 930810 -1200 ) N ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 948750 -1200 ) N ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 966230 -1200 ) N ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 646990 -1200 ) N ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 984170 -1200 ) N ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1001650 -1200 ) N ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1019590 -1200 ) N ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1037070 -1200 ) N ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1055010 -1200 ) N ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1072490 -1200 ) N ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1090430 -1200 ) N ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1107910 -1200 ) N ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1125850 -1200 ) N ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1143790 -1200 ) N ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 664930 -1200 ) N ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1161270 -1200 ) N ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1179210 -1200 ) N ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1196690 -1200 ) N ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1214630 -1200 ) N ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1232110 -1200 ) N ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1250050 -1200 ) N ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1267530 -1200 ) N ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1285470 -1200 ) N ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1303410 -1200 ) N ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1320890 -1200 ) N ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 682410 -1200 ) N ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1338830 -1200 ) N ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1356310 -1200 ) N ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1374250 -1200 ) N ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1391730 -1200 ) N ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1409670 -1200 ) N ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1427150 -1200 ) N ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1445090 -1200 ) N ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1463030 -1200 ) N ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1480510 -1200 ) N ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1498450 -1200 ) N ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 700350 -1200 ) N ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1515930 -1200 ) N ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1533870 -1200 ) N ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1551350 -1200 ) N ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1569290 -1200 ) N ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1586770 -1200 ) N ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1604710 -1200 ) N ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1622190 -1200 ) N ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1640130 -1200 ) N ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1658070 -1200 ) N ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1675550 -1200 ) N ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 717830 -1200 ) N ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1693490 -1200 ) N ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1710970 -1200 ) N ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1728910 -1200 ) N ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1746390 -1200 ) N ;
+    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1764330 -1200 ) N ;
+    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1781810 -1200 ) N ;
+    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1799750 -1200 ) N ;
+    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1817690 -1200 ) N ;
+    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1835170 -1200 ) N ;
+    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1853110 -1200 ) N ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 735770 -1200 ) N ;
+    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1870590 -1200 ) N ;
+    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1888530 -1200 ) N ;
+    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1906010 -1200 ) N ;
+    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1923950 -1200 ) N ;
+    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1941430 -1200 ) N ;
+    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1959370 -1200 ) N ;
+    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1976850 -1200 ) N ;
+    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1994790 -1200 ) N ;
+    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2012730 -1200 ) N ;
+    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2030210 -1200 ) N ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 753250 -1200 ) N ;
+    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2048150 -1200 ) N ;
+    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2065630 -1200 ) N ;
+    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2083570 -1200 ) N ;
+    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2101050 -1200 ) N ;
+    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2118990 -1200 ) N ;
+    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2136470 -1200 ) N ;
+    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2154410 -1200 ) N ;
+    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2172350 -1200 ) N ;
+    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2189830 -1200 ) N ;
+    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2207770 -1200 ) N ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 771190 -1200 ) N ;
+    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2225250 -1200 ) N ;
+    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2243190 -1200 ) N ;
+    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2260670 -1200 ) N ;
+    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2278610 -1200 ) N ;
+    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2296090 -1200 ) N ;
+    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2314030 -1200 ) N ;
+    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2331510 -1200 ) N ;
+    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2349450 -1200 ) N ;
+    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2367390 -1200 ) N ;
+    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2384870 -1200 ) N ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 789130 -1200 ) N ;
+    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 635030 -1200 ) N ;
+    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2408790 -1200 ) N ;
+    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2426270 -1200 ) N ;
+    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2444210 -1200 ) N ;
+    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2461690 -1200 ) N ;
+    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2479630 -1200 ) N ;
+    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2497110 -1200 ) N ;
+    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2515050 -1200 ) N ;
+    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2532530 -1200 ) N ;
+    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2550470 -1200 ) N ;
+    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2567950 -1200 ) N ;
+    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 812590 -1200 ) N ;
+    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2585890 -1200 ) N ;
+    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2603830 -1200 ) N ;
+    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2621310 -1200 ) N ;
+    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2639250 -1200 ) N ;
+    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2656730 -1200 ) N ;
+    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2674670 -1200 ) N ;
+    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2692150 -1200 ) N ;
+    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2710090 -1200 ) N ;
+    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2727570 -1200 ) N ;
+    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2745510 -1200 ) N ;
+    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 830530 -1200 ) N ;
+    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2763450 -1200 ) N ;
+    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2780930 -1200 ) N ;
+    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2798870 -1200 ) N ;
+    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2816350 -1200 ) N ;
+    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2834290 -1200 ) N ;
+    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2851770 -1200 ) N ;
+    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2869710 -1200 ) N ;
+    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2887190 -1200 ) N ;
+    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 848010 -1200 ) N ;
+    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 865950 -1200 ) N ;
+    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 883430 -1200 ) N ;
+    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 901370 -1200 ) N ;
+    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 918850 -1200 ) N ;
+    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 936790 -1200 ) N ;
+    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 954270 -1200 ) N ;
+    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 972210 -1200 ) N ;
+    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 652970 -1200 ) N ;
+    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 989690 -1200 ) N ;
+    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1007630 -1200 ) N ;
+    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1025570 -1200 ) N ;
+    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1043050 -1200 ) N ;
+    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1060990 -1200 ) N ;
+    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1078470 -1200 ) N ;
+    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1096410 -1200 ) N ;
+    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1113890 -1200 ) N ;
+    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1131830 -1200 ) N ;
+    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1149310 -1200 ) N ;
+    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 670910 -1200 ) N ;
+    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1167250 -1200 ) N ;
+    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1185190 -1200 ) N ;
+    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1202670 -1200 ) N ;
+    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1220610 -1200 ) N ;
+    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1238090 -1200 ) N ;
+    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1256030 -1200 ) N ;
+    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1273510 -1200 ) N ;
+    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1291450 -1200 ) N ;
+    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1308930 -1200 ) N ;
+    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1326870 -1200 ) N ;
+    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 688390 -1200 ) N ;
+    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1344350 -1200 ) N ;
+    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1362290 -1200 ) N ;
+    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1380230 -1200 ) N ;
+    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1397710 -1200 ) N ;
+    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1415650 -1200 ) N ;
+    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1433130 -1200 ) N ;
+    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1451070 -1200 ) N ;
+    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1468550 -1200 ) N ;
+    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1486490 -1200 ) N ;
+    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1503970 -1200 ) N ;
+    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 706330 -1200 ) N ;
+    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1521910 -1200 ) N ;
+    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1539850 -1200 ) N ;
+    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1557330 -1200 ) N ;
+    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1575270 -1200 ) N ;
+    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1592750 -1200 ) N ;
+    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1610690 -1200 ) N ;
+    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1628170 -1200 ) N ;
+    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1646110 -1200 ) N ;
+    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1663590 -1200 ) N ;
+    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1681530 -1200 ) N ;
+    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 723810 -1200 ) N ;
+    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1699470 -1200 ) N ;
+    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1716950 -1200 ) N ;
+    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1734890 -1200 ) N ;
+    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1752370 -1200 ) N ;
+    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1770310 -1200 ) N ;
+    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1787790 -1200 ) N ;
+    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1805730 -1200 ) N ;
+    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1823210 -1200 ) N ;
+    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1841150 -1200 ) N ;
+    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1858630 -1200 ) N ;
+    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 741750 -1200 ) N ;
+    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1876570 -1200 ) N ;
+    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1894510 -1200 ) N ;
+    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1911990 -1200 ) N ;
+    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1929930 -1200 ) N ;
+    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1947410 -1200 ) N ;
+    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1965350 -1200 ) N ;
+    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1982830 -1200 ) N ;
+    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2000770 -1200 ) N ;
+    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2018250 -1200 ) N ;
+    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2036190 -1200 ) N ;
+    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 759230 -1200 ) N ;
+    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2054130 -1200 ) N ;
+    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2071610 -1200 ) N ;
+    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2089550 -1200 ) N ;
+    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2107030 -1200 ) N ;
+    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2124970 -1200 ) N ;
+    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2142450 -1200 ) N ;
+    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2160390 -1200 ) N ;
+    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2177870 -1200 ) N ;
+    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2195810 -1200 ) N ;
+    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2213290 -1200 ) N ;
+    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 777170 -1200 ) N ;
+    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2231230 -1200 ) N ;
+    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2249170 -1200 ) N ;
+    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2266650 -1200 ) N ;
+    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2284590 -1200 ) N ;
+    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2302070 -1200 ) N ;
+    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2320010 -1200 ) N ;
+    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2337490 -1200 ) N ;
+    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2355430 -1200 ) N ;
+    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2372910 -1200 ) N ;
+    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2390850 -1200 ) N ;
+    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 794650 -1200 ) N ;
+    - la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 641010 -1200 ) N ;
+    - la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2414310 -1200 ) N ;
+    - la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2432250 -1200 ) N ;
+    - la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2449730 -1200 ) N ;
+    - la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2467670 -1200 ) N ;
+    - la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2485610 -1200 ) N ;
+    - la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2503090 -1200 ) N ;
+    - la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2521030 -1200 ) N ;
+    - la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2538510 -1200 ) N ;
+    - la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2556450 -1200 ) N ;
+    - la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2573930 -1200 ) N ;
+    - la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 818570 -1200 ) N ;
+    - la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2591870 -1200 ) N ;
+    - la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2609350 -1200 ) N ;
+    - la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2627290 -1200 ) N ;
+    - la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2645230 -1200 ) N ;
+    - la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2662710 -1200 ) N ;
+    - la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2680650 -1200 ) N ;
+    - la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2698130 -1200 ) N ;
+    - la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2716070 -1200 ) N ;
+    - la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2733550 -1200 ) N ;
+    - la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2751490 -1200 ) N ;
+    - la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 836050 -1200 ) N ;
+    - la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2768970 -1200 ) N ;
+    - la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2786910 -1200 ) N ;
+    - la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2804390 -1200 ) N ;
+    - la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2822330 -1200 ) N ;
+    - la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2840270 -1200 ) N ;
+    - la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2857750 -1200 ) N ;
+    - la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2875690 -1200 ) N ;
+    - la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2893170 -1200 ) N ;
+    - la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 853990 -1200 ) N ;
+    - la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 871470 -1200 ) N ;
+    - la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 889410 -1200 ) N ;
+    - la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 907350 -1200 ) N ;
+    - la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 924830 -1200 ) N ;
+    - la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 942770 -1200 ) N ;
+    - la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 960250 -1200 ) N ;
+    - la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 978190 -1200 ) N ;
+    - la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 658950 -1200 ) N ;
+    - la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 995670 -1200 ) N ;
+    - la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1013610 -1200 ) N ;
+    - la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1031090 -1200 ) N ;
+    - la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1049030 -1200 ) N ;
+    - la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1066970 -1200 ) N ;
+    - la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1084450 -1200 ) N ;
+    - la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1102390 -1200 ) N ;
+    - la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1119870 -1200 ) N ;
+    - la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1137810 -1200 ) N ;
+    - la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1155290 -1200 ) N ;
+    - la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 676430 -1200 ) N ;
+    - la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1173230 -1200 ) N ;
+    - la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1190710 -1200 ) N ;
+    - la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1208650 -1200 ) N ;
+    - la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1226130 -1200 ) N ;
+    - la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1244070 -1200 ) N ;
+    - la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1262010 -1200 ) N ;
+    - la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1279490 -1200 ) N ;
+    - la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1297430 -1200 ) N ;
+    - la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1314910 -1200 ) N ;
+    - la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1332850 -1200 ) N ;
+    - la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 694370 -1200 ) N ;
+    - la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1350330 -1200 ) N ;
+    - la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1368270 -1200 ) N ;
+    - la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1385750 -1200 ) N ;
+    - la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1403690 -1200 ) N ;
+    - la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1421630 -1200 ) N ;
+    - la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1439110 -1200 ) N ;
+    - la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1457050 -1200 ) N ;
+    - la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1474530 -1200 ) N ;
+    - la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1492470 -1200 ) N ;
+    - la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1509950 -1200 ) N ;
+    - la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 712310 -1200 ) N ;
+    - la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1527890 -1200 ) N ;
+    - la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1545370 -1200 ) N ;
+    - la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1563310 -1200 ) N ;
+    - la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1581250 -1200 ) N ;
+    - la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1598730 -1200 ) N ;
+    - la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1616670 -1200 ) N ;
+    - la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1634150 -1200 ) N ;
+    - la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1652090 -1200 ) N ;
+    - la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1669570 -1200 ) N ;
+    - la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1687510 -1200 ) N ;
+    - la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 729790 -1200 ) N ;
+    - la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1704990 -1200 ) N ;
+    - la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1722930 -1200 ) N ;
+    - la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1740410 -1200 ) N ;
+    - la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1758350 -1200 ) N ;
+    - la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1776290 -1200 ) N ;
+    - la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1793770 -1200 ) N ;
+    - la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1811710 -1200 ) N ;
+    - la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1829190 -1200 ) N ;
+    - la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1847130 -1200 ) N ;
+    - la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1864610 -1200 ) N ;
+    - la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 747730 -1200 ) N ;
+    - la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1882550 -1200 ) N ;
+    - la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1900030 -1200 ) N ;
+    - la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1917970 -1200 ) N ;
+    - la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1935910 -1200 ) N ;
+    - la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1953390 -1200 ) N ;
+    - la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1971330 -1200 ) N ;
+    - la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 1988810 -1200 ) N ;
+    - la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2006750 -1200 ) N ;
+    - la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2024230 -1200 ) N ;
+    - la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2042170 -1200 ) N ;
+    - la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 765210 -1200 ) N ;
+    - la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2059650 -1200 ) N ;
+    - la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2077590 -1200 ) N ;
+    - la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2095070 -1200 ) N ;
+    - la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2113010 -1200 ) N ;
+    - la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2130950 -1200 ) N ;
+    - la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2148430 -1200 ) N ;
+    - la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2166370 -1200 ) N ;
+    - la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2183850 -1200 ) N ;
+    - la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2201790 -1200 ) N ;
+    - la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2219270 -1200 ) N ;
+    - la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 783150 -1200 ) N ;
+    - la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2237210 -1200 ) N ;
+    - la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2254690 -1200 ) N ;
+    - la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2272630 -1200 ) N ;
+    - la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2290570 -1200 ) N ;
+    - la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2308050 -1200 ) N ;
+    - la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2325990 -1200 ) N ;
+    - la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2343470 -1200 ) N ;
+    - la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2361410 -1200 ) N ;
+    - la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2378890 -1200 ) N ;
+    - la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2396830 -1200 ) N ;
+    - la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 800630 -1200 ) N ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2899150 -1200 ) N ;
+    - user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2905130 -1200 ) N ;
+    - user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2911110 -1200 ) N ;
+    - user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2917090 -1200 ) N ;
+    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+      + PORT
+        + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+        + LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+        + LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+        + LAYER met4 ( -541550 -1769310 ) ( -538450 1769310 )
+        + LAYER met4 ( -721550 -1769310 ) ( -718450 1769310 )
+        + LAYER met4 ( -901550 540160 ) ( -898450 1769310 )
+        + LAYER met4 ( -1081550 540160 ) ( -1078450 1769310 )
+        + LAYER met4 ( -1261550 540160 ) ( -1258450 1769310 )
+        + LAYER met4 ( -1441550 540160 ) ( -1438450 1769310 )
+        + LAYER met4 ( -1621550 540160 ) ( -1618450 1769310 )
+        + LAYER met4 ( -1801550 -1769310 ) ( -1798450 1769310 )
+        + LAYER met4 ( -1981550 -1769310 ) ( -1978450 1769310 )
+        + LAYER met4 ( -2161550 -1769310 ) ( -2158450 1769310 )
+        + LAYER met4 ( -2341550 -1769310 ) ( -2338450 1769310 )
+        + LAYER met4 ( -2521550 -1769310 ) ( -2518450 1769310 )
+        + LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+        + LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+        + LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+        + LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+        + LAYER met4 ( -901550 -1769310 ) ( -898450 -79840 )
+        + LAYER met4 ( -1081550 -1769310 ) ( -1078450 -79840 )
+        + LAYER met4 ( -1261550 -1769310 ) ( -1258450 -79840 )
+        + LAYER met4 ( -1441550 -1769310 ) ( -1438450 -79840 )
+        + LAYER met4 ( -1621550 -1769310 ) ( -1618450 -79840 )
+        + LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+        + LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+        + LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+        + LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+        + LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+        + LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+        + LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+        + LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+        + LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+        + LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+        + LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+        + LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+        + LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+        + LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+        + LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+        + LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+        + LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+        + LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+        + LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+        + LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+        + LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+        + LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+        + FIXED ( 2890520 1759840 ) N ;
+    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+      + PORT
+        + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+        + LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+        + LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+        + LAYER met4 ( -541550 -1778910 ) ( -538450 1778910 )
+        + LAYER met4 ( -721550 -1778910 ) ( -718450 1778910 )
+        + LAYER met4 ( -901550 540160 ) ( -898450 1778910 )
+        + LAYER met4 ( -1081550 540160 ) ( -1078450 1778910 )
+        + LAYER met4 ( -1261550 540160 ) ( -1258450 1778910 )
+        + LAYER met4 ( -1441550 540160 ) ( -1438450 1778910 )
+        + LAYER met4 ( -1621550 540160 ) ( -1618450 1778910 )
+        + LAYER met4 ( -1801550 -1778910 ) ( -1798450 1778910 )
+        + LAYER met4 ( -1981550 -1778910 ) ( -1978450 1778910 )
+        + LAYER met4 ( -2161550 -1778910 ) ( -2158450 1778910 )
+        + LAYER met4 ( -2341550 -1778910 ) ( -2338450 1778910 )
+        + LAYER met4 ( -2521550 -1778910 ) ( -2518450 1778910 )
+        + LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+        + LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+        + LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+        + LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+        + LAYER met4 ( -901550 -1778910 ) ( -898450 -79840 )
+        + LAYER met4 ( -1081550 -1778910 ) ( -1078450 -79840 )
+        + LAYER met4 ( -1261550 -1778910 ) ( -1258450 -79840 )
+        + LAYER met4 ( -1441550 -1778910 ) ( -1438450 -79840 )
+        + LAYER met4 ( -1621550 -1778910 ) ( -1618450 -79840 )
+        + LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+        + LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+        + LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+        + LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+        + LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+        + LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+        + LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+        + LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+        + LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+        + LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+        + LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+        + LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+        + LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+        + LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+        + LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+        + LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+        + LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+        + LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+        + LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+        + LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+        + LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+        + LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+        + FIXED ( 2909120 1759840 ) N ;
+    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+      + PORT
+        + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+        + LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+        + LAYER met4 ( -361550 -1788510 ) ( -358450 1788510 )
+        + LAYER met4 ( -541550 -1788510 ) ( -538450 1788510 )
+        + LAYER met4 ( -721550 540160 ) ( -718450 1788510 )
+        + LAYER met4 ( -901550 540160 ) ( -898450 1788510 )
+        + LAYER met4 ( -1081550 540160 ) ( -1078450 1788510 )
+        + LAYER met4 ( -1261550 540160 ) ( -1258450 1788510 )
+        + LAYER met4 ( -1441550 540160 ) ( -1438450 1788510 )
+        + LAYER met4 ( -1621550 -1788510 ) ( -1618450 1788510 )
+        + LAYER met4 ( -1801550 -1788510 ) ( -1798450 1788510 )
+        + LAYER met4 ( -1981550 -1788510 ) ( -1978450 1788510 )
+        + LAYER met4 ( -2161550 -1788510 ) ( -2158450 1788510 )
+        + LAYER met4 ( -2341550 -1788510 ) ( -2338450 1788510 )
+        + LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
+        + LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+        + LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+        + LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+        + LAYER met4 ( -721550 -1788510 ) ( -718450 -79840 )
+        + LAYER met4 ( -901550 -1788510 ) ( -898450 -79840 )
+        + LAYER met4 ( -1081550 -1788510 ) ( -1078450 -79840 )
+        + LAYER met4 ( -1261550 -1788510 ) ( -1258450 -79840 )
+        + LAYER met4 ( -1441550 -1788510 ) ( -1438450 -79840 )
+        + LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+        + LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+        + LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+        + LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+        + LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+        + LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+        + LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+        + LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+        + LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+        + LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+        + LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+        + LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+        + LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+        + LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+        + LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+        + LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+        + LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+        + LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+        + LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+        + LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+        + LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+        + LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+        + FIXED ( 2747720 1759840 ) N ;
+    - vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+      + PORT
+        + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+        + LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+        + LAYER met4 ( -361550 -1798110 ) ( -358450 1798110 )
+        + LAYER met4 ( -541550 -1798110 ) ( -538450 1798110 )
+        + LAYER met4 ( -721550 540160 ) ( -718450 1798110 )
+        + LAYER met4 ( -901550 540160 ) ( -898450 1798110 )
+        + LAYER met4 ( -1081550 540160 ) ( -1078450 1798110 )
+        + LAYER met4 ( -1261550 540160 ) ( -1258450 1798110 )
+        + LAYER met4 ( -1441550 540160 ) ( -1438450 1798110 )
+        + LAYER met4 ( -1621550 -1798110 ) ( -1618450 1798110 )
+        + LAYER met4 ( -1801550 -1798110 ) ( -1798450 1798110 )
+        + LAYER met4 ( -1981550 -1798110 ) ( -1978450 1798110 )
+        + LAYER met4 ( -2161550 -1798110 ) ( -2158450 1798110 )
+        + LAYER met4 ( -2341550 -1798110 ) ( -2338450 1798110 )
+        + LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
+        + LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+        + LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+        + LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+        + LAYER met4 ( -721550 -1798110 ) ( -718450 -79840 )
+        + LAYER met4 ( -901550 -1798110 ) ( -898450 -79840 )
+        + LAYER met4 ( -1081550 -1798110 ) ( -1078450 -79840 )
+        + LAYER met4 ( -1261550 -1798110 ) ( -1258450 -79840 )
+        + LAYER met4 ( -1441550 -1798110 ) ( -1438450 -79840 )
+        + LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+        + LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+        + LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+        + LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+        + LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+        + LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+        + LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+        + LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+        + LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+        + LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+        + LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+        + LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+        + LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+        + LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+        + LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+        + LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+        + LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+        + LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+        + LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+        + LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+        + LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+        + LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+        + FIXED ( 2766320 1759840 ) N ;
+    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+      + PORT
+        + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+        + LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+        + LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+        + LAYER met4 ( -475930 -1788510 ) ( -472830 1788510 )
+        + LAYER met4 ( -655930 -1788510 ) ( -652830 1788510 )
+        + LAYER met4 ( -835930 -1788510 ) ( -832830 1788510 )
+        + LAYER met4 ( -1015930 540160 ) ( -1012830 1788510 )
+        + LAYER met4 ( -1195930 540160 ) ( -1192830 1788510 )
+        + LAYER met4 ( -1375930 540160 ) ( -1372830 1788510 )
+        + LAYER met4 ( -1555930 540160 ) ( -1552830 1788510 )
+        + LAYER met4 ( -1735930 540160 ) ( -1732830 1788510 )
+        + LAYER met4 ( -1915930 -1788510 ) ( -1912830 1788510 )
+        + LAYER met4 ( -2095930 -1788510 ) ( -2092830 1788510 )
+        + LAYER met4 ( -2275930 -1788510 ) ( -2272830 1788510 )
+        + LAYER met4 ( -2455930 -1788510 ) ( -2452830 1788510 )
+        + LAYER met4 ( -2635930 -1788510 ) ( -2632830 1788510 )
+        + LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+        + LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+        + LAYER met4 ( -1015930 -1788510 ) ( -1012830 -79840 )
+        + LAYER met4 ( -1195930 -1788510 ) ( -1192830 -79840 )
+        + LAYER met4 ( -1375930 -1788510 ) ( -1372830 -79840 )
+        + LAYER met4 ( -1555930 -1788510 ) ( -1552830 -79840 )
+        + LAYER met4 ( -1735930 -1788510 ) ( -1732830 -79840 )
+        + LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+        + LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+        + LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+        + LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+        + LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+        + LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+        + LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+        + LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+        + LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+        + LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+        + LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+        + LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+        + LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+        + LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+        + LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+        + LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+        + LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+        + LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+        + LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+        + LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+        + LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+        + FIXED ( 2952100 1759840 ) N ;
+    - vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+      + PORT
+        + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+        + LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+        + LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+        + LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+        + LAYER met4 ( -646930 -1798110 ) ( -643830 1798110 )
+        + LAYER met4 ( -826930 -1798110 ) ( -823830 1798110 )
+        + LAYER met4 ( -1006930 540160 ) ( -1003830 1798110 )
+        + LAYER met4 ( -1186930 540160 ) ( -1183830 1798110 )
+        + LAYER met4 ( -1366930 540160 ) ( -1363830 1798110 )
+        + LAYER met4 ( -1546930 540160 ) ( -1543830 1798110 )
+        + LAYER met4 ( -1726930 540160 ) ( -1723830 1798110 )
+        + LAYER met4 ( -1906930 -1798110 ) ( -1903830 1798110 )
+        + LAYER met4 ( -2086930 -1798110 ) ( -2083830 1798110 )
+        + LAYER met4 ( -2266930 -1798110 ) ( -2263830 1798110 )
+        + LAYER met4 ( -2446930 -1798110 ) ( -2443830 1798110 )
+        + LAYER met4 ( -2626930 -1798110 ) ( -2623830 1798110 )
+        + LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+        + LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+        + LAYER met4 ( -1006930 -1798110 ) ( -1003830 -79840 )
+        + LAYER met4 ( -1186930 -1798110 ) ( -1183830 -79840 )
+        + LAYER met4 ( -1366930 -1798110 ) ( -1363830 -79840 )
+        + LAYER met4 ( -1546930 -1798110 ) ( -1543830 -79840 )
+        + LAYER met4 ( -1726930 -1798110 ) ( -1723830 -79840 )
+        + LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+        + LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+        + LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+        + LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+        + LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+        + LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+        + LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+        + LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+        + LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+        + LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+        + LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+        + LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+        + LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+        + LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+        + LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+        + LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+        + LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+        + LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+        + LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+        + LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+        + LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+        + FIXED ( 2961700 1759840 ) N ;
+    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+      + PORT
+        + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+        + LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+        + LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+        + LAYER met4 ( -493930 -1769310 ) ( -490830 1769310 )
+        + LAYER met4 ( -673930 -1769310 ) ( -670830 1769310 )
+        + LAYER met4 ( -853930 540160 ) ( -850830 1769310 )
+        + LAYER met4 ( -1033930 540160 ) ( -1030830 1769310 )
+        + LAYER met4 ( -1213930 540160 ) ( -1210830 1769310 )
+        + LAYER met4 ( -1393930 540160 ) ( -1390830 1769310 )
+        + LAYER met4 ( -1573930 540160 ) ( -1570830 1769310 )
+        + LAYER met4 ( -1753930 540160 ) ( -1750830 1769310 )
+        + LAYER met4 ( -1933930 -1769310 ) ( -1930830 1769310 )
+        + LAYER met4 ( -2113930 -1769310 ) ( -2110830 1769310 )
+        + LAYER met4 ( -2293930 -1769310 ) ( -2290830 1769310 )
+        + LAYER met4 ( -2473930 -1769310 ) ( -2470830 1769310 )
+        + LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
+        + LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+        + LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+        + LAYER met4 ( -853930 -1769310 ) ( -850830 -79840 )
+        + LAYER met4 ( -1033930 -1769310 ) ( -1030830 -79840 )
+        + LAYER met4 ( -1213930 -1769310 ) ( -1210830 -79840 )
+        + LAYER met4 ( -1393930 -1769310 ) ( -1390830 -79840 )
+        + LAYER met4 ( -1573930 -1769310 ) ( -1570830 -79840 )
+        + LAYER met4 ( -1753930 -1769310 ) ( -1750830 -79840 )
+        + LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+        + LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+        + LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+        + LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+        + LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+        + LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+        + LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+        + LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+        + LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+        + LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+        + LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+        + LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+        + LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+        + LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+        + LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+        + LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+        + LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+        + LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+        + LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+        + LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+        + LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+        + FIXED ( 2932900 1759840 ) N ;
+    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+      + PORT
+        + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+        + LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+        + LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+        + LAYER met4 ( -484930 -1778910 ) ( -481830 1778910 )
+        + LAYER met4 ( -664930 -1778910 ) ( -661830 1778910 )
+        + LAYER met4 ( -844930 -1778910 ) ( -841830 1778910 )
+        + LAYER met4 ( -1024930 540160 ) ( -1021830 1778910 )
+        + LAYER met4 ( -1204930 540160 ) ( -1201830 1778910 )
+        + LAYER met4 ( -1384930 540160 ) ( -1381830 1778910 )
+        + LAYER met4 ( -1564930 540160 ) ( -1561830 1778910 )
+        + LAYER met4 ( -1744930 540160 ) ( -1741830 1778910 )
+        + LAYER met4 ( -1924930 -1778910 ) ( -1921830 1778910 )
+        + LAYER met4 ( -2104930 -1778910 ) ( -2101830 1778910 )
+        + LAYER met4 ( -2284930 -1778910 ) ( -2281830 1778910 )
+        + LAYER met4 ( -2464930 -1778910 ) ( -2461830 1778910 )
+        + LAYER met4 ( -2644930 -1778910 ) ( -2641830 1778910 )
+        + LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+        + LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+        + LAYER met4 ( -1024930 -1778910 ) ( -1021830 -79840 )
+        + LAYER met4 ( -1204930 -1778910 ) ( -1201830 -79840 )
+        + LAYER met4 ( -1384930 -1778910 ) ( -1381830 -79840 )
+        + LAYER met4 ( -1564930 -1778910 ) ( -1561830 -79840 )
+        + LAYER met4 ( -1744930 -1778910 ) ( -1741830 -79840 )
+        + LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+        + LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+        + LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+        + LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+        + LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+        + LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+        + LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+        + LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+        + LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+        + LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+        + LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+        + LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+        + LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+        + LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+        + LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+        + LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+        + LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+        + LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+        + LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+        + LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+        + LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+        + FIXED ( 2942500 1759840 ) N ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 2990 -1200 ) N ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 8510 -1200 ) N ;
+    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 14490 -1200 ) N ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 38410 -1200 ) N ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 239430 -1200 ) N ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 256910 -1200 ) N ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 274850 -1200 ) N ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 292330 -1200 ) N ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 310270 -1200 ) N ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 327750 -1200 ) N ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 345690 -1200 ) N ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 363170 -1200 ) N ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 381110 -1200 ) N ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 398590 -1200 ) N ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 61870 -1200 ) N ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 416530 -1200 ) N ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 434470 -1200 ) N ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 451950 -1200 ) N ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 469890 -1200 ) N ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 487370 -1200 ) N ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 505310 -1200 ) N ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 522790 -1200 ) N ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 540730 -1200 ) N ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 558210 -1200 ) N ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 576150 -1200 ) N ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 85330 -1200 ) N ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 594090 -1200 ) N ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 611570 -1200 ) N ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 109250 -1200 ) N ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 132710 -1200 ) N ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 150650 -1200 ) N ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 168130 -1200 ) N ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 186070 -1200 ) N ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 203550 -1200 ) N ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 221490 -1200 ) N ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 20470 -1200 ) N ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 43930 -1200 ) N ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 244950 -1200 ) N ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 262890 -1200 ) N ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 280370 -1200 ) N ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 298310 -1200 ) N ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 316250 -1200 ) N ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 333730 -1200 ) N ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 351670 -1200 ) N ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 369150 -1200 ) N ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 387090 -1200 ) N ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 404570 -1200 ) N ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 67850 -1200 ) N ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 422510 -1200 ) N ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 439990 -1200 ) N ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 457930 -1200 ) N ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 475870 -1200 ) N ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 493350 -1200 ) N ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 511290 -1200 ) N ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 528770 -1200 ) N ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 546710 -1200 ) N ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 564190 -1200 ) N ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 582130 -1200 ) N ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 91310 -1200 ) N ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 599610 -1200 ) N ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 617550 -1200 ) N ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 115230 -1200 ) N ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 138690 -1200 ) N ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 156630 -1200 ) N ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 174110 -1200 ) N ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 192050 -1200 ) N ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 209530 -1200 ) N ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 227470 -1200 ) N ;
+    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 49910 -1200 ) N ;
+    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 250930 -1200 ) N ;
+    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 268870 -1200 ) N ;
+    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 286350 -1200 ) N ;
+    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 304290 -1200 ) N ;
+    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 321770 -1200 ) N ;
+    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 339710 -1200 ) N ;
+    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 357650 -1200 ) N ;
+    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 375130 -1200 ) N ;
+    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 393070 -1200 ) N ;
+    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 410550 -1200 ) N ;
+    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 73830 -1200 ) N ;
+    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 428490 -1200 ) N ;
+    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 445970 -1200 ) N ;
+    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 463910 -1200 ) N ;
+    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 481390 -1200 ) N ;
+    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 499330 -1200 ) N ;
+    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 516810 -1200 ) N ;
+    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 534750 -1200 ) N ;
+    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 552690 -1200 ) N ;
+    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 570170 -1200 ) N ;
+    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 588110 -1200 ) N ;
+    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 97290 -1200 ) N ;
+    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 605590 -1200 ) N ;
+    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 623530 -1200 ) N ;
+    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 121210 -1200 ) N ;
+    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 144670 -1200 ) N ;
+    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 162150 -1200 ) N ;
+    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 180090 -1200 ) N ;
+    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 198030 -1200 ) N ;
+    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 215510 -1200 ) N ;
+    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 233450 -1200 ) N ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 55890 -1200 ) N ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 79810 -1200 ) N ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 103270 -1200 ) N ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 126730 -1200 ) N ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 26450 -1200 ) N ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+        + PLACED ( 32430 -1200 ) N ;
+END PINS
+SPECIALNETS 8 ;
+    - vccd1 ( PIN vccd1 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 1964840 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1811240 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1657640 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1504040 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1350440 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1196840 2175880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1964840 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1811240 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1657640 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1504040 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1350440 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1196840 1995880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1964840 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1811240 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1657640 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1504040 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1350440 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1196840 1815880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
+      NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
+      NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1990520 2300000 ) ( 1990520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1810520 2300000 ) ( 1810520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1630520 2300000 ) ( 1630520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1450520 2300000 ) ( 1450520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1270520 2300000 ) ( 1270520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
+      NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 1680000 ) ;
+    - vccd2 ( PIN vccd2 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
+      NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
+      NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2009120 2300000 ) ( 2009120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1829120 2300000 ) ( 1829120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1649120 2300000 ) ( 1649120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1469120 2300000 ) ( 1469120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1289120 2300000 ) ( 1289120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
+      NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 1680000 ) ;
+    - vdda1 ( PIN vdda1 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
+      NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
+      NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2027720 2300000 ) ( 2027720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1847720 2300000 ) ( 1847720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1667720 2300000 ) ( 1667720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1487720 2300000 ) ( 1487720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1307720 2300000 ) ( 1307720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
+      NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
+      NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 1680000 ) ;
+    - vdda2 ( PIN vdda2 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
+      NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
+      NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2046320 2300000 ) ( 2046320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1866320 2300000 ) ( 1866320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1686320 2300000 ) ( 1686320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1506320 2300000 ) ( 1506320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1326320 2300000 ) ( 1326320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
+      NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 1680000 ) ;
+    - vssa1 ( PIN vssa1 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
+      NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
+      NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1937720 2300000 ) ( 1937720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1757720 2300000 ) ( 1757720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1577720 2300000 ) ( 1577720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1397720 2300000 ) ( 1397720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1217720 2300000 ) ( 1217720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 1680000 ) ;
+    - vssa2 ( PIN vssa2 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
+      NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
+      NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1956320 2300000 ) ( 1956320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1776320 2300000 ) ( 1776320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1596320 2300000 ) ( 1596320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1416320 2300000 ) ( 1416320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1236320 2300000 ) ( 1236320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 1680000 ) ;
+    - vssd1 ( PIN vssd1 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2041640 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1888040 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1734440 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1580840 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1427240 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1273640 2265880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 2041640 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1888040 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1734440 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1580840 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1427240 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1273640 2085880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 2041640 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1888040 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1734440 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1580840 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1427240 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1273640 1905880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 2041640 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1888040 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1734440 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1580840 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1427240 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 1273640 1725880 ) via4_1600x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
+      NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
+      NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2080520 2300000 ) ( 2080520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1900520 2300000 ) ( 1900520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1720520 2300000 ) ( 1720520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1540520 2300000 ) ( 1540520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1360520 2300000 ) ( 1360520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1180520 2300000 ) ( 1180520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 1680000 ) ;
+    - vssd2 ( PIN vssd2 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
+      NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
+      NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1919120 2300000 ) ( 1919120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1739120 2300000 ) ( 1739120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1559120 2300000 ) ( 1559120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1379120 2300000 ) ( 1379120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1199120 2300000 ) ( 1199120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 1680000 )
+      NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 1680000 ) ;
+END SPECIALNETS
+END DESIGN
diff --git a/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def b/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
new file mode 100644
index 0000000..690921f
--- /dev/null
+++ b/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
@@ -0,0 +1,5181 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper ;
+UNITS DISTANCE MICRONS 2000 ;
+DIEAREA ( 0 0 ) ( 6000000 6000000 ) ;
+ROW ROW_0 GF018hv5v_mcu_sc7 43680 47040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_1 GF018hv5v_mcu_sc7 43680 54880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_2 GF018hv5v_mcu_sc7 43680 62720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_3 GF018hv5v_mcu_sc7 43680 70560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_4 GF018hv5v_mcu_sc7 43680 78400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_5 GF018hv5v_mcu_sc7 43680 86240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_6 GF018hv5v_mcu_sc7 43680 94080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_7 GF018hv5v_mcu_sc7 43680 101920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_8 GF018hv5v_mcu_sc7 43680 109760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_9 GF018hv5v_mcu_sc7 43680 117600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_10 GF018hv5v_mcu_sc7 43680 125440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_11 GF018hv5v_mcu_sc7 43680 133280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_12 GF018hv5v_mcu_sc7 43680 141120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_13 GF018hv5v_mcu_sc7 43680 148960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_14 GF018hv5v_mcu_sc7 43680 156800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_15 GF018hv5v_mcu_sc7 43680 164640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_16 GF018hv5v_mcu_sc7 43680 172480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_17 GF018hv5v_mcu_sc7 43680 180320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_18 GF018hv5v_mcu_sc7 43680 188160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_19 GF018hv5v_mcu_sc7 43680 196000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_20 GF018hv5v_mcu_sc7 43680 203840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_21 GF018hv5v_mcu_sc7 43680 211680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_22 GF018hv5v_mcu_sc7 43680 219520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_23 GF018hv5v_mcu_sc7 43680 227360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_24 GF018hv5v_mcu_sc7 43680 235200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_25 GF018hv5v_mcu_sc7 43680 243040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_26 GF018hv5v_mcu_sc7 43680 250880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_27 GF018hv5v_mcu_sc7 43680 258720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_28 GF018hv5v_mcu_sc7 43680 266560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_29 GF018hv5v_mcu_sc7 43680 274400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_30 GF018hv5v_mcu_sc7 43680 282240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_31 GF018hv5v_mcu_sc7 43680 290080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_32 GF018hv5v_mcu_sc7 43680 297920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_33 GF018hv5v_mcu_sc7 43680 305760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_34 GF018hv5v_mcu_sc7 43680 313600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_35 GF018hv5v_mcu_sc7 43680 321440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_36 GF018hv5v_mcu_sc7 43680 329280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_37 GF018hv5v_mcu_sc7 43680 337120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_38 GF018hv5v_mcu_sc7 43680 344960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_39 GF018hv5v_mcu_sc7 43680 352800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_40 GF018hv5v_mcu_sc7 43680 360640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_41 GF018hv5v_mcu_sc7 43680 368480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_42 GF018hv5v_mcu_sc7 43680 376320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_43 GF018hv5v_mcu_sc7 43680 384160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_44 GF018hv5v_mcu_sc7 43680 392000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_45 GF018hv5v_mcu_sc7 43680 399840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_46 GF018hv5v_mcu_sc7 43680 407680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_47 GF018hv5v_mcu_sc7 43680 415520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_48 GF018hv5v_mcu_sc7 43680 423360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_49 GF018hv5v_mcu_sc7 43680 431200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_50 GF018hv5v_mcu_sc7 43680 439040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_51 GF018hv5v_mcu_sc7 43680 446880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_52 GF018hv5v_mcu_sc7 43680 454720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_53 GF018hv5v_mcu_sc7 43680 462560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_54 GF018hv5v_mcu_sc7 43680 470400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_55 GF018hv5v_mcu_sc7 43680 478240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_56 GF018hv5v_mcu_sc7 43680 486080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_57 GF018hv5v_mcu_sc7 43680 493920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_58 GF018hv5v_mcu_sc7 43680 501760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_59 GF018hv5v_mcu_sc7 43680 509600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_60 GF018hv5v_mcu_sc7 43680 517440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_61 GF018hv5v_mcu_sc7 43680 525280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_62 GF018hv5v_mcu_sc7 43680 533120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_63 GF018hv5v_mcu_sc7 43680 540960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_64 GF018hv5v_mcu_sc7 43680 548800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_65 GF018hv5v_mcu_sc7 43680 556640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_66 GF018hv5v_mcu_sc7 43680 564480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_67 GF018hv5v_mcu_sc7 43680 572320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_68 GF018hv5v_mcu_sc7 43680 580160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_69 GF018hv5v_mcu_sc7 43680 588000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_70 GF018hv5v_mcu_sc7 43680 595840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_71 GF018hv5v_mcu_sc7 43680 603680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_72 GF018hv5v_mcu_sc7 43680 611520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_73 GF018hv5v_mcu_sc7 43680 619360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_74 GF018hv5v_mcu_sc7 43680 627200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_75 GF018hv5v_mcu_sc7 43680 635040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_76 GF018hv5v_mcu_sc7 43680 642880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_77 GF018hv5v_mcu_sc7 43680 650720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_78 GF018hv5v_mcu_sc7 43680 658560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_79 GF018hv5v_mcu_sc7 43680 666400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_80 GF018hv5v_mcu_sc7 43680 674240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_81 GF018hv5v_mcu_sc7 43680 682080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_82 GF018hv5v_mcu_sc7 43680 689920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_83 GF018hv5v_mcu_sc7 43680 697760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_84 GF018hv5v_mcu_sc7 43680 705600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_85 GF018hv5v_mcu_sc7 43680 713440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_86 GF018hv5v_mcu_sc7 43680 721280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_87 GF018hv5v_mcu_sc7 43680 729120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_88 GF018hv5v_mcu_sc7 43680 736960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_89 GF018hv5v_mcu_sc7 43680 744800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_90 GF018hv5v_mcu_sc7 43680 752640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_91 GF018hv5v_mcu_sc7 43680 760480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_92 GF018hv5v_mcu_sc7 43680 768320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_93 GF018hv5v_mcu_sc7 43680 776160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_94 GF018hv5v_mcu_sc7 43680 784000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_95 GF018hv5v_mcu_sc7 43680 791840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_96 GF018hv5v_mcu_sc7 43680 799680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_97 GF018hv5v_mcu_sc7 43680 807520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_98 GF018hv5v_mcu_sc7 43680 815360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_99 GF018hv5v_mcu_sc7 43680 823200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_100 GF018hv5v_mcu_sc7 43680 831040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_101 GF018hv5v_mcu_sc7 43680 838880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_102 GF018hv5v_mcu_sc7 43680 846720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_103 GF018hv5v_mcu_sc7 43680 854560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_104 GF018hv5v_mcu_sc7 43680 862400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_105 GF018hv5v_mcu_sc7 43680 870240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_106 GF018hv5v_mcu_sc7 43680 878080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_107 GF018hv5v_mcu_sc7 43680 885920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_108 GF018hv5v_mcu_sc7 43680 893760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_109 GF018hv5v_mcu_sc7 43680 901600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_110 GF018hv5v_mcu_sc7 43680 909440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_111 GF018hv5v_mcu_sc7 43680 917280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_112 GF018hv5v_mcu_sc7 43680 925120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_113 GF018hv5v_mcu_sc7 43680 932960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_114 GF018hv5v_mcu_sc7 43680 940800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_115 GF018hv5v_mcu_sc7 43680 948640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_116 GF018hv5v_mcu_sc7 43680 956480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_117 GF018hv5v_mcu_sc7 43680 964320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_118 GF018hv5v_mcu_sc7 43680 972160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_119 GF018hv5v_mcu_sc7 43680 980000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_120 GF018hv5v_mcu_sc7 43680 987840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_121 GF018hv5v_mcu_sc7 43680 995680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_122 GF018hv5v_mcu_sc7 43680 1003520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_123 GF018hv5v_mcu_sc7 43680 1011360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_124 GF018hv5v_mcu_sc7 43680 1019200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_125 GF018hv5v_mcu_sc7 43680 1027040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_126 GF018hv5v_mcu_sc7 43680 1034880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_127 GF018hv5v_mcu_sc7 43680 1042720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_128 GF018hv5v_mcu_sc7 43680 1050560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_129 GF018hv5v_mcu_sc7 43680 1058400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_130 GF018hv5v_mcu_sc7 43680 1066240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_131 GF018hv5v_mcu_sc7 43680 1074080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_132 GF018hv5v_mcu_sc7 43680 1081920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_133 GF018hv5v_mcu_sc7 43680 1089760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_134 GF018hv5v_mcu_sc7 43680 1097600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_135 GF018hv5v_mcu_sc7 43680 1105440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_136 GF018hv5v_mcu_sc7 43680 1113280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_137 GF018hv5v_mcu_sc7 43680 1121120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_138 GF018hv5v_mcu_sc7 43680 1128960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_139 GF018hv5v_mcu_sc7 43680 1136800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_140 GF018hv5v_mcu_sc7 43680 1144640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_141 GF018hv5v_mcu_sc7 43680 1152480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_142 GF018hv5v_mcu_sc7 43680 1160320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_143 GF018hv5v_mcu_sc7 43680 1168160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_144 GF018hv5v_mcu_sc7 43680 1176000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_145 GF018hv5v_mcu_sc7 43680 1183840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_146 GF018hv5v_mcu_sc7 43680 1191680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_147 GF018hv5v_mcu_sc7 43680 1199520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_148 GF018hv5v_mcu_sc7 43680 1207360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_149 GF018hv5v_mcu_sc7 43680 1215200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_150 GF018hv5v_mcu_sc7 43680 1223040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_151 GF018hv5v_mcu_sc7 43680 1230880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_152 GF018hv5v_mcu_sc7 43680 1238720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_153 GF018hv5v_mcu_sc7 43680 1246560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_154 GF018hv5v_mcu_sc7 43680 1254400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_155 GF018hv5v_mcu_sc7 43680 1262240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_156 GF018hv5v_mcu_sc7 43680 1270080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_157 GF018hv5v_mcu_sc7 43680 1277920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_158 GF018hv5v_mcu_sc7 43680 1285760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_159 GF018hv5v_mcu_sc7 43680 1293600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_160 GF018hv5v_mcu_sc7 43680 1301440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_161 GF018hv5v_mcu_sc7 43680 1309280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_162 GF018hv5v_mcu_sc7 43680 1317120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_163 GF018hv5v_mcu_sc7 43680 1324960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_164 GF018hv5v_mcu_sc7 43680 1332800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_165 GF018hv5v_mcu_sc7 43680 1340640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_166 GF018hv5v_mcu_sc7 43680 1348480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_167 GF018hv5v_mcu_sc7 43680 1356320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_168 GF018hv5v_mcu_sc7 43680 1364160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_169 GF018hv5v_mcu_sc7 43680 1372000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_170 GF018hv5v_mcu_sc7 43680 1379840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_171 GF018hv5v_mcu_sc7 43680 1387680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_172 GF018hv5v_mcu_sc7 43680 1395520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_173 GF018hv5v_mcu_sc7 43680 1403360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_174 GF018hv5v_mcu_sc7 43680 1411200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_175 GF018hv5v_mcu_sc7 43680 1419040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_176 GF018hv5v_mcu_sc7 43680 1426880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_177 GF018hv5v_mcu_sc7 43680 1434720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_178 GF018hv5v_mcu_sc7 43680 1442560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_179 GF018hv5v_mcu_sc7 43680 1450400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_180 GF018hv5v_mcu_sc7 43680 1458240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_181 GF018hv5v_mcu_sc7 43680 1466080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_182 GF018hv5v_mcu_sc7 43680 1473920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_183 GF018hv5v_mcu_sc7 43680 1481760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_184 GF018hv5v_mcu_sc7 43680 1489600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_185 GF018hv5v_mcu_sc7 43680 1497440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_186 GF018hv5v_mcu_sc7 43680 1505280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_187 GF018hv5v_mcu_sc7 43680 1513120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_188 GF018hv5v_mcu_sc7 43680 1520960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_189 GF018hv5v_mcu_sc7 43680 1528800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_190 GF018hv5v_mcu_sc7 43680 1536640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_191 GF018hv5v_mcu_sc7 43680 1544480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_192 GF018hv5v_mcu_sc7 43680 1552320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_193 GF018hv5v_mcu_sc7 43680 1560160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_194 GF018hv5v_mcu_sc7 43680 1568000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_195 GF018hv5v_mcu_sc7 43680 1575840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_196 GF018hv5v_mcu_sc7 43680 1583680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_197 GF018hv5v_mcu_sc7 43680 1591520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_198 GF018hv5v_mcu_sc7 43680 1599360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_199 GF018hv5v_mcu_sc7 43680 1607200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_200 GF018hv5v_mcu_sc7 43680 1615040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_201 GF018hv5v_mcu_sc7 43680 1622880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_202 GF018hv5v_mcu_sc7 43680 1630720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_203 GF018hv5v_mcu_sc7 43680 1638560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_204 GF018hv5v_mcu_sc7 43680 1646400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_205 GF018hv5v_mcu_sc7 43680 1654240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_206 GF018hv5v_mcu_sc7 43680 1662080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_207 GF018hv5v_mcu_sc7 43680 1669920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_208 GF018hv5v_mcu_sc7 43680 1677760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_209 GF018hv5v_mcu_sc7 43680 1685600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_210 GF018hv5v_mcu_sc7 43680 1693440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_211 GF018hv5v_mcu_sc7 43680 1701280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_212 GF018hv5v_mcu_sc7 43680 1709120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_213 GF018hv5v_mcu_sc7 43680 1716960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_214 GF018hv5v_mcu_sc7 43680 1724800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_215 GF018hv5v_mcu_sc7 43680 1732640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_216 GF018hv5v_mcu_sc7 43680 1740480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_217 GF018hv5v_mcu_sc7 43680 1748320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_218 GF018hv5v_mcu_sc7 43680 1756160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_219 GF018hv5v_mcu_sc7 43680 1764000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_220 GF018hv5v_mcu_sc7 43680 1771840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_221 GF018hv5v_mcu_sc7 43680 1779680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_222 GF018hv5v_mcu_sc7 43680 1787520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_223 GF018hv5v_mcu_sc7 43680 1795360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_224 GF018hv5v_mcu_sc7 43680 1803200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_225 GF018hv5v_mcu_sc7 43680 1811040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_226 GF018hv5v_mcu_sc7 43680 1818880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_227 GF018hv5v_mcu_sc7 43680 1826720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_228 GF018hv5v_mcu_sc7 43680 1834560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_229 GF018hv5v_mcu_sc7 43680 1842400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_230 GF018hv5v_mcu_sc7 43680 1850240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_231 GF018hv5v_mcu_sc7 43680 1858080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_232 GF018hv5v_mcu_sc7 43680 1865920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_233 GF018hv5v_mcu_sc7 43680 1873760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_234 GF018hv5v_mcu_sc7 43680 1881600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_235 GF018hv5v_mcu_sc7 43680 1889440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_236 GF018hv5v_mcu_sc7 43680 1897280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_237 GF018hv5v_mcu_sc7 43680 1905120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_238 GF018hv5v_mcu_sc7 43680 1912960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_239 GF018hv5v_mcu_sc7 43680 1920800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_240 GF018hv5v_mcu_sc7 43680 1928640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_241 GF018hv5v_mcu_sc7 43680 1936480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_242 GF018hv5v_mcu_sc7 43680 1944320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_243 GF018hv5v_mcu_sc7 43680 1952160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_244 GF018hv5v_mcu_sc7 43680 1960000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_245 GF018hv5v_mcu_sc7 43680 1967840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_246 GF018hv5v_mcu_sc7 43680 1975680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_247 GF018hv5v_mcu_sc7 43680 1983520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_248 GF018hv5v_mcu_sc7 43680 1991360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_249 GF018hv5v_mcu_sc7 43680 1999200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_250 GF018hv5v_mcu_sc7 43680 2007040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_251 GF018hv5v_mcu_sc7 43680 2014880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_252 GF018hv5v_mcu_sc7 43680 2022720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_253 GF018hv5v_mcu_sc7 43680 2030560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_254 GF018hv5v_mcu_sc7 43680 2038400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_255 GF018hv5v_mcu_sc7 43680 2046240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_256 GF018hv5v_mcu_sc7 43680 2054080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_257 GF018hv5v_mcu_sc7 43680 2061920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_258 GF018hv5v_mcu_sc7 43680 2069760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_259 GF018hv5v_mcu_sc7 43680 2077600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_260 GF018hv5v_mcu_sc7 43680 2085440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_261 GF018hv5v_mcu_sc7 43680 2093280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_262 GF018hv5v_mcu_sc7 43680 2101120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_263 GF018hv5v_mcu_sc7 43680 2108960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_264 GF018hv5v_mcu_sc7 43680 2116800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_265 GF018hv5v_mcu_sc7 43680 2124640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_266 GF018hv5v_mcu_sc7 43680 2132480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_267 GF018hv5v_mcu_sc7 43680 2140320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_268 GF018hv5v_mcu_sc7 43680 2148160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_269 GF018hv5v_mcu_sc7 43680 2156000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_270 GF018hv5v_mcu_sc7 43680 2163840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_271 GF018hv5v_mcu_sc7 43680 2171680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_272 GF018hv5v_mcu_sc7 43680 2179520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_273 GF018hv5v_mcu_sc7 43680 2187360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_274 GF018hv5v_mcu_sc7 43680 2195200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_275 GF018hv5v_mcu_sc7 43680 2203040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_276 GF018hv5v_mcu_sc7 43680 2210880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_277 GF018hv5v_mcu_sc7 43680 2218720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_278 GF018hv5v_mcu_sc7 43680 2226560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_279 GF018hv5v_mcu_sc7 43680 2234400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_280 GF018hv5v_mcu_sc7 43680 2242240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_281 GF018hv5v_mcu_sc7 43680 2250080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_282 GF018hv5v_mcu_sc7 43680 2257920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_283 GF018hv5v_mcu_sc7 43680 2265760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_284 GF018hv5v_mcu_sc7 43680 2273600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_285 GF018hv5v_mcu_sc7 43680 2281440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_286 GF018hv5v_mcu_sc7 43680 2289280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_287 GF018hv5v_mcu_sc7 43680 2297120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_288 GF018hv5v_mcu_sc7 43680 2304960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_289 GF018hv5v_mcu_sc7 43680 2312800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_290 GF018hv5v_mcu_sc7 43680 2320640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_291 GF018hv5v_mcu_sc7 43680 2328480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_292 GF018hv5v_mcu_sc7 43680 2336320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_293 GF018hv5v_mcu_sc7 43680 2344160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_294 GF018hv5v_mcu_sc7 43680 2352000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_295 GF018hv5v_mcu_sc7 43680 2359840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_296 GF018hv5v_mcu_sc7 43680 2367680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_297 GF018hv5v_mcu_sc7 43680 2375520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_298 GF018hv5v_mcu_sc7 43680 2383360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_299 GF018hv5v_mcu_sc7 43680 2391200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_300 GF018hv5v_mcu_sc7 43680 2399040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_301 GF018hv5v_mcu_sc7 43680 2406880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_302 GF018hv5v_mcu_sc7 43680 2414720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_303 GF018hv5v_mcu_sc7 43680 2422560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_304 GF018hv5v_mcu_sc7 43680 2430400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_305 GF018hv5v_mcu_sc7 43680 2438240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_306 GF018hv5v_mcu_sc7 43680 2446080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_307 GF018hv5v_mcu_sc7 43680 2453920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_308 GF018hv5v_mcu_sc7 43680 2461760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_309 GF018hv5v_mcu_sc7 43680 2469600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_310 GF018hv5v_mcu_sc7 43680 2477440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_311 GF018hv5v_mcu_sc7 43680 2485280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_312 GF018hv5v_mcu_sc7 43680 2493120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_313 GF018hv5v_mcu_sc7 43680 2500960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_314 GF018hv5v_mcu_sc7 43680 2508800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_315 GF018hv5v_mcu_sc7 43680 2516640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_316 GF018hv5v_mcu_sc7 43680 2524480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_317 GF018hv5v_mcu_sc7 43680 2532320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_318 GF018hv5v_mcu_sc7 43680 2540160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_319 GF018hv5v_mcu_sc7 43680 2548000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_320 GF018hv5v_mcu_sc7 43680 2555840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_321 GF018hv5v_mcu_sc7 43680 2563680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_322 GF018hv5v_mcu_sc7 43680 2571520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_323 GF018hv5v_mcu_sc7 43680 2579360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_324 GF018hv5v_mcu_sc7 43680 2587200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_325 GF018hv5v_mcu_sc7 43680 2595040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_326 GF018hv5v_mcu_sc7 43680 2602880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_327 GF018hv5v_mcu_sc7 43680 2610720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_328 GF018hv5v_mcu_sc7 43680 2618560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_329 GF018hv5v_mcu_sc7 43680 2626400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_330 GF018hv5v_mcu_sc7 43680 2634240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_331 GF018hv5v_mcu_sc7 43680 2642080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_332 GF018hv5v_mcu_sc7 43680 2649920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_333 GF018hv5v_mcu_sc7 43680 2657760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_334 GF018hv5v_mcu_sc7 43680 2665600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_335 GF018hv5v_mcu_sc7 43680 2673440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_336 GF018hv5v_mcu_sc7 43680 2681280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_337 GF018hv5v_mcu_sc7 43680 2689120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_338 GF018hv5v_mcu_sc7 43680 2696960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_339 GF018hv5v_mcu_sc7 43680 2704800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_340 GF018hv5v_mcu_sc7 43680 2712640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_341 GF018hv5v_mcu_sc7 43680 2720480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_342 GF018hv5v_mcu_sc7 43680 2728320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_343 GF018hv5v_mcu_sc7 43680 2736160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_344 GF018hv5v_mcu_sc7 43680 2744000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_345 GF018hv5v_mcu_sc7 43680 2751840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_346 GF018hv5v_mcu_sc7 43680 2759680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_347 GF018hv5v_mcu_sc7 43680 2767520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_348 GF018hv5v_mcu_sc7 43680 2775360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_349 GF018hv5v_mcu_sc7 43680 2783200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_350 GF018hv5v_mcu_sc7 43680 2791040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_351 GF018hv5v_mcu_sc7 43680 2798880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_352 GF018hv5v_mcu_sc7 43680 2806720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_353 GF018hv5v_mcu_sc7 43680 2814560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_354 GF018hv5v_mcu_sc7 43680 2822400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_355 GF018hv5v_mcu_sc7 43680 2830240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_356 GF018hv5v_mcu_sc7 43680 2838080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_357 GF018hv5v_mcu_sc7 43680 2845920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_358 GF018hv5v_mcu_sc7 43680 2853760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_359 GF018hv5v_mcu_sc7 43680 2861600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_360 GF018hv5v_mcu_sc7 43680 2869440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_361 GF018hv5v_mcu_sc7 43680 2877280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_362 GF018hv5v_mcu_sc7 43680 2885120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_363 GF018hv5v_mcu_sc7 43680 2892960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_364 GF018hv5v_mcu_sc7 43680 2900800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_365 GF018hv5v_mcu_sc7 43680 2908640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_366 GF018hv5v_mcu_sc7 43680 2916480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_367 GF018hv5v_mcu_sc7 43680 2924320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_368 GF018hv5v_mcu_sc7 43680 2932160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_369 GF018hv5v_mcu_sc7 43680 2940000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_370 GF018hv5v_mcu_sc7 43680 2947840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_371 GF018hv5v_mcu_sc7 43680 2955680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_372 GF018hv5v_mcu_sc7 43680 2963520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_373 GF018hv5v_mcu_sc7 43680 2971360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_374 GF018hv5v_mcu_sc7 43680 2979200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_375 GF018hv5v_mcu_sc7 43680 2987040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_376 GF018hv5v_mcu_sc7 43680 2994880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_377 GF018hv5v_mcu_sc7 43680 3002720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_378 GF018hv5v_mcu_sc7 43680 3010560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_379 GF018hv5v_mcu_sc7 43680 3018400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_380 GF018hv5v_mcu_sc7 43680 3026240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_381 GF018hv5v_mcu_sc7 43680 3034080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_382 GF018hv5v_mcu_sc7 43680 3041920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_383 GF018hv5v_mcu_sc7 43680 3049760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_384 GF018hv5v_mcu_sc7 43680 3057600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_385 GF018hv5v_mcu_sc7 43680 3065440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_386 GF018hv5v_mcu_sc7 43680 3073280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_387 GF018hv5v_mcu_sc7 43680 3081120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_388 GF018hv5v_mcu_sc7 43680 3088960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_389 GF018hv5v_mcu_sc7 43680 3096800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_390 GF018hv5v_mcu_sc7 43680 3104640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_391 GF018hv5v_mcu_sc7 43680 3112480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_392 GF018hv5v_mcu_sc7 43680 3120320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_393 GF018hv5v_mcu_sc7 43680 3128160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_394 GF018hv5v_mcu_sc7 43680 3136000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_395 GF018hv5v_mcu_sc7 43680 3143840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_396 GF018hv5v_mcu_sc7 43680 3151680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_397 GF018hv5v_mcu_sc7 43680 3159520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_398 GF018hv5v_mcu_sc7 43680 3167360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_399 GF018hv5v_mcu_sc7 43680 3175200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_400 GF018hv5v_mcu_sc7 43680 3183040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_401 GF018hv5v_mcu_sc7 43680 3190880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_402 GF018hv5v_mcu_sc7 43680 3198720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_403 GF018hv5v_mcu_sc7 43680 3206560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_404 GF018hv5v_mcu_sc7 43680 3214400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_405 GF018hv5v_mcu_sc7 43680 3222240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_406 GF018hv5v_mcu_sc7 43680 3230080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_407 GF018hv5v_mcu_sc7 43680 3237920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_408 GF018hv5v_mcu_sc7 43680 3245760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_409 GF018hv5v_mcu_sc7 43680 3253600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_410 GF018hv5v_mcu_sc7 43680 3261440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_411 GF018hv5v_mcu_sc7 43680 3269280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_412 GF018hv5v_mcu_sc7 43680 3277120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_413 GF018hv5v_mcu_sc7 43680 3284960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_414 GF018hv5v_mcu_sc7 43680 3292800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_415 GF018hv5v_mcu_sc7 43680 3300640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_416 GF018hv5v_mcu_sc7 43680 3308480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_417 GF018hv5v_mcu_sc7 43680 3316320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_418 GF018hv5v_mcu_sc7 43680 3324160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_419 GF018hv5v_mcu_sc7 43680 3332000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_420 GF018hv5v_mcu_sc7 43680 3339840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_421 GF018hv5v_mcu_sc7 43680 3347680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_422 GF018hv5v_mcu_sc7 43680 3355520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_423 GF018hv5v_mcu_sc7 43680 3363360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_424 GF018hv5v_mcu_sc7 43680 3371200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_425 GF018hv5v_mcu_sc7 43680 3379040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_426 GF018hv5v_mcu_sc7 43680 3386880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_427 GF018hv5v_mcu_sc7 43680 3394720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_428 GF018hv5v_mcu_sc7 43680 3402560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_429 GF018hv5v_mcu_sc7 43680 3410400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_430 GF018hv5v_mcu_sc7 43680 3418240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_431 GF018hv5v_mcu_sc7 43680 3426080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_432 GF018hv5v_mcu_sc7 43680 3433920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_433 GF018hv5v_mcu_sc7 43680 3441760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_434 GF018hv5v_mcu_sc7 43680 3449600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_435 GF018hv5v_mcu_sc7 43680 3457440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_436 GF018hv5v_mcu_sc7 43680 3465280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_437 GF018hv5v_mcu_sc7 43680 3473120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_438 GF018hv5v_mcu_sc7 43680 3480960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_439 GF018hv5v_mcu_sc7 43680 3488800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_440 GF018hv5v_mcu_sc7 43680 3496640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_441 GF018hv5v_mcu_sc7 43680 3504480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_442 GF018hv5v_mcu_sc7 43680 3512320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_443 GF018hv5v_mcu_sc7 43680 3520160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_444 GF018hv5v_mcu_sc7 43680 3528000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_445 GF018hv5v_mcu_sc7 43680 3535840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_446 GF018hv5v_mcu_sc7 43680 3543680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_447 GF018hv5v_mcu_sc7 43680 3551520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_448 GF018hv5v_mcu_sc7 43680 3559360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_449 GF018hv5v_mcu_sc7 43680 3567200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_450 GF018hv5v_mcu_sc7 43680 3575040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_451 GF018hv5v_mcu_sc7 43680 3582880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_452 GF018hv5v_mcu_sc7 43680 3590720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_453 GF018hv5v_mcu_sc7 43680 3598560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_454 GF018hv5v_mcu_sc7 43680 3606400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_455 GF018hv5v_mcu_sc7 43680 3614240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_456 GF018hv5v_mcu_sc7 43680 3622080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_457 GF018hv5v_mcu_sc7 43680 3629920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_458 GF018hv5v_mcu_sc7 43680 3637760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_459 GF018hv5v_mcu_sc7 43680 3645600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_460 GF018hv5v_mcu_sc7 43680 3653440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_461 GF018hv5v_mcu_sc7 43680 3661280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_462 GF018hv5v_mcu_sc7 43680 3669120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_463 GF018hv5v_mcu_sc7 43680 3676960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_464 GF018hv5v_mcu_sc7 43680 3684800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_465 GF018hv5v_mcu_sc7 43680 3692640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_466 GF018hv5v_mcu_sc7 43680 3700480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_467 GF018hv5v_mcu_sc7 43680 3708320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_468 GF018hv5v_mcu_sc7 43680 3716160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_469 GF018hv5v_mcu_sc7 43680 3724000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_470 GF018hv5v_mcu_sc7 43680 3731840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_471 GF018hv5v_mcu_sc7 43680 3739680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_472 GF018hv5v_mcu_sc7 43680 3747520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_473 GF018hv5v_mcu_sc7 43680 3755360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_474 GF018hv5v_mcu_sc7 43680 3763200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_475 GF018hv5v_mcu_sc7 43680 3771040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_476 GF018hv5v_mcu_sc7 43680 3778880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_477 GF018hv5v_mcu_sc7 43680 3786720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_478 GF018hv5v_mcu_sc7 43680 3794560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_479 GF018hv5v_mcu_sc7 43680 3802400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_480 GF018hv5v_mcu_sc7 43680 3810240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_481 GF018hv5v_mcu_sc7 43680 3818080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_482 GF018hv5v_mcu_sc7 43680 3825920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_483 GF018hv5v_mcu_sc7 43680 3833760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_484 GF018hv5v_mcu_sc7 43680 3841600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_485 GF018hv5v_mcu_sc7 43680 3849440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_486 GF018hv5v_mcu_sc7 43680 3857280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_487 GF018hv5v_mcu_sc7 43680 3865120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_488 GF018hv5v_mcu_sc7 43680 3872960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_489 GF018hv5v_mcu_sc7 43680 3880800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_490 GF018hv5v_mcu_sc7 43680 3888640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_491 GF018hv5v_mcu_sc7 43680 3896480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_492 GF018hv5v_mcu_sc7 43680 3904320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_493 GF018hv5v_mcu_sc7 43680 3912160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_494 GF018hv5v_mcu_sc7 43680 3920000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_495 GF018hv5v_mcu_sc7 43680 3927840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_496 GF018hv5v_mcu_sc7 43680 3935680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_497 GF018hv5v_mcu_sc7 43680 3943520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_498 GF018hv5v_mcu_sc7 43680 3951360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_499 GF018hv5v_mcu_sc7 43680 3959200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_500 GF018hv5v_mcu_sc7 43680 3967040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_501 GF018hv5v_mcu_sc7 43680 3974880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_502 GF018hv5v_mcu_sc7 43680 3982720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_503 GF018hv5v_mcu_sc7 43680 3990560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_504 GF018hv5v_mcu_sc7 43680 3998400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_505 GF018hv5v_mcu_sc7 43680 4006240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_506 GF018hv5v_mcu_sc7 43680 4014080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_507 GF018hv5v_mcu_sc7 43680 4021920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_508 GF018hv5v_mcu_sc7 43680 4029760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_509 GF018hv5v_mcu_sc7 43680 4037600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_510 GF018hv5v_mcu_sc7 43680 4045440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_511 GF018hv5v_mcu_sc7 43680 4053280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_512 GF018hv5v_mcu_sc7 43680 4061120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_513 GF018hv5v_mcu_sc7 43680 4068960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_514 GF018hv5v_mcu_sc7 43680 4076800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_515 GF018hv5v_mcu_sc7 43680 4084640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_516 GF018hv5v_mcu_sc7 43680 4092480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_517 GF018hv5v_mcu_sc7 43680 4100320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_518 GF018hv5v_mcu_sc7 43680 4108160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_519 GF018hv5v_mcu_sc7 43680 4116000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_520 GF018hv5v_mcu_sc7 43680 4123840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_521 GF018hv5v_mcu_sc7 43680 4131680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_522 GF018hv5v_mcu_sc7 43680 4139520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_523 GF018hv5v_mcu_sc7 43680 4147360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_524 GF018hv5v_mcu_sc7 43680 4155200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_525 GF018hv5v_mcu_sc7 43680 4163040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_526 GF018hv5v_mcu_sc7 43680 4170880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_527 GF018hv5v_mcu_sc7 43680 4178720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_528 GF018hv5v_mcu_sc7 43680 4186560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_529 GF018hv5v_mcu_sc7 43680 4194400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_530 GF018hv5v_mcu_sc7 43680 4202240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_531 GF018hv5v_mcu_sc7 43680 4210080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_532 GF018hv5v_mcu_sc7 43680 4217920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_533 GF018hv5v_mcu_sc7 43680 4225760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_534 GF018hv5v_mcu_sc7 43680 4233600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_535 GF018hv5v_mcu_sc7 43680 4241440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_536 GF018hv5v_mcu_sc7 43680 4249280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_537 GF018hv5v_mcu_sc7 43680 4257120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_538 GF018hv5v_mcu_sc7 43680 4264960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_539 GF018hv5v_mcu_sc7 43680 4272800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_540 GF018hv5v_mcu_sc7 43680 4280640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_541 GF018hv5v_mcu_sc7 43680 4288480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_542 GF018hv5v_mcu_sc7 43680 4296320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_543 GF018hv5v_mcu_sc7 43680 4304160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_544 GF018hv5v_mcu_sc7 43680 4312000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_545 GF018hv5v_mcu_sc7 43680 4319840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_546 GF018hv5v_mcu_sc7 43680 4327680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_547 GF018hv5v_mcu_sc7 43680 4335520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_548 GF018hv5v_mcu_sc7 43680 4343360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_549 GF018hv5v_mcu_sc7 43680 4351200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_550 GF018hv5v_mcu_sc7 43680 4359040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_551 GF018hv5v_mcu_sc7 43680 4366880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_552 GF018hv5v_mcu_sc7 43680 4374720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_553 GF018hv5v_mcu_sc7 43680 4382560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_554 GF018hv5v_mcu_sc7 43680 4390400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_555 GF018hv5v_mcu_sc7 43680 4398240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_556 GF018hv5v_mcu_sc7 43680 4406080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_557 GF018hv5v_mcu_sc7 43680 4413920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_558 GF018hv5v_mcu_sc7 43680 4421760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_559 GF018hv5v_mcu_sc7 43680 4429600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_560 GF018hv5v_mcu_sc7 43680 4437440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_561 GF018hv5v_mcu_sc7 43680 4445280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_562 GF018hv5v_mcu_sc7 43680 4453120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_563 GF018hv5v_mcu_sc7 43680 4460960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_564 GF018hv5v_mcu_sc7 43680 4468800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_565 GF018hv5v_mcu_sc7 43680 4476640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_566 GF018hv5v_mcu_sc7 43680 4484480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_567 GF018hv5v_mcu_sc7 43680 4492320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_568 GF018hv5v_mcu_sc7 43680 4500160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_569 GF018hv5v_mcu_sc7 43680 4508000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_570 GF018hv5v_mcu_sc7 43680 4515840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_571 GF018hv5v_mcu_sc7 43680 4523680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_572 GF018hv5v_mcu_sc7 43680 4531520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_573 GF018hv5v_mcu_sc7 43680 4539360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_574 GF018hv5v_mcu_sc7 43680 4547200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_575 GF018hv5v_mcu_sc7 43680 4555040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_576 GF018hv5v_mcu_sc7 43680 4562880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_577 GF018hv5v_mcu_sc7 43680 4570720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_578 GF018hv5v_mcu_sc7 43680 4578560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_579 GF018hv5v_mcu_sc7 43680 4586400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_580 GF018hv5v_mcu_sc7 43680 4594240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_581 GF018hv5v_mcu_sc7 43680 4602080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_582 GF018hv5v_mcu_sc7 43680 4609920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_583 GF018hv5v_mcu_sc7 43680 4617760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_584 GF018hv5v_mcu_sc7 43680 4625600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_585 GF018hv5v_mcu_sc7 43680 4633440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_586 GF018hv5v_mcu_sc7 43680 4641280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_587 GF018hv5v_mcu_sc7 43680 4649120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_588 GF018hv5v_mcu_sc7 43680 4656960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_589 GF018hv5v_mcu_sc7 43680 4664800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_590 GF018hv5v_mcu_sc7 43680 4672640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_591 GF018hv5v_mcu_sc7 43680 4680480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_592 GF018hv5v_mcu_sc7 43680 4688320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_593 GF018hv5v_mcu_sc7 43680 4696160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_594 GF018hv5v_mcu_sc7 43680 4704000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_595 GF018hv5v_mcu_sc7 43680 4711840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_596 GF018hv5v_mcu_sc7 43680 4719680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_597 GF018hv5v_mcu_sc7 43680 4727520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_598 GF018hv5v_mcu_sc7 43680 4735360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_599 GF018hv5v_mcu_sc7 43680 4743200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_600 GF018hv5v_mcu_sc7 43680 4751040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_601 GF018hv5v_mcu_sc7 43680 4758880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_602 GF018hv5v_mcu_sc7 43680 4766720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_603 GF018hv5v_mcu_sc7 43680 4774560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_604 GF018hv5v_mcu_sc7 43680 4782400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_605 GF018hv5v_mcu_sc7 43680 4790240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_606 GF018hv5v_mcu_sc7 43680 4798080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_607 GF018hv5v_mcu_sc7 43680 4805920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_608 GF018hv5v_mcu_sc7 43680 4813760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_609 GF018hv5v_mcu_sc7 43680 4821600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_610 GF018hv5v_mcu_sc7 43680 4829440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_611 GF018hv5v_mcu_sc7 43680 4837280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_612 GF018hv5v_mcu_sc7 43680 4845120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_613 GF018hv5v_mcu_sc7 43680 4852960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_614 GF018hv5v_mcu_sc7 43680 4860800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_615 GF018hv5v_mcu_sc7 43680 4868640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_616 GF018hv5v_mcu_sc7 43680 4876480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_617 GF018hv5v_mcu_sc7 43680 4884320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_618 GF018hv5v_mcu_sc7 43680 4892160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_619 GF018hv5v_mcu_sc7 43680 4900000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_620 GF018hv5v_mcu_sc7 43680 4907840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_621 GF018hv5v_mcu_sc7 43680 4915680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_622 GF018hv5v_mcu_sc7 43680 4923520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_623 GF018hv5v_mcu_sc7 43680 4931360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_624 GF018hv5v_mcu_sc7 43680 4939200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_625 GF018hv5v_mcu_sc7 43680 4947040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_626 GF018hv5v_mcu_sc7 43680 4954880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_627 GF018hv5v_mcu_sc7 43680 4962720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_628 GF018hv5v_mcu_sc7 43680 4970560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_629 GF018hv5v_mcu_sc7 43680 4978400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_630 GF018hv5v_mcu_sc7 43680 4986240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_631 GF018hv5v_mcu_sc7 43680 4994080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_632 GF018hv5v_mcu_sc7 43680 5001920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_633 GF018hv5v_mcu_sc7 43680 5009760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_634 GF018hv5v_mcu_sc7 43680 5017600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_635 GF018hv5v_mcu_sc7 43680 5025440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_636 GF018hv5v_mcu_sc7 43680 5033280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_637 GF018hv5v_mcu_sc7 43680 5041120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_638 GF018hv5v_mcu_sc7 43680 5048960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_639 GF018hv5v_mcu_sc7 43680 5056800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_640 GF018hv5v_mcu_sc7 43680 5064640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_641 GF018hv5v_mcu_sc7 43680 5072480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_642 GF018hv5v_mcu_sc7 43680 5080320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_643 GF018hv5v_mcu_sc7 43680 5088160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_644 GF018hv5v_mcu_sc7 43680 5096000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_645 GF018hv5v_mcu_sc7 43680 5103840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_646 GF018hv5v_mcu_sc7 43680 5111680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_647 GF018hv5v_mcu_sc7 43680 5119520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_648 GF018hv5v_mcu_sc7 43680 5127360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_649 GF018hv5v_mcu_sc7 43680 5135200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_650 GF018hv5v_mcu_sc7 43680 5143040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_651 GF018hv5v_mcu_sc7 43680 5150880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_652 GF018hv5v_mcu_sc7 43680 5158720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_653 GF018hv5v_mcu_sc7 43680 5166560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_654 GF018hv5v_mcu_sc7 43680 5174400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_655 GF018hv5v_mcu_sc7 43680 5182240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_656 GF018hv5v_mcu_sc7 43680 5190080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_657 GF018hv5v_mcu_sc7 43680 5197920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_658 GF018hv5v_mcu_sc7 43680 5205760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_659 GF018hv5v_mcu_sc7 43680 5213600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_660 GF018hv5v_mcu_sc7 43680 5221440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_661 GF018hv5v_mcu_sc7 43680 5229280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_662 GF018hv5v_mcu_sc7 43680 5237120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_663 GF018hv5v_mcu_sc7 43680 5244960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_664 GF018hv5v_mcu_sc7 43680 5252800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_665 GF018hv5v_mcu_sc7 43680 5260640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_666 GF018hv5v_mcu_sc7 43680 5268480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_667 GF018hv5v_mcu_sc7 43680 5276320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_668 GF018hv5v_mcu_sc7 43680 5284160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_669 GF018hv5v_mcu_sc7 43680 5292000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_670 GF018hv5v_mcu_sc7 43680 5299840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_671 GF018hv5v_mcu_sc7 43680 5307680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_672 GF018hv5v_mcu_sc7 43680 5315520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_673 GF018hv5v_mcu_sc7 43680 5323360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_674 GF018hv5v_mcu_sc7 43680 5331200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_675 GF018hv5v_mcu_sc7 43680 5339040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_676 GF018hv5v_mcu_sc7 43680 5346880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_677 GF018hv5v_mcu_sc7 43680 5354720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_678 GF018hv5v_mcu_sc7 43680 5362560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_679 GF018hv5v_mcu_sc7 43680 5370400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_680 GF018hv5v_mcu_sc7 43680 5378240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_681 GF018hv5v_mcu_sc7 43680 5386080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_682 GF018hv5v_mcu_sc7 43680 5393920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_683 GF018hv5v_mcu_sc7 43680 5401760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_684 GF018hv5v_mcu_sc7 43680 5409600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_685 GF018hv5v_mcu_sc7 43680 5417440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_686 GF018hv5v_mcu_sc7 43680 5425280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_687 GF018hv5v_mcu_sc7 43680 5433120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_688 GF018hv5v_mcu_sc7 43680 5440960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_689 GF018hv5v_mcu_sc7 43680 5448800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_690 GF018hv5v_mcu_sc7 43680 5456640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_691 GF018hv5v_mcu_sc7 43680 5464480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_692 GF018hv5v_mcu_sc7 43680 5472320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_693 GF018hv5v_mcu_sc7 43680 5480160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_694 GF018hv5v_mcu_sc7 43680 5488000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_695 GF018hv5v_mcu_sc7 43680 5495840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_696 GF018hv5v_mcu_sc7 43680 5503680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_697 GF018hv5v_mcu_sc7 43680 5511520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_698 GF018hv5v_mcu_sc7 43680 5519360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_699 GF018hv5v_mcu_sc7 43680 5527200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_700 GF018hv5v_mcu_sc7 43680 5535040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_701 GF018hv5v_mcu_sc7 43680 5542880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_702 GF018hv5v_mcu_sc7 43680 5550720 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_703 GF018hv5v_mcu_sc7 43680 5558560 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_704 GF018hv5v_mcu_sc7 43680 5566400 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_705 GF018hv5v_mcu_sc7 43680 5574240 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_706 GF018hv5v_mcu_sc7 43680 5582080 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_707 GF018hv5v_mcu_sc7 43680 5589920 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_708 GF018hv5v_mcu_sc7 43680 5597760 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_709 GF018hv5v_mcu_sc7 43680 5605600 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_710 GF018hv5v_mcu_sc7 43680 5613440 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_711 GF018hv5v_mcu_sc7 43680 5621280 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_712 GF018hv5v_mcu_sc7 43680 5629120 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_713 GF018hv5v_mcu_sc7 43680 5636960 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_714 GF018hv5v_mcu_sc7 43680 5644800 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_715 GF018hv5v_mcu_sc7 43680 5652640 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_716 GF018hv5v_mcu_sc7 43680 5660480 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_717 GF018hv5v_mcu_sc7 43680 5668320 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_718 GF018hv5v_mcu_sc7 43680 5676160 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_719 GF018hv5v_mcu_sc7 43680 5684000 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_720 GF018hv5v_mcu_sc7 43680 5691840 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_721 GF018hv5v_mcu_sc7 43680 5699680 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_722 GF018hv5v_mcu_sc7 43680 5707520 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_723 GF018hv5v_mcu_sc7 43680 5715360 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_724 GF018hv5v_mcu_sc7 43680 5723200 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_725 GF018hv5v_mcu_sc7 43680 5731040 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_726 GF018hv5v_mcu_sc7 43680 5738880 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_727 GF018hv5v_mcu_sc7 43680 5746720 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_728 GF018hv5v_mcu_sc7 43680 5754560 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_729 GF018hv5v_mcu_sc7 43680 5762400 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_730 GF018hv5v_mcu_sc7 43680 5770240 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_731 GF018hv5v_mcu_sc7 43680 5778080 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_732 GF018hv5v_mcu_sc7 43680 5785920 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_733 GF018hv5v_mcu_sc7 43680 5793760 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_734 GF018hv5v_mcu_sc7 43680 5801600 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_735 GF018hv5v_mcu_sc7 43680 5809440 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_736 GF018hv5v_mcu_sc7 43680 5817280 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_737 GF018hv5v_mcu_sc7 43680 5825120 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_738 GF018hv5v_mcu_sc7 43680 5832960 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_739 GF018hv5v_mcu_sc7 43680 5840800 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_740 GF018hv5v_mcu_sc7 43680 5848640 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_741 GF018hv5v_mcu_sc7 43680 5856480 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_742 GF018hv5v_mcu_sc7 43680 5864320 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_743 GF018hv5v_mcu_sc7 43680 5872160 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_744 GF018hv5v_mcu_sc7 43680 5880000 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_745 GF018hv5v_mcu_sc7 43680 5887840 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_746 GF018hv5v_mcu_sc7 43680 5895680 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_747 GF018hv5v_mcu_sc7 43680 5903520 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_748 GF018hv5v_mcu_sc7 43680 5911360 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_749 GF018hv5v_mcu_sc7 43680 5919200 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_750 GF018hv5v_mcu_sc7 43680 5927040 N DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_751 GF018hv5v_mcu_sc7 43680 5934880 FS DO 5279 BY 1 STEP 1120 0 ;
+ROW ROW_752 GF018hv5v_mcu_sc7 43680 5942720 N DO 5279 BY 1 STEP 1120 0 ;
+TRACKS X 560 DO 5357 STEP 1120 LAYER Metal1 ;
+TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal1 ;
+TRACKS X 560 DO 5357 STEP 1120 LAYER Metal2 ;
+TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal2 ;
+TRACKS X 560 DO 5357 STEP 1120 LAYER Metal3 ;
+TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal3 ;
+TRACKS X 560 DO 5357 STEP 1120 LAYER Metal4 ;
+TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal4 ;
+TRACKS X 560 DO 5357 STEP 1120 LAYER Metal5 ;
+TRACKS Y 560 DO 5357 STEP 1120 LAYER Metal5 ;
+GCELLGRID X 0 DO 357 STEP 16800 ;
+GCELLGRID Y 0 DO 357 STEP 16800 ;
+VIAS 1 ;
+    - via4_5_6200_6200_6_6_1040_1040 + VIARULE Via4_GEN_HH + CUTSIZE 520 520  + LAYERS Metal4 Via4 Metal5  + CUTSPACING 520 520  + ENCLOSURE 240 120 120 240  + ROWCOL 6 6  ;
+END VIAS
+PINS 418 ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 67760 ) N ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4066160 ) N ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4466000 ) N ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4865840 ) N ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5265680 ) N ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5665520 ) N ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5882800 6002400 ) N ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5217520 6002400 ) N ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4552240 6002400 ) N ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3886960 6002400 ) N ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3221680 6002400 ) N ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 467600 ) N ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2556400 6002400 ) N ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1891120 6002400 ) N ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1225840 6002400 ) N ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 560560 6002400 ) N ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5915280 ) N ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5488560 ) N ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5061840 ) N ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4635120 ) N ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4208400 ) N ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3781680 ) N ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 867440 ) N ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3354960 ) N ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2928240 ) N ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2501520 ) N ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2074800 ) N ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1648080 ) N ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1221360 ) N ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 794640 ) N ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 367920 ) N ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1267280 ) N ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1667120 ) N ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2066960 ) N ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2466800 ) N ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2866640 ) N ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3266480 ) N ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3666320 ) N ;
+    - io_oeb[0] + NET net205 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 334320 ) N ;
+    - io_oeb[10] + NET net77 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4332720 ) N ;
+    - io_oeb[11] + NET net78 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4732560 ) N ;
+    - io_oeb[12] + NET net79 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5132400 ) N ;
+    - io_oeb[13] + NET net80 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5532240 ) N ;
+    - io_oeb[14] + NET net81 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5932080 ) N ;
+    - io_oeb[15] + NET net82 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5439280 6002400 ) N ;
+    - io_oeb[16] + NET net83 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4774000 6002400 ) N ;
+    - io_oeb[17] + NET net84 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4108720 6002400 ) N ;
+    - io_oeb[18] + NET net85 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3443440 6002400 ) N ;
+    - io_oeb[19] + NET net86 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2778160 6002400 ) N ;
+    - io_oeb[1] + NET net206 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 734160 ) N ;
+    - io_oeb[20] + NET net87 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2112880 6002400 ) N ;
+    - io_oeb[21] + NET net88 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1447600 6002400 ) N ;
+    - io_oeb[22] + NET net89 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 782320 6002400 ) N ;
+    - io_oeb[23] + NET net90 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 117040 6002400 ) N ;
+    - io_oeb[24] + NET net91 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5630800 ) N ;
+    - io_oeb[25] + NET net92 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5204080 ) N ;
+    - io_oeb[26] + NET net93 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4777360 ) N ;
+    - io_oeb[27] + NET net94 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4350640 ) N ;
+    - io_oeb[28] + NET net95 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3923920 ) N ;
+    - io_oeb[29] + NET net96 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3497200 ) N ;
+    - io_oeb[2] + NET net207 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1134000 ) N ;
+    - io_oeb[30] + NET net97 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3070480 ) N ;
+    - io_oeb[31] + NET net98 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2643760 ) N ;
+    - io_oeb[32] + NET net99 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2217040 ) N ;
+    - io_oeb[33] + NET net100 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1790320 ) N ;
+    - io_oeb[34] + NET net101 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1363600 ) N ;
+    - io_oeb[35] + NET net102 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 936880 ) N ;
+    - io_oeb[36] + NET net103 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 510160 ) N ;
+    - io_oeb[37] + NET net104 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 83440 ) N ;
+    - io_oeb[3] + NET net208 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1533840 ) N ;
+    - io_oeb[4] + NET net209 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1933680 ) N ;
+    - io_oeb[5] + NET net210 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2333520 ) N ;
+    - io_oeb[6] + NET net211 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2733360 ) N ;
+    - io_oeb[7] + NET net212 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3133200 ) N ;
+    - io_oeb[8] + NET net213 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3533040 ) N ;
+    - io_oeb[9] + NET net214 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3932880 ) N ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 201040 ) N ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4199440 ) N ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4599280 ) N ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 4999120 ) N ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5398960 ) N ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 5798800 ) N ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5661040 6002400 ) N ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4995760 6002400 ) N ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4330480 6002400 ) N ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3665200 6002400 ) N ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2999920 6002400 ) N ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 600880 ) N ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2334640 6002400 ) N ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1669360 6002400 ) N ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1004080 6002400 ) N ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 338800 6002400 ) N ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5773040 ) N ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 5346320 ) N ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4919600 ) N ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4492880 ) N ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 4066160 ) N ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3639440 ) N ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1000720 ) N ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 3212720 ) N ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2786000 ) N ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 2359280 ) N ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1932560 ) N ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1505840 ) N ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 1079120 ) N ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 652400 ) N ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( -2400 225680 ) N ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1400560 ) N ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 1800400 ) N ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2200240 ) N ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2600080 ) N ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 2999920 ) N ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3399760 ) N ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal3 ( -7200 -1120 ) ( 7200 1120 )
+        + PLACED ( 6002400 3799600 ) N ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2152080 -2400 ) N ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2723280 -2400 ) N ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2780400 -2400 ) N ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2837520 -2400 ) N ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2894640 -2400 ) N ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2951760 -2400 ) N ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3008880 -2400 ) N ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3066000 -2400 ) N ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3123120 -2400 ) N ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3180240 -2400 ) N ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3237360 -2400 ) N ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2209200 -2400 ) N ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3294480 -2400 ) N ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3351600 -2400 ) N ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3408720 -2400 ) N ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3465840 -2400 ) N ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3522960 -2400 ) N ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3580080 -2400 ) N ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3637200 -2400 ) N ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3694320 -2400 ) N ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3751440 -2400 ) N ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3808560 -2400 ) N ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2266320 -2400 ) N ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3865680 -2400 ) N ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3922800 -2400 ) N ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3979920 -2400 ) N ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4037040 -2400 ) N ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4094160 -2400 ) N ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4151280 -2400 ) N ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4208400 -2400 ) N ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4265520 -2400 ) N ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4322640 -2400 ) N ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4379760 -2400 ) N ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2323440 -2400 ) N ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4436880 -2400 ) N ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4494000 -2400 ) N ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4551120 -2400 ) N ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4608240 -2400 ) N ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4665360 -2400 ) N ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4722480 -2400 ) N ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4779600 -2400 ) N ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4836720 -2400 ) N ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4893840 -2400 ) N ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4950960 -2400 ) N ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2380560 -2400 ) N ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5008080 -2400 ) N ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5065200 -2400 ) N ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5122320 -2400 ) N ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5179440 -2400 ) N ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5236560 -2400 ) N ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5293680 -2400 ) N ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5350800 -2400 ) N ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5407920 -2400 ) N ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5465040 -2400 ) N ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5522160 -2400 ) N ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2437680 -2400 ) N ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5579280 -2400 ) N ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5636400 -2400 ) N ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5693520 -2400 ) N ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5750640 -2400 ) N ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2494800 -2400 ) N ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2551920 -2400 ) N ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2609040 -2400 ) N ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2666160 -2400 ) N ;
+    - la_data_out[0] + NET net105 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2171120 -2400 ) N ;
+    - la_data_out[10] + NET net115 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2742320 -2400 ) N ;
+    - la_data_out[11] + NET net116 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2799440 -2400 ) N ;
+    - la_data_out[12] + NET net117 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2856560 -2400 ) N ;
+    - la_data_out[13] + NET net118 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2913680 -2400 ) N ;
+    - la_data_out[14] + NET net119 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2970800 -2400 ) N ;
+    - la_data_out[15] + NET net120 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3027920 -2400 ) N ;
+    - la_data_out[16] + NET net121 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3085040 -2400 ) N ;
+    - la_data_out[17] + NET net122 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3142160 -2400 ) N ;
+    - la_data_out[18] + NET net123 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3199280 -2400 ) N ;
+    - la_data_out[19] + NET net124 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3256400 -2400 ) N ;
+    - la_data_out[1] + NET net106 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2228240 -2400 ) N ;
+    - la_data_out[20] + NET net125 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3313520 -2400 ) N ;
+    - la_data_out[21] + NET net126 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3370640 -2400 ) N ;
+    - la_data_out[22] + NET net127 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3427760 -2400 ) N ;
+    - la_data_out[23] + NET net128 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3484880 -2400 ) N ;
+    - la_data_out[24] + NET net129 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3542000 -2400 ) N ;
+    - la_data_out[25] + NET net130 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3599120 -2400 ) N ;
+    - la_data_out[26] + NET net131 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3656240 -2400 ) N ;
+    - la_data_out[27] + NET net132 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3713360 -2400 ) N ;
+    - la_data_out[28] + NET net133 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3770480 -2400 ) N ;
+    - la_data_out[29] + NET net134 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3827600 -2400 ) N ;
+    - la_data_out[2] + NET net107 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2285360 -2400 ) N ;
+    - la_data_out[30] + NET net135 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3884720 -2400 ) N ;
+    - la_data_out[31] + NET net136 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3941840 -2400 ) N ;
+    - la_data_out[32] + NET net137 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3998960 -2400 ) N ;
+    - la_data_out[33] + NET net138 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4056080 -2400 ) N ;
+    - la_data_out[34] + NET net139 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4113200 -2400 ) N ;
+    - la_data_out[35] + NET net140 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4170320 -2400 ) N ;
+    - la_data_out[36] + NET net141 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4227440 -2400 ) N ;
+    - la_data_out[37] + NET net142 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4284560 -2400 ) N ;
+    - la_data_out[38] + NET net143 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4341680 -2400 ) N ;
+    - la_data_out[39] + NET net144 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4398800 -2400 ) N ;
+    - la_data_out[3] + NET net108 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2342480 -2400 ) N ;
+    - la_data_out[40] + NET net145 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4455920 -2400 ) N ;
+    - la_data_out[41] + NET net146 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4513040 -2400 ) N ;
+    - la_data_out[42] + NET net147 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4570160 -2400 ) N ;
+    - la_data_out[43] + NET net148 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4627280 -2400 ) N ;
+    - la_data_out[44] + NET net149 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4684400 -2400 ) N ;
+    - la_data_out[45] + NET net150 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4741520 -2400 ) N ;
+    - la_data_out[46] + NET net151 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4798640 -2400 ) N ;
+    - la_data_out[47] + NET net152 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4855760 -2400 ) N ;
+    - la_data_out[48] + NET net153 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4912880 -2400 ) N ;
+    - la_data_out[49] + NET net154 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4970000 -2400 ) N ;
+    - la_data_out[4] + NET net109 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2399600 -2400 ) N ;
+    - la_data_out[50] + NET net155 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5027120 -2400 ) N ;
+    - la_data_out[51] + NET net156 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5084240 -2400 ) N ;
+    - la_data_out[52] + NET net157 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5141360 -2400 ) N ;
+    - la_data_out[53] + NET net158 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5198480 -2400 ) N ;
+    - la_data_out[54] + NET net159 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5255600 -2400 ) N ;
+    - la_data_out[55] + NET net160 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5312720 -2400 ) N ;
+    - la_data_out[56] + NET net161 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5369840 -2400 ) N ;
+    - la_data_out[57] + NET net162 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5426960 -2400 ) N ;
+    - la_data_out[58] + NET net163 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5484080 -2400 ) N ;
+    - la_data_out[59] + NET net164 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5541200 -2400 ) N ;
+    - la_data_out[5] + NET net110 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2456720 -2400 ) N ;
+    - la_data_out[60] + NET net165 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5598320 -2400 ) N ;
+    - la_data_out[61] + NET net166 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5655440 -2400 ) N ;
+    - la_data_out[62] + NET net167 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5712560 -2400 ) N ;
+    - la_data_out[63] + NET net168 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5769680 -2400 ) N ;
+    - la_data_out[6] + NET net111 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2513840 -2400 ) N ;
+    - la_data_out[7] + NET net112 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2570960 -2400 ) N ;
+    - la_data_out[8] + NET net113 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2628080 -2400 ) N ;
+    - la_data_out[9] + NET net114 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2685200 -2400 ) N ;
+    - la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2190160 -2400 ) N ;
+    - la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2761360 -2400 ) N ;
+    - la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2818480 -2400 ) N ;
+    - la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2875600 -2400 ) N ;
+    - la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2932720 -2400 ) N ;
+    - la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2989840 -2400 ) N ;
+    - la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3046960 -2400 ) N ;
+    - la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3104080 -2400 ) N ;
+    - la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3161200 -2400 ) N ;
+    - la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3218320 -2400 ) N ;
+    - la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3275440 -2400 ) N ;
+    - la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2247280 -2400 ) N ;
+    - la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3332560 -2400 ) N ;
+    - la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3389680 -2400 ) N ;
+    - la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3446800 -2400 ) N ;
+    - la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3503920 -2400 ) N ;
+    - la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3561040 -2400 ) N ;
+    - la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3618160 -2400 ) N ;
+    - la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3675280 -2400 ) N ;
+    - la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3732400 -2400 ) N ;
+    - la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3789520 -2400 ) N ;
+    - la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3846640 -2400 ) N ;
+    - la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2304400 -2400 ) N ;
+    - la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3903760 -2400 ) N ;
+    - la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 3960880 -2400 ) N ;
+    - la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4018000 -2400 ) N ;
+    - la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4075120 -2400 ) N ;
+    - la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4132240 -2400 ) N ;
+    - la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4189360 -2400 ) N ;
+    - la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4246480 -2400 ) N ;
+    - la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4303600 -2400 ) N ;
+    - la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4360720 -2400 ) N ;
+    - la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4417840 -2400 ) N ;
+    - la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2361520 -2400 ) N ;
+    - la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4474960 -2400 ) N ;
+    - la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4532080 -2400 ) N ;
+    - la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4589200 -2400 ) N ;
+    - la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4646320 -2400 ) N ;
+    - la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4703440 -2400 ) N ;
+    - la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4760560 -2400 ) N ;
+    - la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4817680 -2400 ) N ;
+    - la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4874800 -2400 ) N ;
+    - la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4931920 -2400 ) N ;
+    - la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 4989040 -2400 ) N ;
+    - la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2418640 -2400 ) N ;
+    - la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5046160 -2400 ) N ;
+    - la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5103280 -2400 ) N ;
+    - la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5160400 -2400 ) N ;
+    - la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5217520 -2400 ) N ;
+    - la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5274640 -2400 ) N ;
+    - la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5331760 -2400 ) N ;
+    - la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5388880 -2400 ) N ;
+    - la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5446000 -2400 ) N ;
+    - la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5503120 -2400 ) N ;
+    - la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5560240 -2400 ) N ;
+    - la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2475760 -2400 ) N ;
+    - la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5617360 -2400 ) N ;
+    - la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5674480 -2400 ) N ;
+    - la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5731600 -2400 ) N ;
+    - la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5788720 -2400 ) N ;
+    - la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2532880 -2400 ) N ;
+    - la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2590000 -2400 ) N ;
+    - la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2647120 -2400 ) N ;
+    - la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2704240 -2400 ) N ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5807760 -2400 ) N ;
+    - user_irq[0] + NET net169 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5826800 -2400 ) N ;
+    - user_irq[1] + NET net170 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5845840 -2400 ) N ;
+    - user_irq[2] + NET net171 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 5864880 -2400 ) N ;
+    - vdd + NET vdd + SPECIAL + DIRECTION INOUT + USE POWER
+      + PORT
+        + LAYER Metal5 ( -3000040 -3100 ) ( 3000040 3100 )
+        + LAYER Metal5 ( -3000040 -183100 ) ( 3000040 -176900 )
+        + LAYER Metal5 ( -3000040 -363100 ) ( 3000040 -356900 )
+        + LAYER Metal5 ( -3000040 -543100 ) ( 3000040 -536900 )
+        + LAYER Metal5 ( -3000040 -723100 ) ( 3000040 -716900 )
+        + LAYER Metal5 ( -3000040 -903100 ) ( 3000040 -896900 )
+        + LAYER Metal5 ( -3000040 -1083100 ) ( 3000040 -1076900 )
+        + LAYER Metal5 ( -3000040 -1263100 ) ( 3000040 -1256900 )
+        + LAYER Metal5 ( -3000040 -1443100 ) ( 3000040 -1436900 )
+        + LAYER Metal5 ( -3000040 -1623100 ) ( 3000040 -1616900 )
+        + LAYER Metal5 ( -3000040 -1803100 ) ( 3000040 -1796900 )
+        + LAYER Metal5 ( -3000040 -1983100 ) ( 3000040 -1976900 )
+        + LAYER Metal5 ( -3000040 -2163100 ) ( 3000040 -2156900 )
+        + LAYER Metal5 ( -3000040 -2343100 ) ( 3000040 -2336900 )
+        + LAYER Metal5 ( -3000040 -2523100 ) ( 3000040 -2516900 )
+        + LAYER Metal5 ( -3000040 -2703100 ) ( 3000040 -2696900 )
+        + LAYER Metal5 ( -3000040 -2883100 ) ( 3000040 -2876900 )
+        + LAYER Metal5 ( -3000040 -3063100 ) ( 3000040 -3056900 )
+        + LAYER Metal5 ( -3000040 -3243100 ) ( 3000040 -3236900 )
+        + LAYER Metal5 ( -3000040 -3423100 ) ( 3000040 -3416900 )
+        + LAYER Metal5 ( -3000040 -3603100 ) ( 3000040 -3596900 )
+        + LAYER Metal5 ( -3000040 -3783100 ) ( 3000040 -3776900 )
+        + LAYER Metal5 ( -3000040 -3963100 ) ( 3000040 -3956900 )
+        + LAYER Metal5 ( -3000040 -4143100 ) ( 3000040 -4136900 )
+        + LAYER Metal5 ( -3000040 -4323100 ) ( 3000040 -4316900 )
+        + LAYER Metal5 ( -3000040 -4503100 ) ( 3000040 -4496900 )
+        + LAYER Metal5 ( -3000040 -4683100 ) ( 3000040 -4676900 )
+        + LAYER Metal5 ( -3000040 -4863100 ) ( 3000040 -4856900 )
+        + LAYER Metal5 ( -3000040 -5043100 ) ( 3000040 -5036900 )
+        + LAYER Metal5 ( -3000040 -5223100 ) ( 3000040 -5216900 )
+        + LAYER Metal5 ( -3000040 -5403100 ) ( 3000040 -5396900 )
+        + LAYER Metal5 ( -3000040 -5583100 ) ( 3000040 -5576900 )
+        + LAYER Metal5 ( -3000040 -5763100 ) ( 3000040 -5756900 )
+        + LAYER Metal4 ( 2810660 -5813800 ) ( 2816860 177320 )
+        + LAYER Metal4 ( 2630660 -5813800 ) ( 2636860 177320 )
+        + LAYER Metal4 ( 2450660 -5813800 ) ( 2456860 177320 )
+        + LAYER Metal4 ( 2270660 -5813800 ) ( 2276860 177320 )
+        + LAYER Metal4 ( 2090660 -5813800 ) ( 2096860 177320 )
+        + LAYER Metal4 ( 1910660 -5813800 ) ( 1916860 177320 )
+        + LAYER Metal4 ( 1730660 -5813800 ) ( 1736860 177320 )
+        + LAYER Metal4 ( 1550660 -5813800 ) ( 1556860 177320 )
+        + LAYER Metal4 ( 1370660 -5813800 ) ( 1376860 177320 )
+        + LAYER Metal4 ( 1190660 -5813800 ) ( 1196860 177320 )
+        + LAYER Metal4 ( 1010660 -5813800 ) ( 1016860 177320 )
+        + LAYER Metal4 ( 830660 -5813800 ) ( 836860 177320 )
+        + LAYER Metal4 ( 650660 -5813800 ) ( 656860 177320 )
+        + LAYER Metal4 ( 470660 -5813800 ) ( 476860 177320 )
+        + LAYER Metal4 ( 290660 -5813800 ) ( 296860 177320 )
+        + LAYER Metal4 ( 110660 -5813800 ) ( 116860 177320 )
+        + LAYER Metal4 ( -69340 -5813800 ) ( -63140 177320 )
+        + LAYER Metal4 ( -249340 -5813800 ) ( -243140 177320 )
+        + LAYER Metal4 ( -429340 -5813800 ) ( -423140 177320 )
+        + LAYER Metal4 ( -609340 -5813800 ) ( -603140 177320 )
+        + LAYER Metal4 ( -789340 -5813800 ) ( -783140 177320 )
+        + LAYER Metal4 ( -969340 -5813800 ) ( -963140 177320 )
+        + LAYER Metal4 ( -1149340 -5813800 ) ( -1143140 177320 )
+        + LAYER Metal4 ( -1329340 -5813800 ) ( -1323140 177320 )
+        + LAYER Metal4 ( -1509340 -5813800 ) ( -1503140 177320 )
+        + LAYER Metal4 ( -1689340 -5813800 ) ( -1683140 177320 )
+        + LAYER Metal4 ( -1869340 -5813800 ) ( -1863140 177320 )
+        + LAYER Metal4 ( -2049340 -5813800 ) ( -2043140 177320 )
+        + LAYER Metal4 ( -2229340 -5813800 ) ( -2223140 177320 )
+        + LAYER Metal4 ( -2409340 -5813800 ) ( -2403140 177320 )
+        + LAYER Metal4 ( -2589340 -5813800 ) ( -2583140 177320 )
+        + LAYER Metal4 ( -2769340 -5813800 ) ( -2763140 177320 )
+        + LAYER Metal4 ( -2949340 -5813800 ) ( -2943140 177320 )
+        + LAYER Metal4 ( 2984240 -5804200 ) ( 2990440 167720 )
+        + LAYER Metal5 ( -2990440 161520 ) ( 2990440 167720 )
+        + LAYER Metal5 ( -2990440 -5804200 ) ( 2990440 -5798000 )
+        + LAYER Metal4 ( -2990440 -5804200 ) ( -2984240 167720 )
+        + FIXED ( 2999920 5817040 ) N ;
+    - vss + NET vss + SPECIAL + DIRECTION INOUT + USE GROUND
+      + PORT
+        + LAYER Metal5 ( -3000040 -3100 ) ( 3000040 3100 )
+        + LAYER Metal5 ( -3000040 -183100 ) ( 3000040 -176900 )
+        + LAYER Metal5 ( -3000040 -363100 ) ( 3000040 -356900 )
+        + LAYER Metal5 ( -3000040 -543100 ) ( 3000040 -536900 )
+        + LAYER Metal5 ( -3000040 -723100 ) ( 3000040 -716900 )
+        + LAYER Metal5 ( -3000040 -903100 ) ( 3000040 -896900 )
+        + LAYER Metal5 ( -3000040 -1083100 ) ( 3000040 -1076900 )
+        + LAYER Metal5 ( -3000040 -1263100 ) ( 3000040 -1256900 )
+        + LAYER Metal5 ( -3000040 -1443100 ) ( 3000040 -1436900 )
+        + LAYER Metal5 ( -3000040 -1623100 ) ( 3000040 -1616900 )
+        + LAYER Metal5 ( -3000040 -1803100 ) ( 3000040 -1796900 )
+        + LAYER Metal5 ( -3000040 -1983100 ) ( 3000040 -1976900 )
+        + LAYER Metal5 ( -3000040 -2163100 ) ( 3000040 -2156900 )
+        + LAYER Metal5 ( -3000040 -2343100 ) ( 3000040 -2336900 )
+        + LAYER Metal5 ( -3000040 -2523100 ) ( 3000040 -2516900 )
+        + LAYER Metal5 ( -3000040 -2703100 ) ( 3000040 -2696900 )
+        + LAYER Metal5 ( -3000040 -2883100 ) ( 3000040 -2876900 )
+        + LAYER Metal5 ( -3000040 -3063100 ) ( 3000040 -3056900 )
+        + LAYER Metal5 ( -3000040 -3243100 ) ( 3000040 -3236900 )
+        + LAYER Metal5 ( -3000040 -3423100 ) ( 3000040 -3416900 )
+        + LAYER Metal5 ( -3000040 -3603100 ) ( 3000040 -3596900 )
+        + LAYER Metal5 ( -3000040 -3783100 ) ( 3000040 -3776900 )
+        + LAYER Metal5 ( -3000040 -3963100 ) ( 3000040 -3956900 )
+        + LAYER Metal5 ( -3000040 -4143100 ) ( 3000040 -4136900 )
+        + LAYER Metal5 ( -3000040 -4323100 ) ( 3000040 -4316900 )
+        + LAYER Metal5 ( -3000040 -4503100 ) ( 3000040 -4496900 )
+        + LAYER Metal5 ( -3000040 -4683100 ) ( 3000040 -4676900 )
+        + LAYER Metal5 ( -3000040 -4863100 ) ( 3000040 -4856900 )
+        + LAYER Metal5 ( -3000040 -5043100 ) ( 3000040 -5036900 )
+        + LAYER Metal5 ( -3000040 -5223100 ) ( 3000040 -5216900 )
+        + LAYER Metal5 ( -3000040 -5403100 ) ( 3000040 -5396900 )
+        + LAYER Metal5 ( -3000040 -5583100 ) ( 3000040 -5576900 )
+        + LAYER Metal5 ( -3000040 -5763100 ) ( 3000040 -5756900 )
+        + LAYER Metal4 ( 2847860 -5873800 ) ( 2854060 117320 )
+        + LAYER Metal4 ( 2667860 -5873800 ) ( 2674060 117320 )
+        + LAYER Metal4 ( 2487860 -5873800 ) ( 2494060 117320 )
+        + LAYER Metal4 ( 2307860 -5873800 ) ( 2314060 117320 )
+        + LAYER Metal4 ( 2127860 -5873800 ) ( 2134060 117320 )
+        + LAYER Metal4 ( 1947860 -5873800 ) ( 1954060 117320 )
+        + LAYER Metal4 ( 1767860 -5873800 ) ( 1774060 117320 )
+        + LAYER Metal4 ( 1587860 -5873800 ) ( 1594060 117320 )
+        + LAYER Metal4 ( 1407860 -5873800 ) ( 1414060 117320 )
+        + LAYER Metal4 ( 1227860 -5873800 ) ( 1234060 117320 )
+        + LAYER Metal4 ( 1047860 -5873800 ) ( 1054060 117320 )
+        + LAYER Metal4 ( 867860 -5873800 ) ( 874060 117320 )
+        + LAYER Metal4 ( 687860 -5873800 ) ( 694060 117320 )
+        + LAYER Metal4 ( 507860 -5873800 ) ( 514060 117320 )
+        + LAYER Metal4 ( 327860 -5873800 ) ( 334060 117320 )
+        + LAYER Metal4 ( 147860 -5873800 ) ( 154060 117320 )
+        + LAYER Metal4 ( -32140 -5873800 ) ( -25940 117320 )
+        + LAYER Metal4 ( -212140 -5873800 ) ( -205940 117320 )
+        + LAYER Metal4 ( -392140 -5873800 ) ( -385940 117320 )
+        + LAYER Metal4 ( -572140 -5873800 ) ( -565940 117320 )
+        + LAYER Metal4 ( -752140 -5873800 ) ( -745940 117320 )
+        + LAYER Metal4 ( -932140 -5873800 ) ( -925940 117320 )
+        + LAYER Metal4 ( -1112140 -5873800 ) ( -1105940 117320 )
+        + LAYER Metal4 ( -1292140 -5873800 ) ( -1285940 117320 )
+        + LAYER Metal4 ( -1472140 -5873800 ) ( -1465940 117320 )
+        + LAYER Metal4 ( -1652140 -5873800 ) ( -1645940 117320 )
+        + LAYER Metal4 ( -1832140 -5873800 ) ( -1825940 117320 )
+        + LAYER Metal4 ( -2012140 -5873800 ) ( -2005940 117320 )
+        + LAYER Metal4 ( -2192140 -5873800 ) ( -2185940 117320 )
+        + LAYER Metal4 ( -2372140 -5873800 ) ( -2365940 117320 )
+        + LAYER Metal4 ( -2552140 -5873800 ) ( -2545940 117320 )
+        + LAYER Metal4 ( -2732140 -5873800 ) ( -2725940 117320 )
+        + LAYER Metal4 ( -2912140 -5873800 ) ( -2905940 117320 )
+        + LAYER Metal4 ( 2993840 -5873800 ) ( 3000040 117320 )
+        + LAYER Metal5 ( -3000040 111120 ) ( 3000040 117320 )
+        + LAYER Metal5 ( -3000040 -5873800 ) ( 3000040 -5867600 )
+        + LAYER Metal4 ( -3000040 -5873800 ) ( -2993840 117320 )
+        + FIXED ( 2999920 5877040 ) N ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 133840 -2400 ) N ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 152880 -2400 ) N ;
+    - wbs_ack_o + NET net172 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 171920 -2400 ) N ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 248080 -2400 ) N ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 895440 -2400 ) N ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 952560 -2400 ) N ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1009680 -2400 ) N ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1066800 -2400 ) N ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1123920 -2400 ) N ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1181040 -2400 ) N ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1238160 -2400 ) N ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1295280 -2400 ) N ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1352400 -2400 ) N ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1409520 -2400 ) N ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 324240 -2400 ) N ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1466640 -2400 ) N ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1523760 -2400 ) N ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1580880 -2400 ) N ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1638000 -2400 ) N ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1695120 -2400 ) N ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1752240 -2400 ) N ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1809360 -2400 ) N ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1866480 -2400 ) N ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1923600 -2400 ) N ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1980720 -2400 ) N ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 400400 -2400 ) N ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2037840 -2400 ) N ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2094960 -2400 ) N ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 476560 -2400 ) N ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 552720 -2400 ) N ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 609840 -2400 ) N ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 666960 -2400 ) N ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 724080 -2400 ) N ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 781200 -2400 ) N ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 838320 -2400 ) N ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 190960 -2400 ) N ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 267120 -2400 ) N ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 914480 -2400 ) N ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 971600 -2400 ) N ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1028720 -2400 ) N ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1085840 -2400 ) N ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1142960 -2400 ) N ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1200080 -2400 ) N ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1257200 -2400 ) N ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1314320 -2400 ) N ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1371440 -2400 ) N ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1428560 -2400 ) N ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 343280 -2400 ) N ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1485680 -2400 ) N ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1542800 -2400 ) N ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1599920 -2400 ) N ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1657040 -2400 ) N ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1714160 -2400 ) N ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1771280 -2400 ) N ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1828400 -2400 ) N ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1885520 -2400 ) N ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1942640 -2400 ) N ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1999760 -2400 ) N ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 419440 -2400 ) N ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2056880 -2400 ) N ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2114000 -2400 ) N ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 495600 -2400 ) N ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 571760 -2400 ) N ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 628880 -2400 ) N ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 686000 -2400 ) N ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 743120 -2400 ) N ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 800240 -2400 ) N ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 857360 -2400 ) N ;
+    - wbs_dat_o[0] + NET net173 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 286160 -2400 ) N ;
+    - wbs_dat_o[10] + NET net183 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 933520 -2400 ) N ;
+    - wbs_dat_o[11] + NET net184 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 990640 -2400 ) N ;
+    - wbs_dat_o[12] + NET net185 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1047760 -2400 ) N ;
+    - wbs_dat_o[13] + NET net186 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1104880 -2400 ) N ;
+    - wbs_dat_o[14] + NET net187 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1162000 -2400 ) N ;
+    - wbs_dat_o[15] + NET net188 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1219120 -2400 ) N ;
+    - wbs_dat_o[16] + NET net189 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1276240 -2400 ) N ;
+    - wbs_dat_o[17] + NET net190 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1333360 -2400 ) N ;
+    - wbs_dat_o[18] + NET net191 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1390480 -2400 ) N ;
+    - wbs_dat_o[19] + NET net192 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1447600 -2400 ) N ;
+    - wbs_dat_o[1] + NET net174 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 362320 -2400 ) N ;
+    - wbs_dat_o[20] + NET net193 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1504720 -2400 ) N ;
+    - wbs_dat_o[21] + NET net194 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1561840 -2400 ) N ;
+    - wbs_dat_o[22] + NET net195 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1618960 -2400 ) N ;
+    - wbs_dat_o[23] + NET net196 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1676080 -2400 ) N ;
+    - wbs_dat_o[24] + NET net197 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1733200 -2400 ) N ;
+    - wbs_dat_o[25] + NET net198 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1790320 -2400 ) N ;
+    - wbs_dat_o[26] + NET net199 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1847440 -2400 ) N ;
+    - wbs_dat_o[27] + NET net200 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1904560 -2400 ) N ;
+    - wbs_dat_o[28] + NET net201 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 1961680 -2400 ) N ;
+    - wbs_dat_o[29] + NET net202 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2018800 -2400 ) N ;
+    - wbs_dat_o[2] + NET net175 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 438480 -2400 ) N ;
+    - wbs_dat_o[30] + NET net203 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2075920 -2400 ) N ;
+    - wbs_dat_o[31] + NET net204 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 2133040 -2400 ) N ;
+    - wbs_dat_o[3] + NET net176 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 514640 -2400 ) N ;
+    - wbs_dat_o[4] + NET net177 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 590800 -2400 ) N ;
+    - wbs_dat_o[5] + NET net178 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 647920 -2400 ) N ;
+    - wbs_dat_o[6] + NET net179 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 705040 -2400 ) N ;
+    - wbs_dat_o[7] + NET net180 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 762160 -2400 ) N ;
+    - wbs_dat_o[8] + NET net181 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 819280 -2400 ) N ;
+    - wbs_dat_o[9] + NET net182 + DIRECTION OUTPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 876400 -2400 ) N ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 305200 -2400 ) N ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 381360 -2400 ) N ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 457520 -2400 ) N ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 533680 -2400 ) N ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 210000 -2400 ) N ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+      + PORT
+        + LAYER Metal2 ( -1120 -7200 ) ( 1120 7200 )
+        + PLACED ( 229040 -2400 ) N ;
+END PINS
+SPECIALNETS 2 ;
+    - vdd ( PIN vdd ) ( * VDD ) + USE POWER
+      + ROUTED Metal5 6200 + SHAPE STRIPE ( -120 5817040 ) ( 5999960 5817040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5637040 ) ( 5999960 5637040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5457040 ) ( 5999960 5457040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5277040 ) ( 5999960 5277040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5097040 ) ( 5999960 5097040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4917040 ) ( 5999960 4917040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4737040 ) ( 5999960 4737040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4557040 ) ( 5999960 4557040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4377040 ) ( 5999960 4377040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4197040 ) ( 5999960 4197040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4017040 ) ( 5999960 4017040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3837040 ) ( 5999960 3837040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3657040 ) ( 5999960 3657040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3477040 ) ( 5999960 3477040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3297040 ) ( 5999960 3297040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3117040 ) ( 5999960 3117040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2937040 ) ( 5999960 2937040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2757040 ) ( 5999960 2757040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2577040 ) ( 5999960 2577040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2397040 ) ( 5999960 2397040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2217040 ) ( 5999960 2217040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2037040 ) ( 5999960 2037040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1857040 ) ( 5999960 1857040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1677040 ) ( 5999960 1677040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1497040 ) ( 5999960 1497040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1317040 ) ( 5999960 1317040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1137040 ) ( 5999960 1137040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 957040 ) ( 5999960 957040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 777040 ) ( 5999960 777040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 597040 ) ( 5999960 597040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 417040 ) ( 5999960 417040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 237040 ) ( 5999960 237040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 57040 ) ( 5999960 57040 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5813680 3240 ) ( 5813680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5633680 3240 ) ( 5633680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5453680 3240 ) ( 5453680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5273680 3240 ) ( 5273680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5093680 3240 ) ( 5093680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4913680 3240 ) ( 4913680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4733680 3240 ) ( 4733680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4553680 3240 ) ( 4553680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4373680 3240 ) ( 4373680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4193680 3240 ) ( 4193680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4013680 3240 ) ( 4013680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3833680 3240 ) ( 3833680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3653680 3240 ) ( 3653680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3473680 3240 ) ( 3473680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3293680 3240 ) ( 3293680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3113680 3240 ) ( 3113680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2933680 3240 ) ( 2933680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2753680 3240 ) ( 2753680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2573680 3240 ) ( 2573680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2393680 3240 ) ( 2393680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2213680 3240 ) ( 2213680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2033680 3240 ) ( 2033680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1853680 3240 ) ( 1853680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1673680 3240 ) ( 1673680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1493680 3240 ) ( 1493680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1313680 3240 ) ( 1313680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1133680 3240 ) ( 1133680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 953680 3240 ) ( 953680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 773680 3240 ) ( 773680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 593680 3240 ) ( 593680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 413680 3240 ) ( 413680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 233680 3240 ) ( 233680 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 53680 3240 ) ( 53680 5994360 )
+      NEW Metal4 6200 + SHAPE RING ( 5987260 12840 ) ( 5987260 5984760 )
+      NEW Metal5 6200 + SHAPE RING ( 9480 5981660 ) ( 5990360 5981660 )
+      NEW Metal5 6200 + SHAPE RING ( 9480 15940 ) ( 5990360 15940 )
+      NEW Metal4 6200 + SHAPE RING ( 12580 12840 ) ( 12580 5984760 )
+      NEW Metal4 0 + SHAPE RING ( 5987260 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5987260 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 5987260 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5813680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5633680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5453680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5273680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5093680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4913680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4733680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4553680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4373680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4193680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4013680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3833680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3653680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3473680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3293680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3113680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2933680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2753680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2573680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2393680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2213680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2033680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1853680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1673680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1493680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1313680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1133680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 953680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 773680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 593680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 413680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 233680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 53680 15940 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 12580 5981660 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 5817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 5637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 5457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 5277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 5097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 4017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 3837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 3657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 3477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 3297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 3117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2937040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2757040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2577040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2397040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2217040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 2037040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 1857040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 1677040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 1497040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 1317040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 1137040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 957040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 777040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 597040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 417040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 237040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 12580 57040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 12580 15940 ) via4_5_6200_6200_6_6_1040_1040 ;
+    - vss ( PIN vss ) ( * VSS ) + USE GROUND
+      + ROUTED Metal5 6200 + SHAPE STRIPE ( -120 5877040 ) ( 5999960 5877040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5697040 ) ( 5999960 5697040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5517040 ) ( 5999960 5517040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5337040 ) ( 5999960 5337040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 5157040 ) ( 5999960 5157040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4977040 ) ( 5999960 4977040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4797040 ) ( 5999960 4797040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4617040 ) ( 5999960 4617040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4437040 ) ( 5999960 4437040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4257040 ) ( 5999960 4257040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 4077040 ) ( 5999960 4077040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3897040 ) ( 5999960 3897040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3717040 ) ( 5999960 3717040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3537040 ) ( 5999960 3537040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3357040 ) ( 5999960 3357040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 3177040 ) ( 5999960 3177040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2997040 ) ( 5999960 2997040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2817040 ) ( 5999960 2817040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2637040 ) ( 5999960 2637040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2457040 ) ( 5999960 2457040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2277040 ) ( 5999960 2277040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 2097040 ) ( 5999960 2097040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1917040 ) ( 5999960 1917040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1737040 ) ( 5999960 1737040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1557040 ) ( 5999960 1557040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1377040 ) ( 5999960 1377040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1197040 ) ( 5999960 1197040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 1017040 ) ( 5999960 1017040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 837040 ) ( 5999960 837040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 657040 ) ( 5999960 657040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 477040 ) ( 5999960 477040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 297040 ) ( 5999960 297040 )
+      NEW Metal5 6200 + SHAPE STRIPE ( -120 117040 ) ( 5999960 117040 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5850880 3240 ) ( 5850880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5670880 3240 ) ( 5670880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5490880 3240 ) ( 5490880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5310880 3240 ) ( 5310880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 5130880 3240 ) ( 5130880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4950880 3240 ) ( 4950880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4770880 3240 ) ( 4770880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4590880 3240 ) ( 4590880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4410880 3240 ) ( 4410880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4230880 3240 ) ( 4230880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 4050880 3240 ) ( 4050880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3870880 3240 ) ( 3870880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3690880 3240 ) ( 3690880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3510880 3240 ) ( 3510880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3330880 3240 ) ( 3330880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 3150880 3240 ) ( 3150880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2970880 3240 ) ( 2970880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2790880 3240 ) ( 2790880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2610880 3240 ) ( 2610880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2430880 3240 ) ( 2430880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2250880 3240 ) ( 2250880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 2070880 3240 ) ( 2070880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1890880 3240 ) ( 1890880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1710880 3240 ) ( 1710880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1530880 3240 ) ( 1530880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1350880 3240 ) ( 1350880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 1170880 3240 ) ( 1170880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 990880 3240 ) ( 990880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 810880 3240 ) ( 810880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 630880 3240 ) ( 630880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 450880 3240 ) ( 450880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 270880 3240 ) ( 270880 5994360 )
+      NEW Metal4 6200 + SHAPE STRIPE ( 90880 3240 ) ( 90880 5994360 )
+      NEW Metal4 6200 + SHAPE RING ( 5996860 3240 ) ( 5996860 5994360 )
+      NEW Metal5 6200 + SHAPE RING ( -120 5991260 ) ( 5999960 5991260 )
+      NEW Metal5 6200 + SHAPE RING ( -120 6340 ) ( 5999960 6340 )
+      NEW Metal4 6200 + SHAPE RING ( 2980 3240 ) ( 2980 5994360 )
+      NEW Metal4 0 + SHAPE RING ( 5996860 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5996860 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 5996860 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5850880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5670880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5490880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5310880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 5130880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4950880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4770880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4590880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4410880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4230880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 4050880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3870880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3690880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3510880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3330880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 3150880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2970880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2790880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2610880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2430880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2250880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2070880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1890880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1710880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1530880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1350880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 1170880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 990880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 810880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 630880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 450880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 270880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 90880 6340 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 2980 5991260 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 5877040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 5697040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 5517040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 5337040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 5157040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4977040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4797040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4617040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4437040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4257040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 4077040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 3897040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 3717040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 3537040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 3357040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 3177040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2997040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2817040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2637040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2457040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2277040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 2097040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1917040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1737040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1557040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1377040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1197040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 1017040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 837040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 657040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 477040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 297040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE STRIPE ( 2980 117040 ) via4_5_6200_6200_6_6_1040_1040
+      NEW Metal4 0 + SHAPE RING ( 2980 6340 ) via4_5_6200_6200_6_6_1040_1040 ;
+END SPECIALNETS
+END DESIGN
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
new file mode 100644
index 0000000..045fad8
--- /dev/null
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -0,0 +1 @@
+plant_example_1 300 300 N
diff --git a/openlane/user_project_wrapper/pin_order.cfg b/openlane/user_project_wrapper/pin_order.cfg
new file mode 100644
index 0000000..c9632da
--- /dev/null
+++ b/openlane/user_project_wrapper/pin_order.cfg
@@ -0,0 +1,156 @@
+#BUS_SORT
+#NR
+analog_io\[8\]
+io_in\[15\]
+io_out\[15\]
+io_oeb\[15\]
+analog_io\[9\]
+io_in\[16\]
+io_out\[16\]
+io_oeb\[16\]
+analog_io\[10\]
+io_in\[17\]
+io_out\[17\]
+io_oeb\[17\]
+analog_io\[11\]
+io_in\[18\]
+io_out\[18\]
+io_oeb\[18\]
+analog_io\[12\]
+io_in\[19\]
+io_out\[19\]
+io_oeb\[19\]
+analog_io\[13\]
+io_in\[20\]
+io_out\[20\]
+io_oeb\[20\]
+analog_io\[14\]
+io_in\[21\]
+io_out\[21\]
+io_oeb\[21\]
+analog_io\[15\]
+io_in\[22\]
+io_out\[22\]
+io_oeb\[22\]
+analog_io\[16\]
+io_in\[23\]
+io_out\[23\]
+io_oeb\[23\]
+
+#S
+wb_.*
+wbs_.*
+la_.*
+user_clock2
+user_irq.*
+
+#E
+io_in\[0\]
+io_out\[0\]
+io_oeb\[0\]
+io_in\[1\]
+io_out\[1\]
+io_oeb\[1\]
+io_in\[2\]
+io_out\[2\]
+io_oeb\[2\]
+io_in\[3\]
+io_out\[3\]
+io_oeb\[3\]
+io_in\[4\]
+io_out\[4\]
+io_oeb\[4\]
+io_in\[5\]
+io_out\[5\]
+io_oeb\[5\]
+io_in\[6\]
+io_out\[6\]
+io_oeb\[6\]
+analog_io\[0\]
+io_in\[7\]
+io_out\[7\]
+io_oeb\[7\]
+analog_io\[1\]
+io_in\[8\]
+io_out\[8\]
+io_oeb\[8\]
+analog_io\[2\]
+io_in\[9\]
+io_out\[9\]
+io_oeb\[9\]
+analog_io\[3\]
+io_in\[10\]
+io_out\[10\]
+io_oeb\[10\]
+analog_io\[4\]
+io_in\[11\]
+io_out\[11\]
+io_oeb\[11\]
+analog_io\[5\]
+io_in\[12\]
+io_out\[12\]
+io_oeb\[12\]
+analog_io\[6\]
+io_in\[13\]
+io_out\[13\]
+io_oeb\[13\]
+analog_io\[7\]
+io_in\[14\]
+io_out\[14\]
+io_oeb\[14\]
+
+#WR
+analog_io\[17\]
+io_in\[24\]
+io_out\[24\]
+io_oeb\[24\]
+analog_io\[18\]
+io_in\[25\]
+io_out\[25\]
+io_oeb\[25\]
+analog_io\[19\]
+io_in\[26\]
+io_out\[26\]
+io_oeb\[26\]
+analog_io\[20\]
+io_in\[27\]
+io_out\[27\]
+io_oeb\[27\]
+analog_io\[21\]
+io_in\[28\]
+io_out\[28\]
+io_oeb\[28\]
+analog_io\[22\]
+io_in\[29\]
+io_out\[29\]
+io_oeb\[29\]
+analog_io\[23\]
+io_in\[30\]
+io_out\[30\]
+io_oeb\[30\]
+analog_io\[24\]
+io_in\[31\]
+io_out\[31\]
+io_oeb\[31\]
+analog_io\[25\]
+io_in\[32\]
+io_out\[32\]
+io_oeb\[32\]
+analog_io\[26\]
+io_in\[33\]
+io_out\[33\]
+io_oeb\[33\]
+analog_io\[27\]
+io_in\[34\]
+io_out\[34\]
+io_oeb\[34\]
+analog_io\[28\]
+io_in\[35\]
+io_out\[35\]
+io_oeb\[35\]
+io_in\[36\]
+io_out\[36\]
+io_oeb\[36\]
+io_in\[37\]
+io_out\[37\]
+io_oeb\[37\]
\ No newline at end of file