blob: 216ee9f5414dc95996b79a56eef25e0ce46dba50 [file] [log] [blame]
OpenROAD 7c85c140308f01b73f57ea1117f3e43f39abd437
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
======================= Slowest Corner ===================================
Startpoint: io_in[15] (input port clocked by user_clock2)
Endpoint: plant_example_1/io_in[15] (internal pin)
Path Group: (none)
Path Type: max
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
10.00 10.00 ^ input external delay
17.80 10.96 20.96 ^ io_in[15] (in)
1 0.66 io_in[15] (net)
17.85 0.00 20.96 ^ plant_example_1/io_in[15] (plant_example)
20.96 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Typical Corner ===================================
Startpoint: io_in[15] (input port clocked by user_clock2)
Endpoint: plant_example_1/io_in[15] (internal pin)
Path Group: (none)
Path Type: max
Corner: tt
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
10.00 10.00 ^ input external delay
10.38 6.62 16.62 ^ io_in[15] (in)
1 0.66 io_in[15] (net)
10.47 0.00 16.62 ^ plant_example_1/io_in[15] (plant_example)
16.62 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Fastest Corner ===================================
Startpoint: io_in[15] (input port clocked by user_clock2)
Endpoint: plant_example_1/io_in[15] (internal pin)
Path Group: (none)
Path Type: max
Corner: ff
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
10.00 10.00 ^ input external delay
6.92 4.52 14.52 ^ io_in[15] (in)
1 0.66 io_in[15] (net)
7.05 0.00 14.52 ^ plant_example_1/io_in[15] (plant_example)
14.52 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
======================= Slowest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
io_in[15] 7.00 17.80 -10.80 (VIOLATED)
io_in[16] 7.00 17.59 -10.59 (VIOLATED)
io_in[13] 7.00 16.50 -9.50 (VIOLATED)
io_in[12] 7.00 15.21 -8.21 (VIOLATED)
io_in[11] 7.00 14.17 -7.17 (VIOLATED)
io_in[10] 7.00 14.01 -7.01 (VIOLATED)
io_in[14] 7.00 13.60 -6.60 (VIOLATED)
io_in[17] 7.00 12.95 -5.95 (VIOLATED)
io_in[8] 7.00 11.51 -4.51 (VIOLATED)
io_in[2] 7.00 10.84 -3.84 (VIOLATED)
io_in[9] 7.00 9.99 -2.99 (VIOLATED)
io_in[3] 7.00 9.51 -2.51 (VIOLATED)
io_in[7] 7.00 8.80 -1.80 (VIOLATED)
io_in[18] 7.00 8.59 -1.59 (VIOLATED)
io_in[36] 7.00 7.96 -0.96 (VIOLATED)
io_in[0] 7.00 7.93 -0.93 (VIOLATED)
io_in[4] 7.00 7.67 -0.67 (VIOLATED)
io_in[19] 7.00 7.65 -0.65 (VIOLATED)
io_in[6] 7.00 7.56 -0.56 (VIOLATED)
io_in[1] 7.00 7.37 -0.37 (VIOLATED)
io_in[24] 7.00 7.09 -0.09 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
io_in[15] 0.24 0.66 -0.42 (VIOLATED)
io_in[16] 0.24 0.65 -0.41 (VIOLATED)
io_in[13] 0.24 0.61 -0.37 (VIOLATED)
io_in[12] 0.24 0.56 -0.32 (VIOLATED)
io_in[11] 0.24 0.52 -0.28 (VIOLATED)
io_in[10] 0.24 0.52 -0.28 (VIOLATED)
io_in[14] 0.24 0.50 -0.26 (VIOLATED)
io_in[17] 0.24 0.48 -0.24 (VIOLATED)
io_in[8] 0.24 0.42 -0.18 (VIOLATED)
io_in[2] 0.24 0.40 -0.16 (VIOLATED)
io_in[9] 0.24 0.37 -0.13 (VIOLATED)
io_in[3] 0.24 0.35 -0.11 (VIOLATED)
io_in[7] 0.24 0.32 -0.08 (VIOLATED)
io_in[18] 0.24 0.32 -0.08 (VIOLATED)
io_in[36] 0.24 0.29 -0.05 (VIOLATED)
io_in[0] 0.24 0.29 -0.05 (VIOLATED)
io_in[4] 0.24 0.28 -0.04 (VIOLATED)
io_in[19] 0.24 0.28 -0.04 (VIOLATED)
io_in[6] 0.24 0.28 -0.04 (VIOLATED)
io_in[1] 0.24 0.27 -0.03 (VIOLATED)
io_in[24] 0.24 0.26 -0.02 (VIOLATED)
io_in[23] 0.24 0.25 -0.01 (VIOLATED)
io_in[25] 0.24 0.25 -0.01 (VIOLATED)
======================= Typical Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
io_in[15] 4.00 10.38 -6.38 (VIOLATED)
io_in[16] 4.00 10.27 -6.27 (VIOLATED)
io_in[13] 4.00 9.64 -5.64 (VIOLATED)
io_in[12] 4.00 8.90 -4.90 (VIOLATED)
io_in[11] 4.00 8.30 -4.30 (VIOLATED)
io_in[10] 4.00 8.21 -4.21 (VIOLATED)
io_in[14] 4.00 7.95 -3.95 (VIOLATED)
io_in[17] 4.00 7.58 -3.58 (VIOLATED)
io_in[8] 4.00 6.78 -2.78 (VIOLATED)
io_in[2] 4.00 6.38 -2.38 (VIOLATED)
io_in[9] 4.00 5.89 -1.89 (VIOLATED)
io_in[3] 4.00 5.60 -1.60 (VIOLATED)
io_in[7] 4.00 5.17 -1.17 (VIOLATED)
io_in[18] 4.00 5.06 -1.06 (VIOLATED)
io_in[36] 4.00 4.69 -0.69 (VIOLATED)
io_in[0] 4.00 4.67 -0.67 (VIOLATED)
io_in[4] 4.00 4.52 -0.52 (VIOLATED)
io_in[19] 4.00 4.51 -0.51 (VIOLATED)
io_in[6] 4.00 4.46 -0.46 (VIOLATED)
io_in[1] 4.00 4.34 -0.34 (VIOLATED)
io_in[24] 4.00 4.18 -0.18 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
io_in[15] 0.23 0.66 -0.43 (VIOLATED)
io_in[16] 0.23 0.65 -0.42 (VIOLATED)
io_in[13] 0.23 0.61 -0.38 (VIOLATED)
io_in[12] 0.23 0.56 -0.33 (VIOLATED)
io_in[11] 0.23 0.52 -0.29 (VIOLATED)
io_in[10] 0.23 0.52 -0.29 (VIOLATED)
io_in[14] 0.23 0.50 -0.27 (VIOLATED)
io_in[17] 0.23 0.48 -0.25 (VIOLATED)
io_in[8] 0.23 0.42 -0.19 (VIOLATED)
io_in[2] 0.23 0.40 -0.17 (VIOLATED)
io_in[9] 0.23 0.37 -0.14 (VIOLATED)
io_in[3] 0.23 0.35 -0.12 (VIOLATED)
io_in[7] 0.23 0.32 -0.09 (VIOLATED)
io_in[18] 0.23 0.32 -0.09 (VIOLATED)
io_in[36] 0.23 0.29 -0.06 (VIOLATED)
io_in[0] 0.23 0.29 -0.06 (VIOLATED)
io_in[4] 0.23 0.28 -0.05 (VIOLATED)
io_in[19] 0.23 0.28 -0.05 (VIOLATED)
io_in[6] 0.23 0.28 -0.05 (VIOLATED)
io_in[1] 0.23 0.27 -0.04 (VIOLATED)
io_in[24] 0.23 0.26 -0.03 (VIOLATED)
io_in[23] 0.23 0.25 -0.02 (VIOLATED)
io_in[25] 0.23 0.25 -0.01 (VIOLATED)
======================= Fastest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
io_in[15] 2.60 6.92 -4.32 (VIOLATED)
io_in[16] 2.60 6.85 -4.25 (VIOLATED)
io_in[13] 2.60 6.42 -3.82 (VIOLATED)
io_in[12] 2.60 5.92 -3.32 (VIOLATED)
io_in[11] 2.60 5.48 -2.88 (VIOLATED)
io_in[10] 2.60 5.43 -2.83 (VIOLATED)
io_in[14] 2.60 5.29 -2.69 (VIOLATED)
io_in[17] 2.60 5.04 -2.44 (VIOLATED)
io_in[8] 2.60 4.49 -1.89 (VIOLATED)
io_in[2] 2.60 4.22 -1.62 (VIOLATED)
io_in[9] 2.60 3.90 -1.30 (VIOLATED)
io_in[3] 2.60 3.70 -1.10 (VIOLATED)
io_in[7] 2.60 3.44 -0.84 (VIOLATED)
io_in[18] 2.60 3.34 -0.74 (VIOLATED)
io_in[36] 2.60 3.10 -0.50 (VIOLATED)
io_in[0] 2.60 3.09 -0.49 (VIOLATED)
io_in[19] 2.60 2.99 -0.39 (VIOLATED)
io_in[4] 2.60 2.99 -0.39 (VIOLATED)
io_in[6] 2.60 2.95 -0.35 (VIOLATED)
io_in[1] 2.60 2.87 -0.27 (VIOLATED)
io_in[24] 2.60 2.77 -0.17 (VIOLATED)
io_in[23] 2.60 2.63 -0.03 (VIOLATED)
io_in[25] 2.60 2.62 -0.02 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
io_in[15] 0.23 0.66 -0.43 (VIOLATED)
io_in[16] 0.23 0.65 -0.42 (VIOLATED)
io_in[13] 0.23 0.61 -0.38 (VIOLATED)
io_in[12] 0.23 0.56 -0.33 (VIOLATED)
io_in[11] 0.23 0.52 -0.30 (VIOLATED)
io_in[10] 0.23 0.52 -0.29 (VIOLATED)
io_in[14] 0.23 0.50 -0.28 (VIOLATED)
io_in[17] 0.23 0.48 -0.25 (VIOLATED)
io_in[8] 0.23 0.42 -0.20 (VIOLATED)
io_in[2] 0.23 0.40 -0.17 (VIOLATED)
io_in[9] 0.23 0.37 -0.14 (VIOLATED)
io_in[3] 0.23 0.35 -0.12 (VIOLATED)
io_in[7] 0.23 0.32 -0.10 (VIOLATED)
io_in[18] 0.23 0.32 -0.09 (VIOLATED)
io_in[36] 0.23 0.29 -0.07 (VIOLATED)
io_in[0] 0.23 0.29 -0.07 (VIOLATED)
io_in[4] 0.23 0.28 -0.06 (VIOLATED)
io_in[19] 0.23 0.28 -0.05 (VIOLATED)
io_in[6] 0.23 0.28 -0.05 (VIOLATED)
io_in[1] 0.23 0.27 -0.04 (VIOLATED)
io_in[24] 0.23 0.26 -0.03 (VIOLATED)
io_in[23] 0.23 0.25 -0.02 (VIOLATED)
io_in[25] 0.23 0.25 -0.02 (VIOLATED)
===========================================================================
max slew violation count 23
max fanout violation count 0
max cap violation count 23
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
======================== Slowest Corner ==================================
Clock user_clock2
No launch/capture paths found.
======================= Typical Corner ===================================
Clock user_clock2
No launch/capture paths found.
======================= Fastest Corner ===================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
======================= Slowest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Typical Corner ===================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Fastest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 540000 u^2 6% utilization.
area_report_end
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing SDF files for all corners...
Writing SDF for the ff corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.ff.sdf...
Writing SDF for the ss corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.ss.sdf...
Writing SDF for the tt corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.tt.sdf...
Writing timing models for all corners...
Writing timing models for the ff corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.ff.lib...
Writing timing models for the ss corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.ss.lib...
Writing timing models for the tt corner to /home/xb4syf/ASIC/gf180-demo/openlane/user_project_wrapper/runs/22_12_05_11_20/results/routing/mca/process_corner_nom/user_project_wrapper.tt.lib...