blob: a0dec889c944ed7d39b0cda47380a288e7217fe3 [file] [log] [blame]
OpenROAD 7c85c140308f01b73f57ea1117f3e43f39abd437
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
======================= Slowest Corner ===================================
Startpoint: wb_rst_i (input port clocked by user_clock2)
Endpoint: cntr_example_1/wb_rst_i (internal pin)
Path Group: (none)
Path Type: max
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
13.00 13.00 ^ input external delay
10.48 6.39 19.39 ^ wb_rst_i (in)
1 0.39 wb_rst_i (net)
10.50 0.00 19.39 ^ cntr_example_1/wb_rst_i (cntr_example)
19.39 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Typical Corner ===================================
Startpoint: wb_rst_i (input port clocked by user_clock2)
Endpoint: cntr_example_1/wb_rst_i (internal pin)
Path Group: (none)
Path Type: max
Corner: tt
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
13.00 13.00 ^ input external delay
6.14 3.86 16.86 ^ wb_rst_i (in)
1 0.39 wb_rst_i (net)
6.17 0.00 16.86 ^ cntr_example_1/wb_rst_i (cntr_example)
16.86 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Fastest Corner ===================================
Startpoint: wb_rst_i (input port clocked by user_clock2)
Endpoint: cntr_example_1/wb_rst_i (internal pin)
Path Group: (none)
Path Type: max
Corner: ff
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
13.00 13.00 ^ input external delay
4.06 2.64 15.64 ^ wb_rst_i (in)
1 0.39 wb_rst_i (net)
4.09 0.00 15.64 ^ cntr_example_1/wb_rst_i (cntr_example)
15.64 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
======================= Slowest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wb_rst_i 7.00 10.48 -3.48 (VIOLATED)
wb_clk_i 7.00 8.43 -1.43 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wb_rst_i 0.24 0.39 -0.15 (VIOLATED)
wb_clk_i 0.24 0.31 -0.07 (VIOLATED)
======================= Typical Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wb_rst_i 4.00 6.14 -2.14 (VIOLATED)
wb_clk_i 4.00 4.97 -0.97 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wb_rst_i 0.23 0.39 -0.15 (VIOLATED)
wb_clk_i 0.23 0.31 -0.08 (VIOLATED)
======================= Fastest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wb_rst_i 2.60 4.06 -1.46 (VIOLATED)
wb_clk_i 2.60 3.29 -0.69 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wb_rst_i 0.23 0.39 -0.16 (VIOLATED)
wb_clk_i 0.23 0.31 -0.08 (VIOLATED)
===========================================================================
max slew violation count 2
max fanout violation count 0
max cap violation count 2
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
======================== Slowest Corner ==================================
Clock user_clock2
No launch/capture paths found.
======================= Typical Corner ===================================
Clock user_clock2
No launch/capture paths found.
======================= Fastest Corner ===================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
======================= Slowest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Typical Corner ===================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Fastest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 2250000 u^2 26% utilization.
area_report_end
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing SDF files for all corners...
Writing SDF for the ff corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.ff.sdf...
Writing SDF for the ss corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.ss.sdf...
Writing SDF for the tt corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.tt.sdf...
Writing timing models for all corners...
Writing timing models for the ff corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.ff.lib...
Writing timing models for the ss corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.ss.lib...
Writing timing models for the tt corner to /home/htf6ry/gf180-demo-fiveguys/openlane/user_project_wrapper/runs/22_12_04_16_14/results/routing/mca/process_corner_nom/user_project_wrapper.tt.lib...