blob: f040ca629271c9afdddddb0332758c966f69d6e7 [file] [log] [blame]
OpenROAD 7c85c140308f01b73f57ea1117f3e43f39abd437
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO]: Setting RC values...
[INFO RSZ-0033] No hold violations found.
Placement Analysis
---------------------------------
total displacement 0.0 u
average displacement 0.0 u
max displacement 0.0 u
original HPWL 64289.9 u
legalized HPWL 64527.1 u
delta HPWL 0 %
[INFO DPL-0020] Mirrored 67 instances
[INFO DPL-0021] HPWL before 64527.1 u
[INFO DPL-0022] HPWL after 64289.9 u
[INFO DPL-0023] HPWL delta -0.4 %
Setting global connections for newly added cells...
Writing OpenROAD database to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.odb...
Writing netlist to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.nl.v...
Writing powered netlist to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.pnl.v...
Writing layout to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.def...
Writing timing constraints to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.sdc...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _126_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _126_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.23 0.29 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.29 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.22 0.51 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.51 ^ _126_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.33 0.77 1.28 v _126_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net3 (net)
0.33 0.01 1.29 v _096_/B (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
0.26 0.22 1.51 ^ _096_/ZN (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
1 0.00 _046_ (net)
0.26 0.00 1.51 ^ _099_/A1 (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
0.29 0.23 1.73 v _099_/ZN (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
1 0.02 _014_ (net)
0.29 0.00 1.74 v _126_/D (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
1.74 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _126_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.25 0.82 clock uncertainty
-0.05 0.76 clock reconvergence pessimism
0.03 0.80 library hold time
0.80 data required time
-----------------------------------------------------------------------------
0.80 data required time
-1.74 data arrival time
-----------------------------------------------------------------------------
0.94 slack (MET)
Startpoint: _122_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _122_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.23 0.29 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.29 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.22 0.51 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.51 ^ _122_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.34 0.77 1.29 v _122_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net18 (net)
0.34 0.01 1.30 v _086_/B (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
0.27 0.22 1.51 ^ _086_/ZN (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
1 0.00 _040_ (net)
0.27 0.00 1.51 ^ _089_/A1 (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
0.29 0.23 1.74 v _089_/ZN (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
1 0.02 _010_ (net)
0.29 0.00 1.75 v _122_/D (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
1.75 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _122_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.25 0.82 clock uncertainty
-0.05 0.76 clock reconvergence pessimism
0.03 0.79 library hold time
0.79 data required time
-----------------------------------------------------------------------------
0.79 data required time
-1.75 data arrival time
-----------------------------------------------------------------------------
0.95 slack (MET)
Startpoint: _118_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _118_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.23 0.29 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.29 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.22 0.51 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.51 ^ _118_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.35 0.78 1.30 v _118_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net14 (net)
0.35 0.01 1.31 v _076_/B (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
0.27 0.22 1.53 ^ _076_/ZN (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
1 0.00 _034_ (net)
0.27 0.00 1.53 ^ _079_/A1 (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
0.29 0.23 1.76 v _079_/ZN (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
1 0.02 _006_ (net)
0.29 0.00 1.76 v _118_/D (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
1.76 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _118_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.25 0.82 clock uncertainty
-0.05 0.76 clock reconvergence pessimism
0.03 0.79 library hold time
0.79 data required time
-----------------------------------------------------------------------------
0.79 data required time
-1.76 data arrival time
-----------------------------------------------------------------------------
0.97 slack (MET)
Startpoint: _130_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _130_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.23 0.29 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.29 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.23 0.52 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.52 ^ _130_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.34 0.78 1.30 v _130_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net7 (net)
0.35 0.01 1.31 v _106_/B (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
0.27 0.22 1.53 ^ _106_/ZN (gf180mcu_fd_sc_mcu7t5v0__aoi21_1)
1 0.00 _052_ (net)
0.27 0.00 1.53 ^ _109_/A1 (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
0.30 0.24 1.77 v _109_/ZN (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
1 0.02 _018_ (net)
0.30 0.00 1.77 v _130_/D (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
1.77 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _130_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.25 0.82 clock uncertainty
-0.05 0.77 clock reconvergence pessimism
0.03 0.80 library hold time
0.80 data required time
-----------------------------------------------------------------------------
0.80 data required time
-1.77 data arrival time
-----------------------------------------------------------------------------
0.98 slack (MET)
Startpoint: _127_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _127_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.23 0.29 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.29 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.23 0.52 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.52 ^ _127_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.32 0.77 1.28 v _127_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
2 0.03 net4 (net)
0.33 0.01 1.29 v _100_/A1 (gf180mcu_fd_sc_mcu7t5v0__xor2_1)
0.28 0.23 1.53 ^ _100_/Z (gf180mcu_fd_sc_mcu7t5v0__xor2_1)
1 0.00 _049_ (net)
0.28 0.00 1.53 ^ _101_/A2 (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
0.29 0.25 1.78 v _101_/ZN (gf180mcu_fd_sc_mcu7t5v0__nor2_1)
1 0.02 _015_ (net)
0.29 0.00 1.78 v _127_/D (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
1.78 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _127_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.25 0.82 clock uncertainty
-0.05 0.77 clock reconvergence pessimism
0.03 0.80 library hold time
0.80 data required time
-----------------------------------------------------------------------------
0.80 data required time
-1.78 data arrival time
-----------------------------------------------------------------------------
0.98 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _117_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[1] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _117_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.41 0.93 1.50 ^ _117_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
2 0.02 net13 (net)
0.41 0.01 1.51 ^ fanout25/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)
0.52 0.50 2.01 ^ fanout25/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)
4 0.03 net25 (net)
0.52 0.00 2.01 ^ output13/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.51 2.52 ^ output13/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[1] (net)
0.34 0.00 2.52 ^ io_out[1] (out)
2.52 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.52 data arrival time
-----------------------------------------------------------------------------
49.23 slack (MET)
Startpoint: _121_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[5] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _121_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.40 0.93 1.50 ^ _121_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
2 0.02 net17 (net)
0.40 0.01 1.50 ^ fanout24/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)
0.50 0.49 1.99 ^ fanout24/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)
4 0.03 net24 (net)
0.50 0.00 1.99 ^ output17/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.51 2.50 ^ output17/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[5] (net)
0.34 0.00 2.50 ^ io_out[5] (out)
2.50 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.50 data arrival time
-----------------------------------------------------------------------------
49.25 slack (MET)
Startpoint: _114_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[18] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _114_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.58 1.04 1.61 ^ _114_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net11 (net)
0.58 0.01 1.62 ^ output11/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.52 2.15 ^ output11/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[18] (net)
0.34 0.00 2.15 ^ io_out[18] (out)
2.15 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.15 data arrival time
-----------------------------------------------------------------------------
49.60 slack (MET)
Startpoint: _116_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[0] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_0__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_0__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
11 0.04 clknet_1_0__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _116_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.57 1.03 1.60 ^ _116_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
3 0.03 net2 (net)
0.57 0.01 1.61 ^ output2/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.52 2.13 ^ output2/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[0] (net)
0.34 0.00 2.13 ^ io_out[0] (out)
2.13 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.13 data arrival time
-----------------------------------------------------------------------------
49.62 slack (MET)
Startpoint: _115_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[19] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _115_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.57 1.03 1.60 ^ _115_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
2 0.03 net12 (net)
0.58 0.01 1.61 ^ output12/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.52 2.13 ^ output12/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[19] (net)
0.34 0.00 2.13 ^ io_out[19] (out)
2.13 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.13 data arrival time
-----------------------------------------------------------------------------
49.62 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _117_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: io_out[1] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.13 0.06 0.06 ^ wb_clk_i (in)
1 0.02 wb_clk_i (net)
0.13 0.00 0.06 ^ clkbuf_0_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.11 0.26 0.32 ^ clkbuf_0_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
2 0.05 clknet_0_wb_clk_i (net)
0.11 0.00 0.32 ^ clkbuf_1_1__f_wb_clk_i/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
0.10 0.25 0.57 ^ clkbuf_1_1__f_wb_clk_i/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_16)
9 0.03 clknet_1_1__leaf_wb_clk_i (net)
0.10 0.00 0.57 ^ _117_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
0.41 0.93 1.50 ^ _117_/Q (gf180mcu_fd_sc_mcu7t5v0__dffq_1)
2 0.02 net13 (net)
0.41 0.01 1.51 ^ fanout25/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)
0.52 0.50 2.01 ^ fanout25/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)
4 0.03 net25 (net)
0.52 0.00 2.01 ^ output13/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
0.34 0.51 2.52 ^ output13/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_3)
1 0.07 io_out[1] (net)
0.34 0.00 2.52 ^ io_out[1] (out)
2.52 data arrival time
65.00 65.00 clock wb_clk_i (rise edge)
0.00 65.00 clock network delay (propagated)
-0.25 64.75 clock uncertainty
0.00 64.75 clock reconvergence pessimism
-13.00 51.75 output external delay
51.75 data required time
-----------------------------------------------------------------------------
51.75 data required time
-2.52 data arrival time
-----------------------------------------------------------------------------
49.23 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
clkbuf_1_0__f_wb_clk_i/Z 4 11 -7 (VIOLATED)
clkbuf_1_1__f_wb_clk_i/Z 4 9 -5 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 2
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 49.23
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.94
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock wb_clk_i
Latency CRPR Skew
_113_/CLK ^
0.57
_115_/CLK ^
0.51 -0.03 0.03
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 1.66e-04 1.21e-05 4.08e-09 1.78e-04 39.0%
Combinational 1.83e-04 9.42e-05 7.47e-07 2.78e-04 61.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.49e-04 1.06e-04 7.51e-07 4.56e-04 100.0%
76.6% 23.3% 0.2%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 67750 u^2 3% utilization.
area_report_end
Setting global connections for newly added cells...
Writing OpenROAD database to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.odb...
Writing netlist to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.nl.v...
Writing powered netlist to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.pnl.v...
Writing layout to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.def...
Writing timing constraints to /home/htf6ry/gf180-demo-fiveguys/openlane/cntr_example/runs/22_12_04_16_09/tmp/cts/11-cntr_example.resized.sdc...