Update gfmpw-0d
diff --git a/verilog/rtl/user_defines.v b/verilog/rtl/user_defines.v
index 226f71c..f75878e 100644
--- a/verilog/rtl/user_defines.v
+++ b/verilog/rtl/user_defines.v
@@ -25,20 +25,17 @@
 // Authoritive source of these MODE defs is: caravel/verilog/rtl/user_defines.v
 // Useful GPIO mode values.  These match the names used in defs.h.
 //
-`define GPIO_MODE_MGMT_STD_INPUT_NOPULL    13'h0403
-`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  13'h0c01
-`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    13'h0801
-`define GPIO_MODE_MGMT_STD_OUTPUT          13'h1809
-`define GPIO_MODE_MGMT_STD_BIDIRECTIONAL   13'h1801
-`define GPIO_MODE_MGMT_STD_ANALOG          13'h000b
+`define GPIO_MODE_MGMT_STD_INPUT_NOPULL    10'h007
+`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  10'h047
+`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    10'h087
+`define GPIO_MODE_MGMT_STD_OUTPUT          10'h00b
+`define GPIO_MODE_MGMT_STD_BIDIRECTIONAL   10'h009
 
-`define GPIO_MODE_USER_STD_INPUT_NOPULL    13'h0402
-`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  13'h0c00
-`define GPIO_MODE_USER_STD_INPUT_PULLUP    13'h0800
-`define GPIO_MODE_USER_STD_OUTPUT          13'h1808
-`define GPIO_MODE_USER_STD_BIDIRECTIONAL   13'h1800
-`define GPIO_MODE_USER_STD_OUT_MONITORED   13'h1802
-`define GPIO_MODE_USER_STD_ANALOG          13'h000a
+`define GPIO_MODE_USER_STD_INPUT_NOPULL    10'h006
+`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  10'h046
+`define GPIO_MODE_USER_STD_INPUT_PULLUP    10'h086
+`define GPIO_MODE_USER_STD_OUTPUT          10'h00a
+`define GPIO_MODE_USER_STD_BIDIRECTIONAL   10'h008
 
 // The power-on configuration for GPIO 0 to 4 is fixed and cannot be
 // modified (allowing the SPI and debug to always be accessible unless
@@ -55,16 +52,14 @@
 `define USER_CONFIG_GPIO_5_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_6_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_7_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
-
-// Configurations of GPIO 14 to 24 are used on caravel but not caravan.
-
 `define USER_CONFIG_GPIO_8_INIT  `GPIO_MODE_MGMT_STD_INPUT_PULLDOWN
 `define USER_CONFIG_GPIO_9_INIT  `GPIO_MODE_MGMT_STD_INPUT_PULLDOWN
 `define USER_CONFIG_GPIO_10_INIT  `GPIO_MODE_MGMT_STD_INPUT_PULLDOWN
-
 `define USER_CONFIG_GPIO_11_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_12_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_13_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+
+// Configurations of GPIO 14 to 24 are used on caravel but not caravan.
 `define USER_CONFIG_GPIO_14_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_15_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_16_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
@@ -76,6 +71,7 @@
 `define USER_CONFIG_GPIO_22_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_23_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_24_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+
 `define USER_CONFIG_GPIO_25_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_26_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_27_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
@@ -84,5 +80,10 @@
 `define USER_CONFIG_GPIO_30_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_31_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 `define USER_CONFIG_GPIO_32_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+`define USER_CONFIG_GPIO_33_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+`define USER_CONFIG_GPIO_34_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+`define USER_CONFIG_GPIO_35_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+`define USER_CONFIG_GPIO_36_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
+`define USER_CONFIG_GPIO_37_INIT  `GPIO_MODE_MGMT_STD_OUTPUT
 
 `endif // __USER_DEFINES_H