blob: f0090b014ae160ff130f3c0a98051f6ddc919747 [file] [log] [blame]
module clkgate(
input clk
, input gate
, output wire gclk
);
wire clki = ~clk;
reg clkp;
assign gclk = clkp & clk;
always @*
if( clki )
clkp <= gate;
else
clkp <= clkp;
initial clkp = 0;
endmodule