blob: fa890695f6950588358fc0a22cbe9ae76c25da7c [file] [log] [blame]
{
"version": 1,
"author": "Uri Shaked",
"editor": "wokwi",
"parts": [
{
"type": "wokwi-dip-switch-8",
"id": "sw1",
"top": -178.4,
"left": -102.9,
"rotate": 90,
"attrs": {}
},
{ "type": "wokwi-gate-not", "id": "not1", "top": -201.6, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not2", "top": -192, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not3", "top": -182.4, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not4", "top": -172.8, "left": 163.2, "attrs": {} },
{
"type": "wokwi-clock-generator",
"id": "clock1",
"top": -278.4,
"left": -134.4,
"attrs": {}
},
{ "type": "wokwi-gnd", "id": "pwr2", "top": -76.8, "left": 546.6, "attrs": {} },
{ "type": "wokwi-vcc", "id": "pwr1", "top": -229.64, "left": -115.2, "attrs": {} },
{
"type": "chip-input-8-pins",
"id": "chip1",
"top": -190.98,
"left": -4.8,
"attrs": { "verilogRole": "input" }
},
{
"type": "chip-output-8-pins",
"id": "chip2",
"top": -190.98,
"left": 340.8,
"attrs": { "verilogRole": "output" }
},
{
"type": "wokwi-7segment",
"id": "sevseg1",
"top": -183.24,
"left": 533.08,
"attrs": { "common": "cathode" }
},
{ "type": "wokwi-slide-switch", "id": "sw2", "top": -302.8, "left": -35.3, "attrs": {} },
{
"type": "wokwi-pushbutton",
"id": "btn1",
"top": -354.6,
"left": -146,
"attrs": { "color": "grey", "label": "Step", "bounce": "0" }
},
{ "type": "wokwi-vcc", "id": "pwr3", "top": -392.84, "left": -86.4, "attrs": {} },
{ "type": "wokwi-gate-and-2", "id": "gate1", "top": 76.8, "left": -86.4, "attrs": {} },
{ "type": "wokwi-gate-or-2", "id": "gate2", "top": 182.4, "left": 144, "attrs": {} },
{ "type": "wokwi-gate-xor-2", "id": "gate3", "top": 76.8, "left": 28.8, "attrs": {} },
{ "type": "wokwi-gate-nand-2", "id": "gate4", "top": 134.4, "left": -86.4, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "gate5", "top": 134.4, "left": 144, "attrs": {} },
{ "type": "wokwi-gate-buffer", "id": "gate6", "top": 134.4, "left": 28.8, "attrs": {} },
{ "type": "wokwi-mux-2", "id": "mux1", "top": 182.4, "left": -86.4, "attrs": {} },
{ "type": "wokwi-flip-flop-d", "id": "flipflop1", "top": 182.4, "left": 28.8, "attrs": {} },
{ "type": "wokwi-vcc", "id": "pwr4", "top": 77.56, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gnd", "id": "pwr5", "top": 76.8, "left": 201, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not5", "top": -163.2, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not6", "top": -153.6, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not7", "top": -144, "left": 163.2, "attrs": {} },
{ "type": "wokwi-gate-not", "id": "not8", "top": -134.4, "left": 163.2, "attrs": {} }
],
"connections": [
[ "pwr1:VCC", "sw1:8a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:7a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:6a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:5a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:4a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:1a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:2a", "red", [ "v0" ] ],
[ "pwr1:VCC", "sw1:3a", "red", [ "v0" ] ],
[ "chip2:OUT0", "not1:OUT", "green", [ "h0" ] ],
[ "not1:IN", "chip1:IN0", "green", [ "v0" ] ],
[ "not2:IN", "chip1:IN1", "green", [ "v0" ] ],
[ "not2:OUT", "chip2:OUT1", "green", [ "v0" ] ],
[ "not3:IN", "chip1:IN2", "green", [ "v0" ] ],
[ "not3:OUT", "chip2:OUT2", "green", [ "v0" ] ],
[ "chip2:OUT3", "not4:OUT", "green", [ "h0" ] ],
[ "not4:IN", "chip1:IN3", "green", [ "v0" ] ],
[ "chip1:EXTIN1", "sw1:2b", "violet", [ "h0" ] ],
[ "sw1:3b", "chip1:EXTIN2", "violet", [ "h0" ] ],
[ "chip1:EXTIN3", "sw1:4b", "violet", [ "h0" ] ],
[ "chip1:EXTIN4", "sw1:5b", "violet", [ "h0" ] ],
[ "sw1:6b", "chip1:EXTIN5", "violet", [ "h0" ] ],
[ "sw1:7b", "chip1:EXTIN6", "violet", [ "h0" ] ],
[ "sw1:8b", "chip1:EXTIN7", "violet", [ "v0" ] ],
[ "chip2:EXTOUT0", "sevseg1:A", "green", [ "h21.01", "v-28.8", "h96" ] ],
[ "chip2:EXTOUT1", "sevseg1:B", "green", [ "h11.41", "v-48", "h115.2", "v38.4" ] ],
[
"chip2:EXTOUT2",
"sevseg1:C",
"green",
[ "h30.61", "v-38.4", "h115.2", "v105.6", "h-28.8" ]
],
[ "chip2:EXTOUT3", "sevseg1:D", "green", [ "h49.81", "v57.6", "h48" ] ],
[ "sevseg1:E", "chip2:EXTOUT4", "green", [ "v9.6", "h-48", "v-38.4" ] ],
[ "chip2:EXTOUT5", "sevseg1:F", "green", [ "h69.01", "v-57.6", "h28.8" ] ],
[ "chip2:EXTOUT6", "sevseg1:G", "green", [ "h78.61", "v-57.6" ] ],
[ "chip2:EXTOUT7", "sevseg1:DP", "green", [ "v28.8", "h136.21" ] ],
[ "pwr2:GND", "sevseg1:COM.1", "black", [ "v0" ] ],
[ "chip1:EXTIN0", "sw2:2", "green", [ "h0", "v-38.4", "h-19.2" ] ],
[ "sw2:1", "clock1:CLK", "green", [ "v0" ] ],
[ "sw2:3", "sw1:1b", "violet", [ "v0" ] ],
[ "btn1:2.r", "sw2:3", "orange", [ "h90.6", "v49.8" ] ],
[ "pwr3:VCC", "btn1:1.r", "red", [ "v0" ] ],
[ "chip1:IN4", "not5:IN", "green", [ "h0" ] ],
[ "chip1:IN5", "not6:IN", "green", [ "h0" ] ],
[ "chip1:IN6", "not7:IN", "green", [ "h0" ] ],
[ "chip1:IN7", "not8:IN", "green", [ "v0" ] ],
[ "not5:OUT", "chip2:OUT4", "green", [ "v0" ] ],
[ "not6:OUT", "chip2:OUT5", "green", [ "v0" ] ],
[ "not7:OUT", "chip2:OUT6", "green", [ "v0" ] ],
[ "not8:OUT", "chip2:OUT7", "green", [ "v0" ] ]
]
}