chore: harden
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index a7b93cc..87aa3ee 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -772,10 +772,11 @@
 VIAS 3 ;
     - via4_5_6200_6200_4_4_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520  + LAYERS Metal4 Via4 Metal5  + CUTSPACING 720 720  + ENCLOSURE 360 120 120 360  + ROWCOL 4 4  ;
     - via4_5_4000_6200_4_3_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520  + LAYERS Metal4 Via4 Metal5  + CUTSPACING 720 720  + ENCLOSURE 500 360 120 360  + ROWCOL 4 3  ;
-    - via4_5_4000_4460_3_3_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520  + LAYERS Metal4 Via4 Metal5  + CUTSPACING 720 720  + ENCLOSURE 500 730 120 730  + ROWCOL 3 3  ;
+    - via4_5_5400_6200_4_4_1240_1240 + VIARULE Via4_GEN_HH + CUTSIZE 520 520  + LAYERS Metal4 Via4 Metal5  + CUTSPACING 720 720  + ENCLOSURE 580 360 120 360  + ROWCOL 4 4  ;
 END VIAS
-COMPONENTS 1 ;
-    - inv1 skullfet_inverter + FIXED ( 240000 220000 ) N ;
+COMPONENTS 2 ;
+    - inv1 skullfet_inverter + FIXED ( 112000 96000 ) N ;
+    - nand1 skullfet_nand + FIXED ( 260000 96000 ) N ;
 END COMPONENTS
 PINS 418 ;
     - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
@@ -2591,7 +2592,9 @@
 END PINS
 SPECIALNETS 2 ;
     - vdd ( PIN vdd ) ( * vdd ) + USE POWER
-      + ROUTED Metal4 0 + SHAPE STRIPE ( 303000 222230 ) via4_5_4000_4460_3_3_1240_1240
+      + ROUTED Metal4 0 + SHAPE STRIPE ( 409850 221360 ) via4_5_5400_6200_4_4_1240_1240
+      NEW Metal4 0 + SHAPE STRIPE ( 394640 221360 ) via4_5_6200_6200_4_4_1240_1240
+      NEW Metal4 0 + SHAPE STRIPE ( 175000 221360 ) via4_5_4000_6200_4_3_1240_1240
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5801360 ) ( 5979800 5801360 )
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5621360 ) ( 5979800 5621360 )
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5441360 ) ( 5979800 5441360 )
@@ -3888,8 +3891,8 @@
       NEW Metal4 0 + SHAPE STRIPE ( -6460 41360 ) via4_5_6200_6200_4_4_1240_1240
       NEW Metal4 0 + SHAPE RING ( -6460 -3740 ) via4_5_6200_6200_4_4_1240_1240 ;
     - vss ( PIN vss ) ( * vss ) + USE GROUND
-      + ROUTED Metal4 0 + SHAPE STRIPE ( 251840 281360 ) via4_5_6200_6200_4_4_1240_1240
-      NEW Metal4 0 + SHAPE STRIPE ( 242000 281360 ) via4_5_4000_6200_4_3_1240_1240
+      + ROUTED Metal4 0 + SHAPE STRIPE ( 272150 101360 ) via4_5_5400_6200_4_4_1240_1240
+      NEW Metal4 0 + SHAPE STRIPE ( 114000 101360 ) via4_5_4000_6200_4_3_1240_1240
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5861360 ) ( 5979800 5861360 )
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5681360 ) ( 5979800 5681360 )
       NEW Metal5 6200 + SHAPE STRIPE ( -19160 5501360 ) ( 5979800 5501360 )
@@ -5188,7 +5191,16 @@
 END SPECIALNETS
 NETS 416 ;
     - io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
-    - io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
+    - io_in[10] ( PIN io_in[10] ) ( nand1 B ) + USE SIGNAL
+      + ROUTED Metal3 ( 5905200 4035920 ) ( 5956720 * 0 )
+      NEW Metal1 ( 301840 108080 ) ( * 113680 0 )
+      NEW Metal2 ( 301840 83440 ) ( * 108080 )
+      NEW Metal2 ( 5905200 83440 ) ( * 4035920 )
+      NEW Metal3 ( 301840 83440 ) ( 5905200 * )
+      NEW Metal2 ( 301840 83440 ) Via2_VH
+      NEW Metal2 ( 5905200 83440 ) Via2_VH
+      NEW Metal2 ( 5905200 4035920 ) Via2_VH
+      NEW Metal1 ( 301840 108080 ) Via1_HV ;
     - io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
     - io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
     - io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
@@ -5224,16 +5236,29 @@
     - io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
     - io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
     - io_in[8] ( PIN io_in[8] ) ( inv1 A ) + USE SIGNAL
-      + ROUTED Metal3 ( 5905200 3242960 ) ( 5956720 * 0 )
-      NEW Metal2 ( 5905200 285040 ) ( * 3242960 )
-      NEW Metal1 ( 304080 285040 0 ) ( 352240 * )
-      NEW Metal3 ( 352240 285040 ) ( 5905200 * )
-      NEW Metal2 ( 5905200 285040 ) Via2_VH
-      NEW Metal2 ( 5905200 3242960 ) Via2_VH
-      NEW Metal1 ( 352240 285040 ) Via1_HV
-      NEW Metal2 ( 352240 285040 ) Via2_VH
-      NEW Metal2 ( 352240 285040 ) RECT ( -280 -660 280 0 )  ;
-    - io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
+      + ROUTED Metal3 ( 5907440 3242960 ) ( 5956720 * 0 )
+      NEW Metal2 ( 5907440 167440 ) ( * 3242960 )
+      NEW Metal1 ( 176400 167440 0 ) ( 217840 * )
+      NEW Metal3 ( 392560 167440 ) ( * 169680 )
+      NEW Metal3 ( 392560 169680 ) ( 397040 * )
+      NEW Metal3 ( 397040 167440 ) ( * 169680 )
+      NEW Metal3 ( 217840 167440 ) ( 392560 * )
+      NEW Metal3 ( 397040 167440 ) ( 5907440 * )
+      NEW Metal1 ( 217840 167440 ) Via1_HV
+      NEW Metal2 ( 217840 167440 ) Via2_VH
+      NEW Metal2 ( 5907440 167440 ) Via2_VH
+      NEW Metal2 ( 5907440 3242960 ) Via2_VH
+      NEW Metal2 ( 217840 167440 ) RECT ( -280 -660 280 0 )  ;
+    - io_in[9] ( PIN io_in[9] ) ( nand1 A ) + USE SIGNAL
+      + ROUTED Metal3 ( 5906320 3639440 ) ( 5956720 * 0 )
+      NEW Metal2 ( 5906320 243600 ) ( * 3639440 )
+      NEW Metal1 ( 402640 210000 0 ) ( * 215600 )
+      NEW Metal2 ( 402640 215600 ) ( * 243600 )
+      NEW Metal3 ( 402640 243600 ) ( 5906320 * )
+      NEW Metal2 ( 5906320 243600 ) Via2_VH
+      NEW Metal2 ( 5906320 3639440 ) Via2_VH
+      NEW Metal1 ( 402640 215600 ) Via1_HV
+      NEW Metal2 ( 402640 243600 ) Via2_VH ;
     - io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
     - io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
     - io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
@@ -5284,14 +5309,24 @@
       NEW Metal2 ( 4963280 5954480 ) ( * 5956720 )
       NEW Metal2 ( 4963280 5954480 ) ( 4964400 * )
       NEW Metal2 ( 4964400 5954480 ) ( * 5956720 0 )
-      NEW Metal1 ( 251440 327600 0 ) ( * 333200 )
-      NEW Metal2 ( 251440 333200 ) ( * 335440 )
-      NEW Metal2 ( 4956560 335440 ) ( * 5956720 )
-      NEW Metal3 ( 251440 335440 ) ( 4956560 * )
-      NEW Metal1 ( 251440 333200 ) Via1_HV
-      NEW Metal2 ( 251440 335440 ) Via2_VH
-      NEW Metal2 ( 4956560 335440 ) Via2_VH ;
-    - io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
+      NEW Metal2 ( 4956560 212240 ) ( * 5956720 )
+      NEW Metal1 ( 126000 204400 0 ) ( * 210000 )
+      NEW Metal2 ( 126000 210000 ) ( * 212240 )
+      NEW Metal3 ( 126000 212240 ) ( 4956560 * )
+      NEW Metal2 ( 4956560 212240 ) Via2_VH
+      NEW Metal1 ( 126000 210000 ) Via1_HV
+      NEW Metal2 ( 126000 212240 ) Via2_VH ;
+    - io_out[17] ( PIN io_out[17] ) ( nand1 Y ) + USE SIGNAL
+      + ROUTED Metal2 ( 4301360 166320 ) ( * 5728800 )
+      NEW Metal2 ( 4301360 5728800 ) ( 4302480 * )
+      NEW Metal2 ( 4302480 5728800 ) ( * 5956720 0 )
+      NEW Metal3 ( 394800 166320 ) ( * 168560 )
+      NEW Metal2 ( 394800 168560 ) ( * 173040 )
+      NEW Metal1 ( 394800 173040 ) ( * 178640 0 )
+      NEW Metal3 ( 394800 166320 ) ( 4301360 * )
+      NEW Metal2 ( 4301360 166320 ) Via2_VH
+      NEW Metal2 ( 394800 168560 ) Via2_VH
+      NEW Metal1 ( 394800 173040 ) Via1_HV ;
     - io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
     - io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
     - io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index fa72a5e..ee71165 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index 26eeb3a..bae3594 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -3905,9 +3905,9 @@
   END wbs_we_i
   OBS
       LAYER Metal1 ;
-        RECT 120.000 115.000 176.310 171.000 ;
+        RECT 56.000 48.000 206.275 109.425 ;
       LAYER Metal2 ;
-        RECT 120.000 2977.500 165.180 2978.500 ;
+        RECT 56.580 2977.500 165.180 2978.500 ;
         RECT 166.900 2977.500 275.500 2978.500 ;
         RECT 277.220 2977.500 385.820 2978.500 ;
         RECT 387.540 2977.500 496.140 2978.500 ;
@@ -3933,58 +3933,74 @@
         RECT 2593.940 2977.500 2702.540 2978.500 ;
         RECT 2704.260 2977.500 2812.860 2978.500 ;
         RECT 2814.580 2977.500 2923.180 2978.500 ;
-        RECT 2924.900 2977.500 2952.740 2978.500 ;
-        RECT 120.000 111.750 2952.740 2977.500 ;
+        RECT 2924.900 2977.500 2953.860 2978.500 ;
+        RECT 56.000 41.530 2953.860 2977.500 ;
       LAYER Metal3 ;
-        RECT 120.000 1621.180 2977.500 1621.620 ;
-        RECT 120.000 1556.820 2978.360 1621.180 ;
-        RECT 120.000 1555.100 2977.500 1556.820 ;
-        RECT 120.000 1490.740 2978.360 1555.100 ;
-        RECT 120.000 1489.020 2977.500 1490.740 ;
-        RECT 120.000 1424.660 2978.360 1489.020 ;
-        RECT 120.000 1422.940 2977.500 1424.660 ;
-        RECT 120.000 1358.580 2978.360 1422.940 ;
-        RECT 120.000 1356.860 2977.500 1358.580 ;
-        RECT 120.000 1292.500 2978.360 1356.860 ;
-        RECT 120.000 1290.780 2977.500 1292.500 ;
-        RECT 120.000 1226.420 2978.360 1290.780 ;
-        RECT 120.000 1224.700 2977.500 1226.420 ;
-        RECT 120.000 1160.340 2978.360 1224.700 ;
-        RECT 120.000 1158.620 2977.500 1160.340 ;
-        RECT 120.000 1094.260 2978.360 1158.620 ;
-        RECT 120.000 1092.540 2977.500 1094.260 ;
-        RECT 120.000 1028.180 2978.360 1092.540 ;
-        RECT 120.000 1026.460 2977.500 1028.180 ;
-        RECT 120.000 962.100 2978.360 1026.460 ;
-        RECT 120.000 960.380 2977.500 962.100 ;
-        RECT 120.000 896.020 2978.360 960.380 ;
-        RECT 120.000 894.300 2977.500 896.020 ;
-        RECT 120.000 829.940 2978.360 894.300 ;
-        RECT 120.000 828.220 2977.500 829.940 ;
-        RECT 120.000 763.860 2978.360 828.220 ;
-        RECT 120.000 762.140 2977.500 763.860 ;
-        RECT 120.000 697.780 2978.360 762.140 ;
-        RECT 120.000 696.060 2977.500 697.780 ;
-        RECT 120.000 631.700 2978.360 696.060 ;
-        RECT 120.000 629.980 2977.500 631.700 ;
-        RECT 120.000 565.620 2978.360 629.980 ;
-        RECT 120.000 563.900 2977.500 565.620 ;
-        RECT 120.000 499.540 2978.360 563.900 ;
-        RECT 120.000 497.820 2977.500 499.540 ;
-        RECT 120.000 433.460 2978.360 497.820 ;
-        RECT 120.000 431.740 2977.500 433.460 ;
-        RECT 120.000 367.380 2978.360 431.740 ;
-        RECT 120.000 365.660 2977.500 367.380 ;
-        RECT 120.000 301.300 2978.360 365.660 ;
-        RECT 120.000 299.580 2977.500 301.300 ;
-        RECT 120.000 235.220 2978.360 299.580 ;
-        RECT 120.000 233.500 2977.500 235.220 ;
-        RECT 120.000 169.140 2978.360 233.500 ;
-        RECT 120.000 167.420 2977.500 169.140 ;
-        RECT 120.000 115.000 2978.360 167.420 ;
+        RECT 56.000 2017.660 2977.500 2018.100 ;
+        RECT 56.000 1953.300 2978.360 2017.660 ;
+        RECT 56.000 1951.580 2977.500 1953.300 ;
+        RECT 56.000 1887.220 2978.360 1951.580 ;
+        RECT 56.000 1885.500 2977.500 1887.220 ;
+        RECT 56.000 1821.140 2978.360 1885.500 ;
+        RECT 56.000 1819.420 2977.500 1821.140 ;
+        RECT 56.000 1755.060 2978.360 1819.420 ;
+        RECT 56.000 1753.340 2977.500 1755.060 ;
+        RECT 56.000 1688.980 2978.360 1753.340 ;
+        RECT 56.000 1687.260 2977.500 1688.980 ;
+        RECT 56.000 1622.900 2978.360 1687.260 ;
+        RECT 56.000 1621.180 2977.500 1622.900 ;
+        RECT 56.000 1556.820 2978.360 1621.180 ;
+        RECT 56.000 1555.100 2977.500 1556.820 ;
+        RECT 56.000 1490.740 2978.360 1555.100 ;
+        RECT 56.000 1489.020 2977.500 1490.740 ;
+        RECT 56.000 1424.660 2978.360 1489.020 ;
+        RECT 56.000 1422.940 2977.500 1424.660 ;
+        RECT 56.000 1358.580 2978.360 1422.940 ;
+        RECT 56.000 1356.860 2977.500 1358.580 ;
+        RECT 56.000 1292.500 2978.360 1356.860 ;
+        RECT 56.000 1290.780 2977.500 1292.500 ;
+        RECT 56.000 1226.420 2978.360 1290.780 ;
+        RECT 56.000 1224.700 2977.500 1226.420 ;
+        RECT 56.000 1160.340 2978.360 1224.700 ;
+        RECT 56.000 1158.620 2977.500 1160.340 ;
+        RECT 56.000 1094.260 2978.360 1158.620 ;
+        RECT 56.000 1092.540 2977.500 1094.260 ;
+        RECT 56.000 1028.180 2978.360 1092.540 ;
+        RECT 56.000 1026.460 2977.500 1028.180 ;
+        RECT 56.000 962.100 2978.360 1026.460 ;
+        RECT 56.000 960.380 2977.500 962.100 ;
+        RECT 56.000 896.020 2978.360 960.380 ;
+        RECT 56.000 894.300 2977.500 896.020 ;
+        RECT 56.000 829.940 2978.360 894.300 ;
+        RECT 56.000 828.220 2977.500 829.940 ;
+        RECT 56.000 763.860 2978.360 828.220 ;
+        RECT 56.000 762.140 2977.500 763.860 ;
+        RECT 56.000 697.780 2978.360 762.140 ;
+        RECT 56.000 696.060 2977.500 697.780 ;
+        RECT 56.000 631.700 2978.360 696.060 ;
+        RECT 56.000 629.980 2977.500 631.700 ;
+        RECT 56.000 565.620 2978.360 629.980 ;
+        RECT 56.000 563.900 2977.500 565.620 ;
+        RECT 56.000 499.540 2978.360 563.900 ;
+        RECT 56.000 497.820 2977.500 499.540 ;
+        RECT 56.000 433.460 2978.360 497.820 ;
+        RECT 56.000 431.740 2977.500 433.460 ;
+        RECT 56.000 367.380 2978.360 431.740 ;
+        RECT 56.000 365.660 2977.500 367.380 ;
+        RECT 56.000 301.300 2978.360 365.660 ;
+        RECT 56.000 299.580 2977.500 301.300 ;
+        RECT 56.000 235.220 2978.360 299.580 ;
+        RECT 56.000 233.500 2977.500 235.220 ;
+        RECT 56.000 169.140 2978.360 233.500 ;
+        RECT 56.000 167.420 2977.500 169.140 ;
+        RECT 56.000 103.060 2978.360 167.420 ;
+        RECT 56.000 101.340 2977.500 103.060 ;
+        RECT 56.000 41.580 2978.360 101.340 ;
       LAYER Metal4 ;
-        RECT 120.000 110.000 124.070 175.500 ;
-        RECT 127.770 110.000 152.500 175.500 ;
+        RECT 56.000 48.000 105.470 114.150 ;
+        RECT 109.170 48.000 124.070 114.150 ;
+        RECT 127.770 48.000 195.470 114.150 ;
+        RECT 199.170 48.000 206.275 114.150 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/lib/user_project_wrapper.lib b/lib/user_project_wrapper.lib
index 74bcfce..ebeb697 100644
--- a/lib/user_project_wrapper.lib
+++ b/lib/user_project_wrapper.lib
@@ -254,15 +254,15 @@
     }
     pin("io_in[10]") {
       direction : input;
-      capacitance : 0.0000;
+      capacitance : 0.5425;
     }
     pin("io_in[9]") {
       direction : input;
-      capacitance : 0.0000;
+      capacitance : 0.6425;
     }
     pin("io_in[8]") {
       direction : input;
-      capacitance : 0.4087;
+      capacitance : 0.6669;
     }
     pin("io_in[7]") {
       direction : input;
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 828845b..fdcba92 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,18 +1,30 @@
 magic
 tech gf180mcuC
 magscale 1 10
-timestamp 1670106942
+timestamp 1670149910
 << metal1 >>
-rect 25106 33294 25118 33346
-rect 25170 33294 25182 33346
-rect 25121 32760 25167 33294
-rect 35186 28527 35198 28530
-rect 30408 28481 35198 28527
-rect 35186 28478 35198 28481
-rect 35250 28478 35262 28530
+rect 40226 21534 40238 21586
+rect 40290 21534 40302 21586
+rect 12562 20974 12574 21026
+rect 12626 20974 12638 21026
+rect 40241 21000 40287 21534
+rect 12577 20440 12623 20974
+rect 39457 17330 39503 17864
+rect 39442 17278 39454 17330
+rect 39506 17278 39518 17330
+rect 21746 16767 21758 16770
+rect 17640 16721 21758 16767
+rect 21746 16718 21758 16721
+rect 21810 16718 21822 16770
+rect 30161 10834 30207 11368
+rect 30146 10782 30158 10834
+rect 30210 10782 30222 10834
 << via1 >>
-rect 25118 33294 25170 33346
-rect 35198 28478 35250 28530
+rect 40238 21534 40290 21586
+rect 12574 20974 12626 21026
+rect 39454 17278 39506 17330
+rect 21758 16718 21810 16770
+rect 30158 10782 30210 10834
 << metal2 >>
 rect 11032 595560 11256 597000
 rect 33096 595560 33320 597000
@@ -33,14 +45,33 @@
 rect 364056 595560 364280 597000
 rect 386120 595560 386344 597000
 rect 408184 595560 408408 597000
-rect 430248 595560 430472 597000
+rect 430248 595672 430472 597000
+rect 430220 595560 430472 595672
 rect 452312 595560 452536 597000
 rect 474376 595560 474600 597000
 rect 495628 595644 496356 595700
 rect 496440 595672 496664 597000
-rect 25116 33572 25172 33582
-rect 25116 33346 25172 33516
-rect 495628 33572 495684 595644
+rect 430220 572908 430276 595560
+rect 430108 572852 430276 572908
+rect 40236 24388 40292 24398
+rect 40236 21586 40292 24332
+rect 40236 21534 40238 21586
+rect 40290 21534 40292 21586
+rect 40236 21522 40292 21534
+rect 12572 21252 12628 21262
+rect 12572 21026 12628 21196
+rect 12572 20974 12574 21026
+rect 12626 20974 12628 21026
+rect 12572 20962 12628 20974
+rect 39452 17330 39508 17342
+rect 39452 17278 39454 17330
+rect 39506 17278 39508 17330
+rect 39452 16884 39508 17278
+rect 39452 16818 39508 16828
+rect 21756 16772 21812 16782
+rect 21756 16678 21812 16716
+rect 430108 16660 430164 572852
+rect 495628 21252 495684 595644
 rect 496300 595476 496356 595644
 rect 496412 595560 496664 595672
 rect 518504 595560 518728 597000
@@ -49,15 +80,22 @@
 rect 584696 595560 584920 597000
 rect 496412 595476 496468 595560
 rect 496300 595420 496468 595476
-rect 495628 33506 495684 33516
-rect 590492 324324 590548 324334
-rect 25116 33294 25118 33346
-rect 25170 33294 25172 33346
-rect 25116 33282 25172 33294
-rect 35196 28532 35252 28542
-rect 35196 28438 35252 28476
-rect 590492 28532 590548 324268
-rect 590492 28466 590548 28476
+rect 495628 21186 495684 21196
+rect 590492 403620 590548 403630
+rect 430108 16594 430164 16604
+rect 30156 10834 30212 10846
+rect 30156 10782 30158 10834
+rect 30210 10782 30212 10834
+rect 30156 8372 30212 10782
+rect 30156 8306 30212 8316
+rect 590492 8372 590548 403564
+rect 590604 363972 590660 363982
+rect 590604 24388 590660 363916
+rect 590604 24322 590660 24332
+rect 590716 324324 590772 324334
+rect 590716 16772 590772 324268
+rect 590716 16706 590772 16716
+rect 590492 8306 590548 8316
 rect 11368 -960 11592 480
 rect 13272 -960 13496 480
 rect 15176 -960 15400 480
@@ -361,15 +399,23 @@
 rect 582568 -960 582792 480
 rect 584472 -960 584696 480
 << via2 >>
-rect 25116 33516 25172 33572
-rect 495628 33516 495684 33572
-rect 590492 324268 590548 324324
-rect 35196 28530 35252 28532
-rect 35196 28478 35198 28530
-rect 35198 28478 35250 28530
-rect 35250 28478 35252 28530
-rect 35196 28476 35252 28478
-rect 590492 28476 590548 28532
+rect 40236 24332 40292 24388
+rect 12572 21196 12628 21252
+rect 39452 16828 39508 16884
+rect 21756 16770 21812 16772
+rect 21756 16718 21758 16770
+rect 21758 16718 21810 16770
+rect 21810 16718 21812 16770
+rect 21756 16716 21812 16718
+rect 495628 21196 495684 21252
+rect 590492 403564 590548 403620
+rect 430108 16604 430164 16660
+rect 30156 8316 30212 8372
+rect 590604 363916 590660 363972
+rect 590604 24332 590660 24388
+rect 590716 324268 590772 324324
+rect 590716 16716 590772 16772
+rect 590492 8316 590548 8372
 << metal3 >>
 rect 595560 588616 597000 588840
 rect -960 587160 480 587384
@@ -399,21 +445,27 @@
 rect -960 417816 480 418040
 rect 595560 416808 597000 417032
 rect -960 403704 480 403928
-rect 595560 403592 597000 403816
+rect 595560 403620 597000 403816
+rect 590482 403564 590492 403620
+rect 590548 403592 597000 403620
+rect 590548 403564 595672 403592
 rect 595560 390376 597000 390600
 rect -960 389592 480 389816
 rect 595560 377160 597000 377384
 rect -960 375480 480 375704
-rect 595560 363944 597000 364168
+rect 595560 363972 597000 364168
+rect 590594 363916 590604 363972
+rect 590660 363944 597000 363972
+rect 590660 363916 595672 363944
 rect -960 361368 480 361592
 rect 595560 350728 597000 350952
 rect -960 347256 480 347480
 rect 595560 337512 597000 337736
 rect -960 333144 480 333368
 rect 595560 324324 597000 324520
-rect 590482 324268 590492 324324
-rect 590548 324296 597000 324324
-rect 590548 324268 595672 324296
+rect 590706 324268 590716 324324
+rect 590772 324296 597000 324324
+rect 590772 324268 595672 324296
 rect -960 319032 480 319256
 rect 595560 311080 597000 311304
 rect -960 304920 480 305144
@@ -456,16 +508,31 @@
 rect -960 50904 480 51128
 rect 595560 46760 597000 46984
 rect -960 36792 480 37016
-rect 25106 33516 25116 33572
-rect 25172 33516 495628 33572
-rect 495684 33516 495694 33572
 rect 595560 33544 597000 33768
-rect 35186 28476 35196 28532
-rect 35252 28476 590492 28532
-rect 590548 28476 590558 28532
+rect 40226 24332 40236 24388
+rect 40292 24332 590604 24388
+rect 590660 24332 590670 24388
 rect -960 22680 480 22904
+rect 12562 21196 12572 21252
+rect 12628 21196 495628 21252
+rect 495684 21196 495694 21252
 rect 595560 20328 597000 20552
+rect 39228 16940 39732 16996
+rect 39228 16772 39284 16940
+rect 39442 16828 39452 16884
+rect 39508 16828 39518 16884
+rect 21746 16716 21756 16772
+rect 21812 16716 39284 16772
+rect 39452 16660 39508 16828
+rect 39676 16772 39732 16940
+rect 39676 16716 590716 16772
+rect 590772 16716 590782 16772
+rect 39452 16604 430108 16660
+rect 430164 16604 430174 16660
 rect -960 8568 480 8792
+rect 30146 8316 30156 8372
+rect 30212 8316 590492 8372
+rect 590548 8316 590558 8372
 rect 595560 7112 597000 7336
 << metal4 >>
 rect -1916 598172 -1296 598268
@@ -3784,54 +3851,6 @@
 rect 7274 27922 7342 27978
 rect 7398 27922 7494 27978
 rect 6874 10350 7494 27922
-rect 6874 10294 6970 10350
-rect 7026 10294 7094 10350
-rect 7150 10294 7218 10350
-rect 7274 10294 7342 10350
-rect 7398 10294 7494 10350
-rect 6874 10226 7494 10294
-rect 6874 10170 6970 10226
-rect 7026 10170 7094 10226
-rect 7150 10170 7218 10226
-rect 7274 10170 7342 10226
-rect 7398 10170 7494 10226
-rect 6874 10102 7494 10170
-rect 6874 10046 6970 10102
-rect 7026 10046 7094 10102
-rect 7150 10046 7218 10102
-rect 7274 10046 7342 10102
-rect 7398 10046 7494 10102
-rect 6874 9978 7494 10046
-rect 6874 9922 6970 9978
-rect 7026 9922 7094 9978
-rect 7150 9922 7218 9978
-rect 7274 9922 7342 9978
-rect 7398 9922 7494 9978
-rect 6874 -1120 7494 9922
-rect 6874 -1176 6970 -1120
-rect 7026 -1176 7094 -1120
-rect 7150 -1176 7218 -1120
-rect 7274 -1176 7342 -1120
-rect 7398 -1176 7494 -1120
-rect 6874 -1244 7494 -1176
-rect 6874 -1300 6970 -1244
-rect 7026 -1300 7094 -1244
-rect 7150 -1300 7218 -1244
-rect 7274 -1300 7342 -1244
-rect 7398 -1300 7494 -1244
-rect 6874 -1368 7494 -1300
-rect 6874 -1424 6970 -1368
-rect 7026 -1424 7094 -1368
-rect 7150 -1424 7218 -1368
-rect 7274 -1424 7342 -1368
-rect 7398 -1424 7494 -1368
-rect 6874 -1492 7494 -1424
-rect 6874 -1548 6970 -1492
-rect 7026 -1548 7094 -1492
-rect 7150 -1548 7218 -1492
-rect 7274 -1548 7342 -1492
-rect 7398 -1548 7494 -1492
-rect 6874 -1644 7494 -1548
 rect 21154 597212 21774 598268
 rect 21154 597156 21250 597212
 rect 21306 597156 21374 597212
@@ -4600,7 +4619,169 @@
 rect 21430 39922 21498 39978
 rect 21554 39922 21622 39978
 rect 21678 39922 21774 39978
+rect 17300 22350 17700 22384
+rect 17300 22294 17348 22350
+rect 17404 22294 17472 22350
+rect 17528 22294 17596 22350
+rect 17652 22294 17700 22350
+rect 17300 22226 17700 22294
+rect 17300 22170 17348 22226
+rect 17404 22170 17472 22226
+rect 17528 22170 17596 22226
+rect 17652 22170 17700 22226
+rect 17300 22102 17700 22170
+rect 17300 22046 17348 22102
+rect 17404 22046 17472 22102
+rect 17528 22046 17596 22102
+rect 17652 22046 17700 22102
+rect 17300 21978 17700 22046
+rect 17300 21922 17348 21978
+rect 17404 21922 17472 21978
+rect 17528 21922 17596 21978
+rect 17652 21922 17700 21978
+rect 17300 21888 17700 21922
 rect 21154 22350 21774 39922
+rect 21154 22294 21250 22350
+rect 21306 22294 21374 22350
+rect 21430 22294 21498 22350
+rect 21554 22294 21622 22350
+rect 21678 22294 21774 22350
+rect 21154 22226 21774 22294
+rect 21154 22170 21250 22226
+rect 21306 22170 21374 22226
+rect 21430 22170 21498 22226
+rect 21554 22170 21622 22226
+rect 21678 22170 21774 22226
+rect 21154 22102 21774 22170
+rect 21154 22046 21250 22102
+rect 21306 22046 21374 22102
+rect 21430 22046 21498 22102
+rect 21554 22046 21622 22102
+rect 21678 22046 21774 22102
+rect 21154 21978 21774 22046
+rect 21154 21922 21250 21978
+rect 21306 21922 21374 21978
+rect 21430 21922 21498 21978
+rect 21554 21922 21622 21978
+rect 21678 21922 21774 21978
+rect 6874 10294 6970 10350
+rect 7026 10294 7094 10350
+rect 7150 10294 7218 10350
+rect 7274 10294 7342 10350
+rect 7398 10294 7494 10350
+rect 6874 10226 7494 10294
+rect 6874 10170 6970 10226
+rect 7026 10170 7094 10226
+rect 7150 10170 7218 10226
+rect 7274 10170 7342 10226
+rect 7398 10170 7494 10226
+rect 6874 10102 7494 10170
+rect 6874 10046 6970 10102
+rect 7026 10046 7094 10102
+rect 7150 10046 7218 10102
+rect 7274 10046 7342 10102
+rect 7398 10046 7494 10102
+rect 6874 9978 7494 10046
+rect 6874 9922 6970 9978
+rect 7026 9922 7094 9978
+rect 7150 9922 7218 9978
+rect 7274 9922 7342 9978
+rect 7398 9922 7494 9978
+rect 6874 -1120 7494 9922
+rect 11200 10350 11600 10384
+rect 11200 10294 11248 10350
+rect 11304 10294 11372 10350
+rect 11428 10294 11496 10350
+rect 11552 10294 11600 10350
+rect 11200 10226 11600 10294
+rect 11200 10170 11248 10226
+rect 11304 10170 11372 10226
+rect 11428 10170 11496 10226
+rect 11552 10170 11600 10226
+rect 11200 10102 11600 10170
+rect 11200 10046 11248 10102
+rect 11304 10046 11372 10102
+rect 11428 10046 11496 10102
+rect 11552 10046 11600 10102
+rect 11200 9978 11600 10046
+rect 11200 9922 11248 9978
+rect 11304 9922 11372 9978
+rect 11428 9922 11496 9978
+rect 11552 9922 11600 9978
+rect 11200 9888 11600 9922
+rect 6874 -1176 6970 -1120
+rect 7026 -1176 7094 -1120
+rect 7150 -1176 7218 -1120
+rect 7274 -1176 7342 -1120
+rect 7398 -1176 7494 -1120
+rect 6874 -1244 7494 -1176
+rect 6874 -1300 6970 -1244
+rect 7026 -1300 7094 -1244
+rect 7150 -1300 7218 -1244
+rect 7274 -1300 7342 -1244
+rect 7398 -1300 7494 -1244
+rect 6874 -1368 7494 -1300
+rect 6874 -1424 6970 -1368
+rect 7026 -1424 7094 -1368
+rect 7150 -1424 7218 -1368
+rect 7274 -1424 7342 -1368
+rect 7398 -1424 7494 -1368
+rect 6874 -1492 7494 -1424
+rect 6874 -1548 6970 -1492
+rect 7026 -1548 7094 -1492
+rect 7150 -1548 7218 -1492
+rect 7274 -1548 7342 -1492
+rect 7398 -1548 7494 -1492
+rect 6874 -1644 7494 -1548
+rect 21154 4350 21774 21922
+rect 21154 4294 21250 4350
+rect 21306 4294 21374 4350
+rect 21430 4294 21498 4350
+rect 21554 4294 21622 4350
+rect 21678 4294 21774 4350
+rect 21154 4226 21774 4294
+rect 21154 4170 21250 4226
+rect 21306 4170 21374 4226
+rect 21430 4170 21498 4226
+rect 21554 4170 21622 4226
+rect 21678 4170 21774 4226
+rect 21154 4102 21774 4170
+rect 21154 4046 21250 4102
+rect 21306 4046 21374 4102
+rect 21430 4046 21498 4102
+rect 21554 4046 21622 4102
+rect 21678 4046 21774 4102
+rect 21154 3978 21774 4046
+rect 21154 3922 21250 3978
+rect 21306 3922 21374 3978
+rect 21430 3922 21498 3978
+rect 21554 3922 21622 3978
+rect 21678 3922 21774 3978
+rect 21154 -160 21774 3922
+rect 21154 -216 21250 -160
+rect 21306 -216 21374 -160
+rect 21430 -216 21498 -160
+rect 21554 -216 21622 -160
+rect 21678 -216 21774 -160
+rect 21154 -284 21774 -216
+rect 21154 -340 21250 -284
+rect 21306 -340 21374 -284
+rect 21430 -340 21498 -284
+rect 21554 -340 21622 -284
+rect 21678 -340 21774 -284
+rect 21154 -408 21774 -340
+rect 21154 -464 21250 -408
+rect 21306 -464 21374 -408
+rect 21430 -464 21498 -408
+rect 21554 -464 21622 -408
+rect 21678 -464 21774 -408
+rect 21154 -532 21774 -464
+rect 21154 -588 21250 -532
+rect 21306 -588 21374 -532
+rect 21430 -588 21498 -532
+rect 21554 -588 21622 -532
+rect 21678 -588 21774 -532
+rect 21154 -1644 21774 -588
 rect 24874 598172 25494 598268
 rect 24874 598116 24970 598172
 rect 25026 598116 25094 598172
@@ -5369,27 +5550,6 @@
 rect 25150 45922 25218 45978
 rect 25274 45922 25342 45978
 rect 25398 45922 25494 45978
-rect 24000 28350 24400 28384
-rect 24000 28294 24048 28350
-rect 24104 28294 24172 28350
-rect 24228 28294 24296 28350
-rect 24352 28294 24400 28350
-rect 24000 28226 24400 28294
-rect 24000 28170 24048 28226
-rect 24104 28170 24172 28226
-rect 24228 28170 24296 28226
-rect 24352 28170 24400 28226
-rect 24000 28102 24400 28170
-rect 24000 28046 24048 28102
-rect 24104 28046 24172 28102
-rect 24228 28046 24296 28102
-rect 24352 28046 24400 28102
-rect 24000 27978 24400 28046
-rect 24000 27922 24048 27978
-rect 24104 27922 24172 27978
-rect 24228 27922 24296 27978
-rect 24352 27922 24400 27978
-rect 24000 27888 24400 27922
 rect 24874 28350 25494 45922
 rect 24874 28294 24970 28350
 rect 25026 28294 25094 28350
@@ -5414,78 +5574,6 @@
 rect 25150 27922 25218 27978
 rect 25274 27922 25342 27978
 rect 25398 27922 25494 27978
-rect 21154 22294 21250 22350
-rect 21306 22294 21374 22350
-rect 21430 22294 21498 22350
-rect 21554 22294 21622 22350
-rect 21678 22294 21774 22350
-rect 21154 22226 21774 22294
-rect 21154 22170 21250 22226
-rect 21306 22170 21374 22226
-rect 21430 22170 21498 22226
-rect 21554 22170 21622 22226
-rect 21678 22170 21774 22226
-rect 21154 22102 21774 22170
-rect 21154 22046 21250 22102
-rect 21306 22046 21374 22102
-rect 21430 22046 21498 22102
-rect 21554 22046 21622 22102
-rect 21678 22046 21774 22102
-rect 21154 21978 21774 22046
-rect 21154 21922 21250 21978
-rect 21306 21922 21374 21978
-rect 21430 21922 21498 21978
-rect 21554 21922 21622 21978
-rect 21678 21922 21774 21978
-rect 21154 4350 21774 21922
-rect 21154 4294 21250 4350
-rect 21306 4294 21374 4350
-rect 21430 4294 21498 4350
-rect 21554 4294 21622 4350
-rect 21678 4294 21774 4350
-rect 21154 4226 21774 4294
-rect 21154 4170 21250 4226
-rect 21306 4170 21374 4226
-rect 21430 4170 21498 4226
-rect 21554 4170 21622 4226
-rect 21678 4170 21774 4226
-rect 21154 4102 21774 4170
-rect 21154 4046 21250 4102
-rect 21306 4046 21374 4102
-rect 21430 4046 21498 4102
-rect 21554 4046 21622 4102
-rect 21678 4046 21774 4102
-rect 21154 3978 21774 4046
-rect 21154 3922 21250 3978
-rect 21306 3922 21374 3978
-rect 21430 3922 21498 3978
-rect 21554 3922 21622 3978
-rect 21678 3922 21774 3978
-rect 21154 -160 21774 3922
-rect 21154 -216 21250 -160
-rect 21306 -216 21374 -160
-rect 21430 -216 21498 -160
-rect 21554 -216 21622 -160
-rect 21678 -216 21774 -160
-rect 21154 -284 21774 -216
-rect 21154 -340 21250 -284
-rect 21306 -340 21374 -284
-rect 21430 -340 21498 -284
-rect 21554 -340 21622 -284
-rect 21678 -340 21774 -284
-rect 21154 -408 21774 -340
-rect 21154 -464 21250 -408
-rect 21306 -464 21374 -408
-rect 21430 -464 21498 -408
-rect 21554 -464 21622 -408
-rect 21678 -464 21774 -408
-rect 21154 -532 21774 -464
-rect 21154 -588 21250 -532
-rect 21306 -588 21374 -532
-rect 21430 -588 21498 -532
-rect 21554 -588 21622 -532
-rect 21678 -588 21774 -532
-rect 21154 -1644 21774 -588
 rect 24874 10350 25494 27922
 rect 39154 597212 39774 598268
 rect 39154 597156 39250 597212
@@ -6255,143 +6343,7 @@
 rect 39430 39922 39498 39978
 rect 39554 39922 39622 39978
 rect 39678 39922 39774 39978
-rect 30100 22375 30500 22446
-rect 30100 22319 30148 22375
-rect 30204 22319 30272 22375
-rect 30328 22319 30396 22375
-rect 30452 22319 30500 22375
-rect 30100 22251 30500 22319
-rect 30100 22195 30148 22251
-rect 30204 22195 30272 22251
-rect 30328 22195 30396 22251
-rect 30452 22195 30500 22251
-rect 30100 22127 30500 22195
-rect 30100 22071 30148 22127
-rect 30204 22071 30272 22127
-rect 30328 22071 30396 22127
-rect 30452 22071 30500 22127
-rect 30100 22000 30500 22071
 rect 39154 22350 39774 39922
-rect 39154 22294 39250 22350
-rect 39306 22294 39374 22350
-rect 39430 22294 39498 22350
-rect 39554 22294 39622 22350
-rect 39678 22294 39774 22350
-rect 39154 22226 39774 22294
-rect 39154 22170 39250 22226
-rect 39306 22170 39374 22226
-rect 39430 22170 39498 22226
-rect 39554 22170 39622 22226
-rect 39678 22170 39774 22226
-rect 39154 22102 39774 22170
-rect 39154 22046 39250 22102
-rect 39306 22046 39374 22102
-rect 39430 22046 39498 22102
-rect 39554 22046 39622 22102
-rect 39678 22046 39774 22102
-rect 24874 10294 24970 10350
-rect 25026 10294 25094 10350
-rect 25150 10294 25218 10350
-rect 25274 10294 25342 10350
-rect 25398 10294 25494 10350
-rect 24874 10226 25494 10294
-rect 24874 10170 24970 10226
-rect 25026 10170 25094 10226
-rect 25150 10170 25218 10226
-rect 25274 10170 25342 10226
-rect 25398 10170 25494 10226
-rect 24874 10102 25494 10170
-rect 24874 10046 24970 10102
-rect 25026 10046 25094 10102
-rect 25150 10046 25218 10102
-rect 25274 10046 25342 10102
-rect 25398 10046 25494 10102
-rect 24874 9978 25494 10046
-rect 24874 9922 24970 9978
-rect 25026 9922 25094 9978
-rect 25150 9922 25218 9978
-rect 25274 9922 25342 9978
-rect 25398 9922 25494 9978
-rect 24874 -1120 25494 9922
-rect 24874 -1176 24970 -1120
-rect 25026 -1176 25094 -1120
-rect 25150 -1176 25218 -1120
-rect 25274 -1176 25342 -1120
-rect 25398 -1176 25494 -1120
-rect 24874 -1244 25494 -1176
-rect 24874 -1300 24970 -1244
-rect 25026 -1300 25094 -1244
-rect 25150 -1300 25218 -1244
-rect 25274 -1300 25342 -1244
-rect 25398 -1300 25494 -1244
-rect 24874 -1368 25494 -1300
-rect 24874 -1424 24970 -1368
-rect 25026 -1424 25094 -1368
-rect 25150 -1424 25218 -1368
-rect 25274 -1424 25342 -1368
-rect 25398 -1424 25494 -1368
-rect 24874 -1492 25494 -1424
-rect 24874 -1548 24970 -1492
-rect 25026 -1548 25094 -1492
-rect 25150 -1548 25218 -1492
-rect 25274 -1548 25342 -1492
-rect 25398 -1548 25494 -1492
-rect 24874 -1644 25494 -1548
-rect 39154 21978 39774 22046
-rect 39154 21922 39250 21978
-rect 39306 21922 39374 21978
-rect 39430 21922 39498 21978
-rect 39554 21922 39622 21978
-rect 39678 21922 39774 21978
-rect 39154 4350 39774 21922
-rect 39154 4294 39250 4350
-rect 39306 4294 39374 4350
-rect 39430 4294 39498 4350
-rect 39554 4294 39622 4350
-rect 39678 4294 39774 4350
-rect 39154 4226 39774 4294
-rect 39154 4170 39250 4226
-rect 39306 4170 39374 4226
-rect 39430 4170 39498 4226
-rect 39554 4170 39622 4226
-rect 39678 4170 39774 4226
-rect 39154 4102 39774 4170
-rect 39154 4046 39250 4102
-rect 39306 4046 39374 4102
-rect 39430 4046 39498 4102
-rect 39554 4046 39622 4102
-rect 39678 4046 39774 4102
-rect 39154 3978 39774 4046
-rect 39154 3922 39250 3978
-rect 39306 3922 39374 3978
-rect 39430 3922 39498 3978
-rect 39554 3922 39622 3978
-rect 39678 3922 39774 3978
-rect 39154 -160 39774 3922
-rect 39154 -216 39250 -160
-rect 39306 -216 39374 -160
-rect 39430 -216 39498 -160
-rect 39554 -216 39622 -160
-rect 39678 -216 39774 -160
-rect 39154 -284 39774 -216
-rect 39154 -340 39250 -284
-rect 39306 -340 39374 -284
-rect 39430 -340 39498 -284
-rect 39554 -340 39622 -284
-rect 39678 -340 39774 -284
-rect 39154 -408 39774 -340
-rect 39154 -464 39250 -408
-rect 39306 -464 39374 -408
-rect 39430 -464 39498 -408
-rect 39554 -464 39622 -408
-rect 39678 -464 39774 -408
-rect 39154 -532 39774 -464
-rect 39154 -588 39250 -532
-rect 39306 -588 39374 -532
-rect 39430 -588 39498 -532
-rect 39554 -588 39622 -532
-rect 39678 -588 39774 -532
-rect 39154 -1644 39774 -588
 rect 42874 598172 43494 598268
 rect 42874 598116 42970 598172
 rect 43026 598116 43094 598172
@@ -7184,6 +7136,176 @@
 rect 43150 27922 43218 27978
 rect 43274 27922 43342 27978
 rect 43398 27922 43494 27978
+rect 39154 22294 39250 22350
+rect 39306 22294 39374 22350
+rect 39430 22294 39498 22350
+rect 39554 22294 39622 22350
+rect 39678 22294 39774 22350
+rect 39154 22226 39774 22294
+rect 39154 22170 39250 22226
+rect 39306 22170 39374 22226
+rect 39430 22170 39498 22226
+rect 39554 22170 39622 22226
+rect 39678 22170 39774 22226
+rect 39154 22102 39774 22170
+rect 39154 22046 39250 22102
+rect 39306 22046 39374 22102
+rect 39430 22046 39498 22102
+rect 39554 22046 39622 22102
+rect 39678 22046 39774 22102
+rect 39154 21978 39774 22046
+rect 39154 21922 39250 21978
+rect 39306 21922 39374 21978
+rect 39430 21922 39498 21978
+rect 39554 21922 39622 21978
+rect 39678 21922 39774 21978
+rect 24874 10294 24970 10350
+rect 25026 10294 25094 10350
+rect 25150 10294 25218 10350
+rect 25274 10294 25342 10350
+rect 25398 10294 25494 10350
+rect 24874 10226 25494 10294
+rect 24874 10170 24970 10226
+rect 25026 10170 25094 10226
+rect 25150 10170 25218 10226
+rect 25274 10170 25342 10226
+rect 25398 10170 25494 10226
+rect 24874 10102 25494 10170
+rect 24874 10046 24970 10102
+rect 25026 10046 25094 10102
+rect 25150 10046 25218 10102
+rect 25274 10046 25342 10102
+rect 25398 10046 25494 10102
+rect 24874 9978 25494 10046
+rect 24874 9922 24970 9978
+rect 25026 9922 25094 9978
+rect 25150 9922 25218 9978
+rect 25274 9922 25342 9978
+rect 25398 9922 25494 9978
+rect 24874 -1120 25494 9922
+rect 26945 10350 27485 10384
+rect 26945 10294 27001 10350
+rect 27057 10294 27125 10350
+rect 27181 10294 27249 10350
+rect 27305 10294 27373 10350
+rect 27429 10294 27485 10350
+rect 26945 10226 27485 10294
+rect 26945 10170 27001 10226
+rect 27057 10170 27125 10226
+rect 27181 10170 27249 10226
+rect 27305 10170 27373 10226
+rect 27429 10170 27485 10226
+rect 26945 10102 27485 10170
+rect 26945 10046 27001 10102
+rect 27057 10046 27125 10102
+rect 27181 10046 27249 10102
+rect 27305 10046 27373 10102
+rect 27429 10046 27485 10102
+rect 26945 9978 27485 10046
+rect 26945 9922 27001 9978
+rect 27057 9922 27125 9978
+rect 27181 9922 27249 9978
+rect 27305 9922 27373 9978
+rect 27429 9922 27485 9978
+rect 26945 9888 27485 9922
+rect 24874 -1176 24970 -1120
+rect 25026 -1176 25094 -1120
+rect 25150 -1176 25218 -1120
+rect 25274 -1176 25342 -1120
+rect 25398 -1176 25494 -1120
+rect 24874 -1244 25494 -1176
+rect 24874 -1300 24970 -1244
+rect 25026 -1300 25094 -1244
+rect 25150 -1300 25218 -1244
+rect 25274 -1300 25342 -1244
+rect 25398 -1300 25494 -1244
+rect 24874 -1368 25494 -1300
+rect 24874 -1424 24970 -1368
+rect 25026 -1424 25094 -1368
+rect 25150 -1424 25218 -1368
+rect 25274 -1424 25342 -1368
+rect 25398 -1424 25494 -1368
+rect 24874 -1492 25494 -1424
+rect 24874 -1548 24970 -1492
+rect 25026 -1548 25094 -1492
+rect 25150 -1548 25218 -1492
+rect 25274 -1548 25342 -1492
+rect 25398 -1548 25494 -1492
+rect 24874 -1644 25494 -1548
+rect 39154 4350 39774 21922
+rect 40715 22350 41255 22384
+rect 40715 22294 40771 22350
+rect 40827 22294 40895 22350
+rect 40951 22294 41019 22350
+rect 41075 22294 41143 22350
+rect 41199 22294 41255 22350
+rect 40715 22226 41255 22294
+rect 40715 22170 40771 22226
+rect 40827 22170 40895 22226
+rect 40951 22170 41019 22226
+rect 41075 22170 41143 22226
+rect 41199 22170 41255 22226
+rect 40715 22102 41255 22170
+rect 40715 22046 40771 22102
+rect 40827 22046 40895 22102
+rect 40951 22046 41019 22102
+rect 41075 22046 41143 22102
+rect 41199 22046 41255 22102
+rect 40715 21978 41255 22046
+rect 40715 21922 40771 21978
+rect 40827 21922 40895 21978
+rect 40951 21922 41019 21978
+rect 41075 21922 41143 21978
+rect 41199 21922 41255 21978
+rect 40715 21888 41255 21922
+rect 39154 4294 39250 4350
+rect 39306 4294 39374 4350
+rect 39430 4294 39498 4350
+rect 39554 4294 39622 4350
+rect 39678 4294 39774 4350
+rect 39154 4226 39774 4294
+rect 39154 4170 39250 4226
+rect 39306 4170 39374 4226
+rect 39430 4170 39498 4226
+rect 39554 4170 39622 4226
+rect 39678 4170 39774 4226
+rect 39154 4102 39774 4170
+rect 39154 4046 39250 4102
+rect 39306 4046 39374 4102
+rect 39430 4046 39498 4102
+rect 39554 4046 39622 4102
+rect 39678 4046 39774 4102
+rect 39154 3978 39774 4046
+rect 39154 3922 39250 3978
+rect 39306 3922 39374 3978
+rect 39430 3922 39498 3978
+rect 39554 3922 39622 3978
+rect 39678 3922 39774 3978
+rect 39154 -160 39774 3922
+rect 39154 -216 39250 -160
+rect 39306 -216 39374 -160
+rect 39430 -216 39498 -160
+rect 39554 -216 39622 -160
+rect 39678 -216 39774 -160
+rect 39154 -284 39774 -216
+rect 39154 -340 39250 -284
+rect 39306 -340 39374 -284
+rect 39430 -340 39498 -284
+rect 39554 -340 39622 -284
+rect 39678 -340 39774 -284
+rect 39154 -408 39774 -340
+rect 39154 -464 39250 -408
+rect 39306 -464 39374 -408
+rect 39430 -464 39498 -408
+rect 39554 -464 39622 -408
+rect 39678 -464 39774 -408
+rect 39154 -532 39774 -464
+rect 39154 -588 39250 -532
+rect 39306 -588 39374 -532
+rect 39430 -588 39498 -532
+rect 39554 -588 39622 -532
+rect 39678 -588 39774 -532
+rect 39154 -1644 39774 -588
 rect 42874 10350 43494 27922
 rect 42874 10294 42970 10350
 rect 43026 10294 43094 10350
@@ -61584,38 +61706,6 @@
 rect 7094 27922 7150 27978
 rect 7218 27922 7274 27978
 rect 7342 27922 7398 27978
-rect 6970 10294 7026 10350
-rect 7094 10294 7150 10350
-rect 7218 10294 7274 10350
-rect 7342 10294 7398 10350
-rect 6970 10170 7026 10226
-rect 7094 10170 7150 10226
-rect 7218 10170 7274 10226
-rect 7342 10170 7398 10226
-rect 6970 10046 7026 10102
-rect 7094 10046 7150 10102
-rect 7218 10046 7274 10102
-rect 7342 10046 7398 10102
-rect 6970 9922 7026 9978
-rect 7094 9922 7150 9978
-rect 7218 9922 7274 9978
-rect 7342 9922 7398 9978
-rect 6970 -1176 7026 -1120
-rect 7094 -1176 7150 -1120
-rect 7218 -1176 7274 -1120
-rect 7342 -1176 7398 -1120
-rect 6970 -1300 7026 -1244
-rect 7094 -1300 7150 -1244
-rect 7218 -1300 7274 -1244
-rect 7342 -1300 7398 -1244
-rect 6970 -1424 7026 -1368
-rect 7094 -1424 7150 -1368
-rect 7218 -1424 7274 -1368
-rect 7342 -1424 7398 -1368
-rect 6970 -1548 7026 -1492
-rect 7094 -1548 7150 -1492
-rect 7218 -1548 7274 -1492
-rect 7342 -1548 7398 -1492
 rect 21250 597156 21306 597212
 rect 21374 597156 21430 597212
 rect 21498 597156 21554 597212
@@ -62128,6 +62218,110 @@
 rect 21374 39922 21430 39978
 rect 21498 39922 21554 39978
 rect 21622 39922 21678 39978
+rect 17348 22294 17404 22350
+rect 17472 22294 17528 22350
+rect 17596 22294 17652 22350
+rect 17348 22170 17404 22226
+rect 17472 22170 17528 22226
+rect 17596 22170 17652 22226
+rect 17348 22046 17404 22102
+rect 17472 22046 17528 22102
+rect 17596 22046 17652 22102
+rect 17348 21922 17404 21978
+rect 17472 21922 17528 21978
+rect 17596 21922 17652 21978
+rect 21250 22294 21306 22350
+rect 21374 22294 21430 22350
+rect 21498 22294 21554 22350
+rect 21622 22294 21678 22350
+rect 21250 22170 21306 22226
+rect 21374 22170 21430 22226
+rect 21498 22170 21554 22226
+rect 21622 22170 21678 22226
+rect 21250 22046 21306 22102
+rect 21374 22046 21430 22102
+rect 21498 22046 21554 22102
+rect 21622 22046 21678 22102
+rect 21250 21922 21306 21978
+rect 21374 21922 21430 21978
+rect 21498 21922 21554 21978
+rect 21622 21922 21678 21978
+rect 6970 10294 7026 10350
+rect 7094 10294 7150 10350
+rect 7218 10294 7274 10350
+rect 7342 10294 7398 10350
+rect 6970 10170 7026 10226
+rect 7094 10170 7150 10226
+rect 7218 10170 7274 10226
+rect 7342 10170 7398 10226
+rect 6970 10046 7026 10102
+rect 7094 10046 7150 10102
+rect 7218 10046 7274 10102
+rect 7342 10046 7398 10102
+rect 6970 9922 7026 9978
+rect 7094 9922 7150 9978
+rect 7218 9922 7274 9978
+rect 7342 9922 7398 9978
+rect 11248 10294 11304 10350
+rect 11372 10294 11428 10350
+rect 11496 10294 11552 10350
+rect 11248 10170 11304 10226
+rect 11372 10170 11428 10226
+rect 11496 10170 11552 10226
+rect 11248 10046 11304 10102
+rect 11372 10046 11428 10102
+rect 11496 10046 11552 10102
+rect 11248 9922 11304 9978
+rect 11372 9922 11428 9978
+rect 11496 9922 11552 9978
+rect 6970 -1176 7026 -1120
+rect 7094 -1176 7150 -1120
+rect 7218 -1176 7274 -1120
+rect 7342 -1176 7398 -1120
+rect 6970 -1300 7026 -1244
+rect 7094 -1300 7150 -1244
+rect 7218 -1300 7274 -1244
+rect 7342 -1300 7398 -1244
+rect 6970 -1424 7026 -1368
+rect 7094 -1424 7150 -1368
+rect 7218 -1424 7274 -1368
+rect 7342 -1424 7398 -1368
+rect 6970 -1548 7026 -1492
+rect 7094 -1548 7150 -1492
+rect 7218 -1548 7274 -1492
+rect 7342 -1548 7398 -1492
+rect 21250 4294 21306 4350
+rect 21374 4294 21430 4350
+rect 21498 4294 21554 4350
+rect 21622 4294 21678 4350
+rect 21250 4170 21306 4226
+rect 21374 4170 21430 4226
+rect 21498 4170 21554 4226
+rect 21622 4170 21678 4226
+rect 21250 4046 21306 4102
+rect 21374 4046 21430 4102
+rect 21498 4046 21554 4102
+rect 21622 4046 21678 4102
+rect 21250 3922 21306 3978
+rect 21374 3922 21430 3978
+rect 21498 3922 21554 3978
+rect 21622 3922 21678 3978
+rect 21250 -216 21306 -160
+rect 21374 -216 21430 -160
+rect 21498 -216 21554 -160
+rect 21622 -216 21678 -160
+rect 21250 -340 21306 -284
+rect 21374 -340 21430 -284
+rect 21498 -340 21554 -284
+rect 21622 -340 21678 -284
+rect 21250 -464 21306 -408
+rect 21374 -464 21430 -408
+rect 21498 -464 21554 -408
+rect 21622 -464 21678 -408
+rect 21250 -588 21306 -532
+rect 21374 -588 21430 -532
+rect 21498 -588 21554 -532
+rect 21622 -588 21678 -532
 rect 24970 598116 25026 598172
 rect 25094 598116 25150 598172
 rect 25218 598116 25274 598172
@@ -62640,18 +62834,6 @@
 rect 25094 45922 25150 45978
 rect 25218 45922 25274 45978
 rect 25342 45922 25398 45978
-rect 24048 28294 24104 28350
-rect 24172 28294 24228 28350
-rect 24296 28294 24352 28350
-rect 24048 28170 24104 28226
-rect 24172 28170 24228 28226
-rect 24296 28170 24352 28226
-rect 24048 28046 24104 28102
-rect 24172 28046 24228 28102
-rect 24296 28046 24352 28102
-rect 24048 27922 24104 27978
-rect 24172 27922 24228 27978
-rect 24296 27922 24352 27978
 rect 24970 28294 25026 28350
 rect 25094 28294 25150 28350
 rect 25218 28294 25274 28350
@@ -62668,54 +62850,6 @@
 rect 25094 27922 25150 27978
 rect 25218 27922 25274 27978
 rect 25342 27922 25398 27978
-rect 21250 22294 21306 22350
-rect 21374 22294 21430 22350
-rect 21498 22294 21554 22350
-rect 21622 22294 21678 22350
-rect 21250 22170 21306 22226
-rect 21374 22170 21430 22226
-rect 21498 22170 21554 22226
-rect 21622 22170 21678 22226
-rect 21250 22046 21306 22102
-rect 21374 22046 21430 22102
-rect 21498 22046 21554 22102
-rect 21622 22046 21678 22102
-rect 21250 21922 21306 21978
-rect 21374 21922 21430 21978
-rect 21498 21922 21554 21978
-rect 21622 21922 21678 21978
-rect 21250 4294 21306 4350
-rect 21374 4294 21430 4350
-rect 21498 4294 21554 4350
-rect 21622 4294 21678 4350
-rect 21250 4170 21306 4226
-rect 21374 4170 21430 4226
-rect 21498 4170 21554 4226
-rect 21622 4170 21678 4226
-rect 21250 4046 21306 4102
-rect 21374 4046 21430 4102
-rect 21498 4046 21554 4102
-rect 21622 4046 21678 4102
-rect 21250 3922 21306 3978
-rect 21374 3922 21430 3978
-rect 21498 3922 21554 3978
-rect 21622 3922 21678 3978
-rect 21250 -216 21306 -160
-rect 21374 -216 21430 -160
-rect 21498 -216 21554 -160
-rect 21622 -216 21678 -160
-rect 21250 -340 21306 -284
-rect 21374 -340 21430 -284
-rect 21498 -340 21554 -284
-rect 21622 -340 21678 -284
-rect 21250 -464 21306 -408
-rect 21374 -464 21430 -408
-rect 21498 -464 21554 -408
-rect 21622 -464 21678 -408
-rect 21250 -588 21306 -532
-rect 21374 -588 21430 -532
-rect 21498 -588 21554 -532
-rect 21622 -588 21678 -532
 rect 39250 597156 39306 597212
 rect 39374 597156 39430 597212
 rect 39498 597156 39554 597212
@@ -63228,95 +63362,6 @@
 rect 39374 39922 39430 39978
 rect 39498 39922 39554 39978
 rect 39622 39922 39678 39978
-rect 30148 22319 30204 22375
-rect 30272 22319 30328 22375
-rect 30396 22319 30452 22375
-rect 30148 22195 30204 22251
-rect 30272 22195 30328 22251
-rect 30396 22195 30452 22251
-rect 30148 22071 30204 22127
-rect 30272 22071 30328 22127
-rect 30396 22071 30452 22127
-rect 39250 22294 39306 22350
-rect 39374 22294 39430 22350
-rect 39498 22294 39554 22350
-rect 39622 22294 39678 22350
-rect 39250 22170 39306 22226
-rect 39374 22170 39430 22226
-rect 39498 22170 39554 22226
-rect 39622 22170 39678 22226
-rect 39250 22046 39306 22102
-rect 39374 22046 39430 22102
-rect 39498 22046 39554 22102
-rect 39622 22046 39678 22102
-rect 24970 10294 25026 10350
-rect 25094 10294 25150 10350
-rect 25218 10294 25274 10350
-rect 25342 10294 25398 10350
-rect 24970 10170 25026 10226
-rect 25094 10170 25150 10226
-rect 25218 10170 25274 10226
-rect 25342 10170 25398 10226
-rect 24970 10046 25026 10102
-rect 25094 10046 25150 10102
-rect 25218 10046 25274 10102
-rect 25342 10046 25398 10102
-rect 24970 9922 25026 9978
-rect 25094 9922 25150 9978
-rect 25218 9922 25274 9978
-rect 25342 9922 25398 9978
-rect 24970 -1176 25026 -1120
-rect 25094 -1176 25150 -1120
-rect 25218 -1176 25274 -1120
-rect 25342 -1176 25398 -1120
-rect 24970 -1300 25026 -1244
-rect 25094 -1300 25150 -1244
-rect 25218 -1300 25274 -1244
-rect 25342 -1300 25398 -1244
-rect 24970 -1424 25026 -1368
-rect 25094 -1424 25150 -1368
-rect 25218 -1424 25274 -1368
-rect 25342 -1424 25398 -1368
-rect 24970 -1548 25026 -1492
-rect 25094 -1548 25150 -1492
-rect 25218 -1548 25274 -1492
-rect 25342 -1548 25398 -1492
-rect 39250 21922 39306 21978
-rect 39374 21922 39430 21978
-rect 39498 21922 39554 21978
-rect 39622 21922 39678 21978
-rect 39250 4294 39306 4350
-rect 39374 4294 39430 4350
-rect 39498 4294 39554 4350
-rect 39622 4294 39678 4350
-rect 39250 4170 39306 4226
-rect 39374 4170 39430 4226
-rect 39498 4170 39554 4226
-rect 39622 4170 39678 4226
-rect 39250 4046 39306 4102
-rect 39374 4046 39430 4102
-rect 39498 4046 39554 4102
-rect 39622 4046 39678 4102
-rect 39250 3922 39306 3978
-rect 39374 3922 39430 3978
-rect 39498 3922 39554 3978
-rect 39622 3922 39678 3978
-rect 39250 -216 39306 -160
-rect 39374 -216 39430 -160
-rect 39498 -216 39554 -160
-rect 39622 -216 39678 -160
-rect 39250 -340 39306 -284
-rect 39374 -340 39430 -284
-rect 39498 -340 39554 -284
-rect 39622 -340 39678 -284
-rect 39250 -464 39306 -408
-rect 39374 -464 39430 -408
-rect 39498 -464 39554 -408
-rect 39622 -464 39678 -408
-rect 39250 -588 39306 -532
-rect 39374 -588 39430 -532
-rect 39498 -588 39554 -532
-rect 39622 -588 39678 -532
 rect 42970 598116 43026 598172
 rect 43094 598116 43150 598172
 rect 43218 598116 43274 598172
@@ -63845,6 +63890,118 @@
 rect 43094 27922 43150 27978
 rect 43218 27922 43274 27978
 rect 43342 27922 43398 27978
+rect 39250 22294 39306 22350
+rect 39374 22294 39430 22350
+rect 39498 22294 39554 22350
+rect 39622 22294 39678 22350
+rect 39250 22170 39306 22226
+rect 39374 22170 39430 22226
+rect 39498 22170 39554 22226
+rect 39622 22170 39678 22226
+rect 39250 22046 39306 22102
+rect 39374 22046 39430 22102
+rect 39498 22046 39554 22102
+rect 39622 22046 39678 22102
+rect 39250 21922 39306 21978
+rect 39374 21922 39430 21978
+rect 39498 21922 39554 21978
+rect 39622 21922 39678 21978
+rect 24970 10294 25026 10350
+rect 25094 10294 25150 10350
+rect 25218 10294 25274 10350
+rect 25342 10294 25398 10350
+rect 24970 10170 25026 10226
+rect 25094 10170 25150 10226
+rect 25218 10170 25274 10226
+rect 25342 10170 25398 10226
+rect 24970 10046 25026 10102
+rect 25094 10046 25150 10102
+rect 25218 10046 25274 10102
+rect 25342 10046 25398 10102
+rect 24970 9922 25026 9978
+rect 25094 9922 25150 9978
+rect 25218 9922 25274 9978
+rect 25342 9922 25398 9978
+rect 27001 10294 27057 10350
+rect 27125 10294 27181 10350
+rect 27249 10294 27305 10350
+rect 27373 10294 27429 10350
+rect 27001 10170 27057 10226
+rect 27125 10170 27181 10226
+rect 27249 10170 27305 10226
+rect 27373 10170 27429 10226
+rect 27001 10046 27057 10102
+rect 27125 10046 27181 10102
+rect 27249 10046 27305 10102
+rect 27373 10046 27429 10102
+rect 27001 9922 27057 9978
+rect 27125 9922 27181 9978
+rect 27249 9922 27305 9978
+rect 27373 9922 27429 9978
+rect 24970 -1176 25026 -1120
+rect 25094 -1176 25150 -1120
+rect 25218 -1176 25274 -1120
+rect 25342 -1176 25398 -1120
+rect 24970 -1300 25026 -1244
+rect 25094 -1300 25150 -1244
+rect 25218 -1300 25274 -1244
+rect 25342 -1300 25398 -1244
+rect 24970 -1424 25026 -1368
+rect 25094 -1424 25150 -1368
+rect 25218 -1424 25274 -1368
+rect 25342 -1424 25398 -1368
+rect 24970 -1548 25026 -1492
+rect 25094 -1548 25150 -1492
+rect 25218 -1548 25274 -1492
+rect 25342 -1548 25398 -1492
+rect 40771 22294 40827 22350
+rect 40895 22294 40951 22350
+rect 41019 22294 41075 22350
+rect 41143 22294 41199 22350
+rect 40771 22170 40827 22226
+rect 40895 22170 40951 22226
+rect 41019 22170 41075 22226
+rect 41143 22170 41199 22226
+rect 40771 22046 40827 22102
+rect 40895 22046 40951 22102
+rect 41019 22046 41075 22102
+rect 41143 22046 41199 22102
+rect 40771 21922 40827 21978
+rect 40895 21922 40951 21978
+rect 41019 21922 41075 21978
+rect 41143 21922 41199 21978
+rect 39250 4294 39306 4350
+rect 39374 4294 39430 4350
+rect 39498 4294 39554 4350
+rect 39622 4294 39678 4350
+rect 39250 4170 39306 4226
+rect 39374 4170 39430 4226
+rect 39498 4170 39554 4226
+rect 39622 4170 39678 4226
+rect 39250 4046 39306 4102
+rect 39374 4046 39430 4102
+rect 39498 4046 39554 4102
+rect 39622 4046 39678 4102
+rect 39250 3922 39306 3978
+rect 39374 3922 39430 3978
+rect 39498 3922 39554 3978
+rect 39622 3922 39678 3978
+rect 39250 -216 39306 -160
+rect 39374 -216 39430 -160
+rect 39498 -216 39554 -160
+rect 39622 -216 39678 -160
+rect 39250 -340 39306 -284
+rect 39374 -340 39430 -284
+rect 39498 -340 39554 -284
+rect 39622 -340 39678 -284
+rect 39250 -464 39306 -408
+rect 39374 -464 39430 -408
+rect 39498 -464 39554 -408
+rect 39622 -464 39678 -408
+rect 39250 -588 39306 -532
+rect 39374 -588 39430 -532
+rect 39498 -588 39554 -532
+rect 39622 -588 39678 -532
 rect 42970 10294 43026 10350
 rect 43094 10294 43150 10350
 rect 43218 10294 43274 10350
@@ -135023,10 +135180,7 @@
 rect 7026 28294 7094 28350
 rect 7150 28294 7218 28350
 rect 7274 28294 7342 28350
-rect 7398 28294 24048 28350
-rect 24104 28294 24172 28350
-rect 24228 28294 24296 28350
-rect 24352 28294 24970 28350
+rect 7398 28294 24970 28350
 rect 25026 28294 25094 28350
 rect 25150 28294 25218 28350
 rect 25274 28294 25342 28350
@@ -135168,10 +135322,7 @@
 rect 7026 28170 7094 28226
 rect 7150 28170 7218 28226
 rect 7274 28170 7342 28226
-rect 7398 28170 24048 28226
-rect 24104 28170 24172 28226
-rect 24228 28170 24296 28226
-rect 24352 28170 24970 28226
+rect 7398 28170 24970 28226
 rect 25026 28170 25094 28226
 rect 25150 28170 25218 28226
 rect 25274 28170 25342 28226
@@ -135313,10 +135464,7 @@
 rect 7026 28046 7094 28102
 rect 7150 28046 7218 28102
 rect 7274 28046 7342 28102
-rect 7398 28046 24048 28102
-rect 24104 28046 24172 28102
-rect 24228 28046 24296 28102
-rect 24352 28046 24970 28102
+rect 7398 28046 24970 28102
 rect 25026 28046 25094 28102
 rect 25150 28046 25218 28102
 rect 25274 28046 25342 28102
@@ -135458,10 +135606,7 @@
 rect 7026 27922 7094 27978
 rect 7150 27922 7218 27978
 rect 7274 27922 7342 27978
-rect 7398 27922 24048 27978
-rect 24104 27922 24172 27978
-rect 24228 27922 24296 27978
-rect 24352 27922 24970 27978
+rect 7398 27922 24970 27978
 rect 25026 27922 25094 27978
 rect 25150 27922 25218 27978
 rect 25274 27922 25342 27978
@@ -135595,8 +135740,7 @@
 rect 597760 27922 597828 27978
 rect 597884 27922 597980 27978
 rect -1916 27826 597980 27922
-rect -1916 22375 597980 22446
-rect -1916 22350 30148 22375
+rect -1916 22350 597980 22446
 rect -1916 22294 -860 22350
 rect -804 22294 -736 22350
 rect -680 22294 -612 22350
@@ -135605,20 +135749,22 @@
 rect 3306 22294 3374 22350
 rect 3430 22294 3498 22350
 rect 3554 22294 3622 22350
-rect 3678 22294 21250 22350
+rect 3678 22294 17348 22350
+rect 17404 22294 17472 22350
+rect 17528 22294 17596 22350
+rect 17652 22294 21250 22350
 rect 21306 22294 21374 22350
 rect 21430 22294 21498 22350
 rect 21554 22294 21622 22350
-rect 21678 22319 30148 22350
-rect 30204 22319 30272 22375
-rect 30328 22319 30396 22375
-rect 30452 22350 597980 22375
-rect 30452 22319 39250 22350
-rect 21678 22294 39250 22319
+rect 21678 22294 39250 22350
 rect 39306 22294 39374 22350
 rect 39430 22294 39498 22350
 rect 39554 22294 39622 22350
-rect 39678 22294 57250 22350
+rect 39678 22294 40771 22350
+rect 40827 22294 40895 22350
+rect 40951 22294 41019 22350
+rect 41075 22294 41143 22350
+rect 41199 22294 57250 22350
 rect 57306 22294 57374 22350
 rect 57430 22294 57498 22350
 rect 57554 22294 57622 22350
@@ -135743,8 +135889,7 @@
 rect 596676 22294 596744 22350
 rect 596800 22294 596868 22350
 rect 596924 22294 597980 22350
-rect -1916 22251 597980 22294
-rect -1916 22226 30148 22251
+rect -1916 22226 597980 22294
 rect -1916 22170 -860 22226
 rect -804 22170 -736 22226
 rect -680 22170 -612 22226
@@ -135753,20 +135898,22 @@
 rect 3306 22170 3374 22226
 rect 3430 22170 3498 22226
 rect 3554 22170 3622 22226
-rect 3678 22170 21250 22226
+rect 3678 22170 17348 22226
+rect 17404 22170 17472 22226
+rect 17528 22170 17596 22226
+rect 17652 22170 21250 22226
 rect 21306 22170 21374 22226
 rect 21430 22170 21498 22226
 rect 21554 22170 21622 22226
-rect 21678 22195 30148 22226
-rect 30204 22195 30272 22251
-rect 30328 22195 30396 22251
-rect 30452 22226 597980 22251
-rect 30452 22195 39250 22226
-rect 21678 22170 39250 22195
+rect 21678 22170 39250 22226
 rect 39306 22170 39374 22226
 rect 39430 22170 39498 22226
 rect 39554 22170 39622 22226
-rect 39678 22170 57250 22226
+rect 39678 22170 40771 22226
+rect 40827 22170 40895 22226
+rect 40951 22170 41019 22226
+rect 41075 22170 41143 22226
+rect 41199 22170 57250 22226
 rect 57306 22170 57374 22226
 rect 57430 22170 57498 22226
 rect 57554 22170 57622 22226
@@ -135891,8 +136038,7 @@
 rect 596676 22170 596744 22226
 rect 596800 22170 596868 22226
 rect 596924 22170 597980 22226
-rect -1916 22127 597980 22170
-rect -1916 22102 30148 22127
+rect -1916 22102 597980 22170
 rect -1916 22046 -860 22102
 rect -804 22046 -736 22102
 rect -680 22046 -612 22102
@@ -135901,20 +136047,22 @@
 rect 3306 22046 3374 22102
 rect 3430 22046 3498 22102
 rect 3554 22046 3622 22102
-rect 3678 22046 21250 22102
+rect 3678 22046 17348 22102
+rect 17404 22046 17472 22102
+rect 17528 22046 17596 22102
+rect 17652 22046 21250 22102
 rect 21306 22046 21374 22102
 rect 21430 22046 21498 22102
 rect 21554 22046 21622 22102
-rect 21678 22071 30148 22102
-rect 30204 22071 30272 22127
-rect 30328 22071 30396 22127
-rect 30452 22102 597980 22127
-rect 30452 22071 39250 22102
-rect 21678 22046 39250 22071
+rect 21678 22046 39250 22102
 rect 39306 22046 39374 22102
 rect 39430 22046 39498 22102
 rect 39554 22046 39622 22102
-rect 39678 22046 57250 22102
+rect 39678 22046 40771 22102
+rect 40827 22046 40895 22102
+rect 40951 22046 41019 22102
+rect 41075 22046 41143 22102
+rect 41199 22046 57250 22102
 rect 57306 22046 57374 22102
 rect 57430 22046 57498 22102
 rect 57554 22046 57622 22102
@@ -136048,7 +136196,10 @@
 rect 3306 21922 3374 21978
 rect 3430 21922 3498 21978
 rect 3554 21922 3622 21978
-rect 3678 21922 21250 21978
+rect 3678 21922 17348 21978
+rect 17404 21922 17472 21978
+rect 17528 21922 17596 21978
+rect 17652 21922 21250 21978
 rect 21306 21922 21374 21978
 rect 21430 21922 21498 21978
 rect 21554 21922 21622 21978
@@ -136056,7 +136207,11 @@
 rect 39306 21922 39374 21978
 rect 39430 21922 39498 21978
 rect 39554 21922 39622 21978
-rect 39678 21922 57250 21978
+rect 39678 21922 40771 21978
+rect 40827 21922 40895 21978
+rect 40951 21922 41019 21978
+rect 41075 21922 41143 21978
+rect 41199 21922 57250 21978
 rect 57306 21922 57374 21978
 rect 57430 21922 57498 21978
 rect 57554 21922 57622 21978
@@ -136191,11 +136346,18 @@
 rect 7026 10294 7094 10350
 rect 7150 10294 7218 10350
 rect 7274 10294 7342 10350
-rect 7398 10294 24970 10350
+rect 7398 10294 11248 10350
+rect 11304 10294 11372 10350
+rect 11428 10294 11496 10350
+rect 11552 10294 24970 10350
 rect 25026 10294 25094 10350
 rect 25150 10294 25218 10350
 rect 25274 10294 25342 10350
-rect 25398 10294 42970 10350
+rect 25398 10294 27001 10350
+rect 27057 10294 27125 10350
+rect 27181 10294 27249 10350
+rect 27305 10294 27373 10350
+rect 27429 10294 42970 10350
 rect 43026 10294 43094 10350
 rect 43150 10294 43218 10350
 rect 43274 10294 43342 10350
@@ -136333,11 +136495,18 @@
 rect 7026 10170 7094 10226
 rect 7150 10170 7218 10226
 rect 7274 10170 7342 10226
-rect 7398 10170 24970 10226
+rect 7398 10170 11248 10226
+rect 11304 10170 11372 10226
+rect 11428 10170 11496 10226
+rect 11552 10170 24970 10226
 rect 25026 10170 25094 10226
 rect 25150 10170 25218 10226
 rect 25274 10170 25342 10226
-rect 25398 10170 42970 10226
+rect 25398 10170 27001 10226
+rect 27057 10170 27125 10226
+rect 27181 10170 27249 10226
+rect 27305 10170 27373 10226
+rect 27429 10170 42970 10226
 rect 43026 10170 43094 10226
 rect 43150 10170 43218 10226
 rect 43274 10170 43342 10226
@@ -136475,11 +136644,18 @@
 rect 7026 10046 7094 10102
 rect 7150 10046 7218 10102
 rect 7274 10046 7342 10102
-rect 7398 10046 24970 10102
+rect 7398 10046 11248 10102
+rect 11304 10046 11372 10102
+rect 11428 10046 11496 10102
+rect 11552 10046 24970 10102
 rect 25026 10046 25094 10102
 rect 25150 10046 25218 10102
 rect 25274 10046 25342 10102
-rect 25398 10046 42970 10102
+rect 25398 10046 27001 10102
+rect 27057 10046 27125 10102
+rect 27181 10046 27249 10102
+rect 27305 10046 27373 10102
+rect 27429 10046 42970 10102
 rect 43026 10046 43094 10102
 rect 43150 10046 43218 10102
 rect 43274 10046 43342 10102
@@ -136617,11 +136793,18 @@
 rect 7026 9922 7094 9978
 rect 7150 9922 7218 9978
 rect 7274 9922 7342 9978
-rect 7398 9922 24970 9978
+rect 7398 9922 11248 9978
+rect 11304 9922 11372 9978
+rect 11428 9922 11496 9978
+rect 11552 9922 24970 9978
 rect 25026 9922 25094 9978
 rect 25150 9922 25218 9978
 rect 25274 9922 25342 9978
-rect 25398 9922 42970 9978
+rect 25398 9922 27001 9978
+rect 27057 9922 27125 9978
+rect 27181 9922 27249 9978
+rect 27305 9922 27373 9978
+rect 27429 9922 42970 9978
 rect 43026 9922 43094 9978
 rect 43150 9922 43218 9978
 rect 43274 9922 43342 9978
@@ -138460,8 +138643,12 @@
 rect -1916 -1644 597980 -1548
 use skullfet_inverter  inv1
 timestamp 0
-transform 1 0 21500 0 1 21200
+transform 1 0 8700 0 1 8800
 box 2500 800 9000 13900
+use skullfet_nand  nand1
+timestamp 0
+transform 1 0 26000 0 1 8520
+box 405 1080 15795 14310
 << labels >>
 flabel metal3 s 595560 7112 597000 7336 0 FreeSans 896 0 0 0 io_in[0]
 port 0 nsew signal input
@@ -139575,10 +139762,13 @@
 port 416 nsew signal input
 flabel metal2 s 20888 -960 21112 480 0 FreeSans 896 90 0 0 wbs_we_i
 port 417 nsew signal input
-rlabel via4 30424 22347 30424 22347 0 vdd
-rlabel via4 25370 28322 25370 28322 0 vss
-rlabel metal3 593082 324296 593082 324296 0 io_in[8]
+rlabel via4 41171 22322 41171 22322 0 vdd
+rlabel via4 27401 10322 27401 10322 0 vss
+rlabel metal3 593082 403592 593082 403592 0 io_in[10]
+rlabel metal3 593194 324296 593194 324296 0 io_in[8]
+rlabel metal3 593138 363944 593138 363944 0 io_in[9]
 rlabel metal2 495992 595672 495992 595672 0 io_out[16]
+rlabel metal2 430136 294756 430136 294756 0 io_out[17]
 << properties >>
 string FIXED_BBOX 0 0 596040 596040
 << end >>
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 8100505..cc6f68d 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,1767 +1,1783 @@
 magic
 tech gf180mcuC
-magscale 1 5
-timestamp 1670106945
+magscale 1 10
+timestamp 1670149912
 << obsm1 >>
-rect 12000 11500 17631 17100
+rect 11200 9600 41255 21885
 << metal2 >>
-rect 5516 297780 5628 298500
-rect 16548 297780 16660 298500
-rect 27580 297780 27692 298500
-rect 38612 297780 38724 298500
-rect 49644 297780 49756 298500
-rect 60676 297780 60788 298500
-rect 71708 297780 71820 298500
-rect 82740 297780 82852 298500
-rect 93772 297780 93884 298500
-rect 104804 297780 104916 298500
-rect 115836 297780 115948 298500
-rect 126868 297780 126980 298500
-rect 137900 297780 138012 298500
-rect 148932 297780 149044 298500
-rect 159964 297780 160076 298500
-rect 170996 297780 171108 298500
-rect 182028 297780 182140 298500
-rect 193060 297780 193172 298500
-rect 204092 297780 204204 298500
-rect 215124 297780 215236 298500
-rect 226156 297780 226268 298500
-rect 237188 297780 237300 298500
-rect 248220 297780 248332 298500
-rect 259252 297780 259364 298500
-rect 270284 297780 270396 298500
-rect 281316 297780 281428 298500
-rect 292348 297780 292460 298500
-rect 5684 -480 5796 240
-rect 6636 -480 6748 240
-rect 7588 -480 7700 240
-rect 8540 -480 8652 240
-rect 9492 -480 9604 240
-rect 10444 -480 10556 240
-rect 11396 -480 11508 240
-rect 12348 -480 12460 240
-rect 13300 -480 13412 240
-rect 14252 -480 14364 240
-rect 15204 -480 15316 240
-rect 16156 -480 16268 240
-rect 17108 -480 17220 240
-rect 18060 -480 18172 240
-rect 19012 -480 19124 240
-rect 19964 -480 20076 240
-rect 20916 -480 21028 240
-rect 21868 -480 21980 240
-rect 22820 -480 22932 240
-rect 23772 -480 23884 240
-rect 24724 -480 24836 240
-rect 25676 -480 25788 240
-rect 26628 -480 26740 240
-rect 27580 -480 27692 240
-rect 28532 -480 28644 240
-rect 29484 -480 29596 240
-rect 30436 -480 30548 240
-rect 31388 -480 31500 240
-rect 32340 -480 32452 240
-rect 33292 -480 33404 240
-rect 34244 -480 34356 240
-rect 35196 -480 35308 240
-rect 36148 -480 36260 240
-rect 37100 -480 37212 240
-rect 38052 -480 38164 240
-rect 39004 -480 39116 240
-rect 39956 -480 40068 240
-rect 40908 -480 41020 240
-rect 41860 -480 41972 240
-rect 42812 -480 42924 240
-rect 43764 -480 43876 240
-rect 44716 -480 44828 240
-rect 45668 -480 45780 240
-rect 46620 -480 46732 240
-rect 47572 -480 47684 240
-rect 48524 -480 48636 240
-rect 49476 -480 49588 240
-rect 50428 -480 50540 240
-rect 51380 -480 51492 240
-rect 52332 -480 52444 240
-rect 53284 -480 53396 240
-rect 54236 -480 54348 240
-rect 55188 -480 55300 240
-rect 56140 -480 56252 240
-rect 57092 -480 57204 240
-rect 58044 -480 58156 240
-rect 58996 -480 59108 240
-rect 59948 -480 60060 240
-rect 60900 -480 61012 240
-rect 61852 -480 61964 240
-rect 62804 -480 62916 240
-rect 63756 -480 63868 240
-rect 64708 -480 64820 240
-rect 65660 -480 65772 240
-rect 66612 -480 66724 240
-rect 67564 -480 67676 240
-rect 68516 -480 68628 240
-rect 69468 -480 69580 240
-rect 70420 -480 70532 240
-rect 71372 -480 71484 240
-rect 72324 -480 72436 240
-rect 73276 -480 73388 240
-rect 74228 -480 74340 240
-rect 75180 -480 75292 240
-rect 76132 -480 76244 240
-rect 77084 -480 77196 240
-rect 78036 -480 78148 240
-rect 78988 -480 79100 240
-rect 79940 -480 80052 240
-rect 80892 -480 81004 240
-rect 81844 -480 81956 240
-rect 82796 -480 82908 240
-rect 83748 -480 83860 240
-rect 84700 -480 84812 240
-rect 85652 -480 85764 240
-rect 86604 -480 86716 240
-rect 87556 -480 87668 240
-rect 88508 -480 88620 240
-rect 89460 -480 89572 240
-rect 90412 -480 90524 240
-rect 91364 -480 91476 240
-rect 92316 -480 92428 240
-rect 93268 -480 93380 240
-rect 94220 -480 94332 240
-rect 95172 -480 95284 240
-rect 96124 -480 96236 240
-rect 97076 -480 97188 240
-rect 98028 -480 98140 240
-rect 98980 -480 99092 240
-rect 99932 -480 100044 240
-rect 100884 -480 100996 240
-rect 101836 -480 101948 240
-rect 102788 -480 102900 240
-rect 103740 -480 103852 240
-rect 104692 -480 104804 240
-rect 105644 -480 105756 240
-rect 106596 -480 106708 240
-rect 107548 -480 107660 240
-rect 108500 -480 108612 240
-rect 109452 -480 109564 240
-rect 110404 -480 110516 240
-rect 111356 -480 111468 240
-rect 112308 -480 112420 240
-rect 113260 -480 113372 240
-rect 114212 -480 114324 240
-rect 115164 -480 115276 240
-rect 116116 -480 116228 240
-rect 117068 -480 117180 240
-rect 118020 -480 118132 240
-rect 118972 -480 119084 240
-rect 119924 -480 120036 240
-rect 120876 -480 120988 240
-rect 121828 -480 121940 240
-rect 122780 -480 122892 240
-rect 123732 -480 123844 240
-rect 124684 -480 124796 240
-rect 125636 -480 125748 240
-rect 126588 -480 126700 240
-rect 127540 -480 127652 240
-rect 128492 -480 128604 240
-rect 129444 -480 129556 240
-rect 130396 -480 130508 240
-rect 131348 -480 131460 240
-rect 132300 -480 132412 240
-rect 133252 -480 133364 240
-rect 134204 -480 134316 240
-rect 135156 -480 135268 240
-rect 136108 -480 136220 240
-rect 137060 -480 137172 240
-rect 138012 -480 138124 240
-rect 138964 -480 139076 240
-rect 139916 -480 140028 240
-rect 140868 -480 140980 240
-rect 141820 -480 141932 240
-rect 142772 -480 142884 240
-rect 143724 -480 143836 240
-rect 144676 -480 144788 240
-rect 145628 -480 145740 240
-rect 146580 -480 146692 240
-rect 147532 -480 147644 240
-rect 148484 -480 148596 240
-rect 149436 -480 149548 240
-rect 150388 -480 150500 240
-rect 151340 -480 151452 240
-rect 152292 -480 152404 240
-rect 153244 -480 153356 240
-rect 154196 -480 154308 240
-rect 155148 -480 155260 240
-rect 156100 -480 156212 240
-rect 157052 -480 157164 240
-rect 158004 -480 158116 240
-rect 158956 -480 159068 240
-rect 159908 -480 160020 240
-rect 160860 -480 160972 240
-rect 161812 -480 161924 240
-rect 162764 -480 162876 240
-rect 163716 -480 163828 240
-rect 164668 -480 164780 240
-rect 165620 -480 165732 240
-rect 166572 -480 166684 240
-rect 167524 -480 167636 240
-rect 168476 -480 168588 240
-rect 169428 -480 169540 240
-rect 170380 -480 170492 240
-rect 171332 -480 171444 240
-rect 172284 -480 172396 240
-rect 173236 -480 173348 240
-rect 174188 -480 174300 240
-rect 175140 -480 175252 240
-rect 176092 -480 176204 240
-rect 177044 -480 177156 240
-rect 177996 -480 178108 240
-rect 178948 -480 179060 240
-rect 179900 -480 180012 240
-rect 180852 -480 180964 240
-rect 181804 -480 181916 240
-rect 182756 -480 182868 240
-rect 183708 -480 183820 240
-rect 184660 -480 184772 240
-rect 185612 -480 185724 240
-rect 186564 -480 186676 240
-rect 187516 -480 187628 240
-rect 188468 -480 188580 240
-rect 189420 -480 189532 240
-rect 190372 -480 190484 240
-rect 191324 -480 191436 240
-rect 192276 -480 192388 240
-rect 193228 -480 193340 240
-rect 194180 -480 194292 240
-rect 195132 -480 195244 240
-rect 196084 -480 196196 240
-rect 197036 -480 197148 240
-rect 197988 -480 198100 240
-rect 198940 -480 199052 240
-rect 199892 -480 200004 240
-rect 200844 -480 200956 240
-rect 201796 -480 201908 240
-rect 202748 -480 202860 240
-rect 203700 -480 203812 240
-rect 204652 -480 204764 240
-rect 205604 -480 205716 240
-rect 206556 -480 206668 240
-rect 207508 -480 207620 240
-rect 208460 -480 208572 240
-rect 209412 -480 209524 240
-rect 210364 -480 210476 240
-rect 211316 -480 211428 240
-rect 212268 -480 212380 240
-rect 213220 -480 213332 240
-rect 214172 -480 214284 240
-rect 215124 -480 215236 240
-rect 216076 -480 216188 240
-rect 217028 -480 217140 240
-rect 217980 -480 218092 240
-rect 218932 -480 219044 240
-rect 219884 -480 219996 240
-rect 220836 -480 220948 240
-rect 221788 -480 221900 240
-rect 222740 -480 222852 240
-rect 223692 -480 223804 240
-rect 224644 -480 224756 240
-rect 225596 -480 225708 240
-rect 226548 -480 226660 240
-rect 227500 -480 227612 240
-rect 228452 -480 228564 240
-rect 229404 -480 229516 240
-rect 230356 -480 230468 240
-rect 231308 -480 231420 240
-rect 232260 -480 232372 240
-rect 233212 -480 233324 240
-rect 234164 -480 234276 240
-rect 235116 -480 235228 240
-rect 236068 -480 236180 240
-rect 237020 -480 237132 240
-rect 237972 -480 238084 240
-rect 238924 -480 239036 240
-rect 239876 -480 239988 240
-rect 240828 -480 240940 240
-rect 241780 -480 241892 240
-rect 242732 -480 242844 240
-rect 243684 -480 243796 240
-rect 244636 -480 244748 240
-rect 245588 -480 245700 240
-rect 246540 -480 246652 240
-rect 247492 -480 247604 240
-rect 248444 -480 248556 240
-rect 249396 -480 249508 240
-rect 250348 -480 250460 240
-rect 251300 -480 251412 240
-rect 252252 -480 252364 240
-rect 253204 -480 253316 240
-rect 254156 -480 254268 240
-rect 255108 -480 255220 240
-rect 256060 -480 256172 240
-rect 257012 -480 257124 240
-rect 257964 -480 258076 240
-rect 258916 -480 259028 240
-rect 259868 -480 259980 240
-rect 260820 -480 260932 240
-rect 261772 -480 261884 240
-rect 262724 -480 262836 240
-rect 263676 -480 263788 240
-rect 264628 -480 264740 240
-rect 265580 -480 265692 240
-rect 266532 -480 266644 240
-rect 267484 -480 267596 240
-rect 268436 -480 268548 240
-rect 269388 -480 269500 240
-rect 270340 -480 270452 240
-rect 271292 -480 271404 240
-rect 272244 -480 272356 240
-rect 273196 -480 273308 240
-rect 274148 -480 274260 240
-rect 275100 -480 275212 240
-rect 276052 -480 276164 240
-rect 277004 -480 277116 240
-rect 277956 -480 278068 240
-rect 278908 -480 279020 240
-rect 279860 -480 279972 240
-rect 280812 -480 280924 240
-rect 281764 -480 281876 240
-rect 282716 -480 282828 240
-rect 283668 -480 283780 240
-rect 284620 -480 284732 240
-rect 285572 -480 285684 240
-rect 286524 -480 286636 240
-rect 287476 -480 287588 240
-rect 288428 -480 288540 240
-rect 289380 -480 289492 240
-rect 290332 -480 290444 240
-rect 291284 -480 291396 240
-rect 292236 -480 292348 240
+rect 11032 595560 11256 597000
+rect 33096 595560 33320 597000
+rect 55160 595560 55384 597000
+rect 77224 595560 77448 597000
+rect 99288 595560 99512 597000
+rect 121352 595560 121576 597000
+rect 143416 595560 143640 597000
+rect 165480 595560 165704 597000
+rect 187544 595560 187768 597000
+rect 209608 595560 209832 597000
+rect 231672 595560 231896 597000
+rect 253736 595560 253960 597000
+rect 275800 595560 276024 597000
+rect 297864 595560 298088 597000
+rect 319928 595560 320152 597000
+rect 341992 595560 342216 597000
+rect 364056 595560 364280 597000
+rect 386120 595560 386344 597000
+rect 408184 595560 408408 597000
+rect 430248 595560 430472 597000
+rect 452312 595560 452536 597000
+rect 474376 595560 474600 597000
+rect 496440 595560 496664 597000
+rect 518504 595560 518728 597000
+rect 540568 595560 540792 597000
+rect 562632 595560 562856 597000
+rect 584696 595560 584920 597000
+rect 11368 -960 11592 480
+rect 13272 -960 13496 480
+rect 15176 -960 15400 480
+rect 17080 -960 17304 480
+rect 18984 -960 19208 480
+rect 20888 -960 21112 480
+rect 22792 -960 23016 480
+rect 24696 -960 24920 480
+rect 26600 -960 26824 480
+rect 28504 -960 28728 480
+rect 30408 -960 30632 480
+rect 32312 -960 32536 480
+rect 34216 -960 34440 480
+rect 36120 -960 36344 480
+rect 38024 -960 38248 480
+rect 39928 -960 40152 480
+rect 41832 -960 42056 480
+rect 43736 -960 43960 480
+rect 45640 -960 45864 480
+rect 47544 -960 47768 480
+rect 49448 -960 49672 480
+rect 51352 -960 51576 480
+rect 53256 -960 53480 480
+rect 55160 -960 55384 480
+rect 57064 -960 57288 480
+rect 58968 -960 59192 480
+rect 60872 -960 61096 480
+rect 62776 -960 63000 480
+rect 64680 -960 64904 480
+rect 66584 -960 66808 480
+rect 68488 -960 68712 480
+rect 70392 -960 70616 480
+rect 72296 -960 72520 480
+rect 74200 -960 74424 480
+rect 76104 -960 76328 480
+rect 78008 -960 78232 480
+rect 79912 -960 80136 480
+rect 81816 -960 82040 480
+rect 83720 -960 83944 480
+rect 85624 -960 85848 480
+rect 87528 -960 87752 480
+rect 89432 -960 89656 480
+rect 91336 -960 91560 480
+rect 93240 -960 93464 480
+rect 95144 -960 95368 480
+rect 97048 -960 97272 480
+rect 98952 -960 99176 480
+rect 100856 -960 101080 480
+rect 102760 -960 102984 480
+rect 104664 -960 104888 480
+rect 106568 -960 106792 480
+rect 108472 -960 108696 480
+rect 110376 -960 110600 480
+rect 112280 -960 112504 480
+rect 114184 -960 114408 480
+rect 116088 -960 116312 480
+rect 117992 -960 118216 480
+rect 119896 -960 120120 480
+rect 121800 -960 122024 480
+rect 123704 -960 123928 480
+rect 125608 -960 125832 480
+rect 127512 -960 127736 480
+rect 129416 -960 129640 480
+rect 131320 -960 131544 480
+rect 133224 -960 133448 480
+rect 135128 -960 135352 480
+rect 137032 -960 137256 480
+rect 138936 -960 139160 480
+rect 140840 -960 141064 480
+rect 142744 -960 142968 480
+rect 144648 -960 144872 480
+rect 146552 -960 146776 480
+rect 148456 -960 148680 480
+rect 150360 -960 150584 480
+rect 152264 -960 152488 480
+rect 154168 -960 154392 480
+rect 156072 -960 156296 480
+rect 157976 -960 158200 480
+rect 159880 -960 160104 480
+rect 161784 -960 162008 480
+rect 163688 -960 163912 480
+rect 165592 -960 165816 480
+rect 167496 -960 167720 480
+rect 169400 -960 169624 480
+rect 171304 -960 171528 480
+rect 173208 -960 173432 480
+rect 175112 -960 175336 480
+rect 177016 -960 177240 480
+rect 178920 -960 179144 480
+rect 180824 -960 181048 480
+rect 182728 -960 182952 480
+rect 184632 -960 184856 480
+rect 186536 -960 186760 480
+rect 188440 -960 188664 480
+rect 190344 -960 190568 480
+rect 192248 -960 192472 480
+rect 194152 -960 194376 480
+rect 196056 -960 196280 480
+rect 197960 -960 198184 480
+rect 199864 -960 200088 480
+rect 201768 -960 201992 480
+rect 203672 -960 203896 480
+rect 205576 -960 205800 480
+rect 207480 -960 207704 480
+rect 209384 -960 209608 480
+rect 211288 -960 211512 480
+rect 213192 -960 213416 480
+rect 215096 -960 215320 480
+rect 217000 -960 217224 480
+rect 218904 -960 219128 480
+rect 220808 -960 221032 480
+rect 222712 -960 222936 480
+rect 224616 -960 224840 480
+rect 226520 -960 226744 480
+rect 228424 -960 228648 480
+rect 230328 -960 230552 480
+rect 232232 -960 232456 480
+rect 234136 -960 234360 480
+rect 236040 -960 236264 480
+rect 237944 -960 238168 480
+rect 239848 -960 240072 480
+rect 241752 -960 241976 480
+rect 243656 -960 243880 480
+rect 245560 -960 245784 480
+rect 247464 -960 247688 480
+rect 249368 -960 249592 480
+rect 251272 -960 251496 480
+rect 253176 -960 253400 480
+rect 255080 -960 255304 480
+rect 256984 -960 257208 480
+rect 258888 -960 259112 480
+rect 260792 -960 261016 480
+rect 262696 -960 262920 480
+rect 264600 -960 264824 480
+rect 266504 -960 266728 480
+rect 268408 -960 268632 480
+rect 270312 -960 270536 480
+rect 272216 -960 272440 480
+rect 274120 -960 274344 480
+rect 276024 -960 276248 480
+rect 277928 -960 278152 480
+rect 279832 -960 280056 480
+rect 281736 -960 281960 480
+rect 283640 -960 283864 480
+rect 285544 -960 285768 480
+rect 287448 -960 287672 480
+rect 289352 -960 289576 480
+rect 291256 -960 291480 480
+rect 293160 -960 293384 480
+rect 295064 -960 295288 480
+rect 296968 -960 297192 480
+rect 298872 -960 299096 480
+rect 300776 -960 301000 480
+rect 302680 -960 302904 480
+rect 304584 -960 304808 480
+rect 306488 -960 306712 480
+rect 308392 -960 308616 480
+rect 310296 -960 310520 480
+rect 312200 -960 312424 480
+rect 314104 -960 314328 480
+rect 316008 -960 316232 480
+rect 317912 -960 318136 480
+rect 319816 -960 320040 480
+rect 321720 -960 321944 480
+rect 323624 -960 323848 480
+rect 325528 -960 325752 480
+rect 327432 -960 327656 480
+rect 329336 -960 329560 480
+rect 331240 -960 331464 480
+rect 333144 -960 333368 480
+rect 335048 -960 335272 480
+rect 336952 -960 337176 480
+rect 338856 -960 339080 480
+rect 340760 -960 340984 480
+rect 342664 -960 342888 480
+rect 344568 -960 344792 480
+rect 346472 -960 346696 480
+rect 348376 -960 348600 480
+rect 350280 -960 350504 480
+rect 352184 -960 352408 480
+rect 354088 -960 354312 480
+rect 355992 -960 356216 480
+rect 357896 -960 358120 480
+rect 359800 -960 360024 480
+rect 361704 -960 361928 480
+rect 363608 -960 363832 480
+rect 365512 -960 365736 480
+rect 367416 -960 367640 480
+rect 369320 -960 369544 480
+rect 371224 -960 371448 480
+rect 373128 -960 373352 480
+rect 375032 -960 375256 480
+rect 376936 -960 377160 480
+rect 378840 -960 379064 480
+rect 380744 -960 380968 480
+rect 382648 -960 382872 480
+rect 384552 -960 384776 480
+rect 386456 -960 386680 480
+rect 388360 -960 388584 480
+rect 390264 -960 390488 480
+rect 392168 -960 392392 480
+rect 394072 -960 394296 480
+rect 395976 -960 396200 480
+rect 397880 -960 398104 480
+rect 399784 -960 400008 480
+rect 401688 -960 401912 480
+rect 403592 -960 403816 480
+rect 405496 -960 405720 480
+rect 407400 -960 407624 480
+rect 409304 -960 409528 480
+rect 411208 -960 411432 480
+rect 413112 -960 413336 480
+rect 415016 -960 415240 480
+rect 416920 -960 417144 480
+rect 418824 -960 419048 480
+rect 420728 -960 420952 480
+rect 422632 -960 422856 480
+rect 424536 -960 424760 480
+rect 426440 -960 426664 480
+rect 428344 -960 428568 480
+rect 430248 -960 430472 480
+rect 432152 -960 432376 480
+rect 434056 -960 434280 480
+rect 435960 -960 436184 480
+rect 437864 -960 438088 480
+rect 439768 -960 439992 480
+rect 441672 -960 441896 480
+rect 443576 -960 443800 480
+rect 445480 -960 445704 480
+rect 447384 -960 447608 480
+rect 449288 -960 449512 480
+rect 451192 -960 451416 480
+rect 453096 -960 453320 480
+rect 455000 -960 455224 480
+rect 456904 -960 457128 480
+rect 458808 -960 459032 480
+rect 460712 -960 460936 480
+rect 462616 -960 462840 480
+rect 464520 -960 464744 480
+rect 466424 -960 466648 480
+rect 468328 -960 468552 480
+rect 470232 -960 470456 480
+rect 472136 -960 472360 480
+rect 474040 -960 474264 480
+rect 475944 -960 476168 480
+rect 477848 -960 478072 480
+rect 479752 -960 479976 480
+rect 481656 -960 481880 480
+rect 483560 -960 483784 480
+rect 485464 -960 485688 480
+rect 487368 -960 487592 480
+rect 489272 -960 489496 480
+rect 491176 -960 491400 480
+rect 493080 -960 493304 480
+rect 494984 -960 495208 480
+rect 496888 -960 497112 480
+rect 498792 -960 499016 480
+rect 500696 -960 500920 480
+rect 502600 -960 502824 480
+rect 504504 -960 504728 480
+rect 506408 -960 506632 480
+rect 508312 -960 508536 480
+rect 510216 -960 510440 480
+rect 512120 -960 512344 480
+rect 514024 -960 514248 480
+rect 515928 -960 516152 480
+rect 517832 -960 518056 480
+rect 519736 -960 519960 480
+rect 521640 -960 521864 480
+rect 523544 -960 523768 480
+rect 525448 -960 525672 480
+rect 527352 -960 527576 480
+rect 529256 -960 529480 480
+rect 531160 -960 531384 480
+rect 533064 -960 533288 480
+rect 534968 -960 535192 480
+rect 536872 -960 537096 480
+rect 538776 -960 539000 480
+rect 540680 -960 540904 480
+rect 542584 -960 542808 480
+rect 544488 -960 544712 480
+rect 546392 -960 546616 480
+rect 548296 -960 548520 480
+rect 550200 -960 550424 480
+rect 552104 -960 552328 480
+rect 554008 -960 554232 480
+rect 555912 -960 556136 480
+rect 557816 -960 558040 480
+rect 559720 -960 559944 480
+rect 561624 -960 561848 480
+rect 563528 -960 563752 480
+rect 565432 -960 565656 480
+rect 567336 -960 567560 480
+rect 569240 -960 569464 480
+rect 571144 -960 571368 480
+rect 573048 -960 573272 480
+rect 574952 -960 575176 480
+rect 576856 -960 577080 480
+rect 578760 -960 578984 480
+rect 580664 -960 580888 480
+rect 582568 -960 582792 480
+rect 584472 -960 584696 480
 << obsm2 >>
-rect 12000 297750 16518 297850
-rect 16690 297750 27550 297850
-rect 27722 297750 38582 297850
-rect 38754 297750 49614 297850
-rect 49786 297750 60646 297850
-rect 60818 297750 71678 297850
-rect 71850 297750 82710 297850
-rect 82882 297750 93742 297850
-rect 93914 297750 104774 297850
-rect 104946 297750 115806 297850
-rect 115978 297750 126838 297850
-rect 127010 297750 137870 297850
-rect 138042 297750 148902 297850
-rect 149074 297750 159934 297850
-rect 160106 297750 170966 297850
-rect 171138 297750 181998 297850
-rect 182170 297750 193030 297850
-rect 193202 297750 204062 297850
-rect 204234 297750 215094 297850
-rect 215266 297750 226126 297850
-rect 226298 297750 237158 297850
-rect 237330 297750 248190 297850
-rect 248362 297750 259222 297850
-rect 259394 297750 270254 297850
-rect 270426 297750 281286 297850
-rect 281458 297750 292318 297850
-rect 292490 297750 295274 297850
-rect 12000 11175 295274 297750
+rect 11316 595500 33036 595700
+rect 33380 595500 55100 595700
+rect 55444 595500 77164 595700
+rect 77508 595500 99228 595700
+rect 99572 595500 121292 595700
+rect 121636 595500 143356 595700
+rect 143700 595500 165420 595700
+rect 165764 595500 187484 595700
+rect 187828 595500 209548 595700
+rect 209892 595500 231612 595700
+rect 231956 595500 253676 595700
+rect 254020 595500 275740 595700
+rect 276084 595500 297804 595700
+rect 298148 595500 319868 595700
+rect 320212 595500 341932 595700
+rect 342276 595500 363996 595700
+rect 364340 595500 386060 595700
+rect 386404 595500 408124 595700
+rect 408468 595500 430188 595700
+rect 430532 595500 452252 595700
+rect 452596 595500 474316 595700
+rect 474660 595500 496380 595700
+rect 496724 595500 518444 595700
+rect 518788 595500 540508 595700
+rect 540852 595500 562572 595700
+rect 562916 595500 584636 595700
+rect 584980 595500 590772 595700
+rect 11200 8306 590772 595500
 << metal3 >>
-rect 297780 294308 298500 294420
-rect -480 293580 240 293692
-rect 297780 287700 298500 287812
-rect -480 286524 240 286636
-rect 297780 281092 298500 281204
-rect -480 279468 240 279580
-rect 297780 274484 298500 274596
-rect -480 272412 240 272524
-rect 297780 267876 298500 267988
-rect -480 265356 240 265468
-rect 297780 261268 298500 261380
-rect -480 258300 240 258412
-rect 297780 254660 298500 254772
-rect -480 251244 240 251356
-rect 297780 248052 298500 248164
-rect -480 244188 240 244300
-rect 297780 241444 298500 241556
-rect -480 237132 240 237244
-rect 297780 234836 298500 234948
-rect -480 230076 240 230188
-rect 297780 228228 298500 228340
-rect -480 223020 240 223132
-rect 297780 221620 298500 221732
-rect -480 215964 240 216076
-rect 297780 215012 298500 215124
-rect -480 208908 240 209020
-rect 297780 208404 298500 208516
-rect -480 201852 240 201964
-rect 297780 201796 298500 201908
-rect 297780 195188 298500 195300
-rect -480 194796 240 194908
-rect 297780 188580 298500 188692
-rect -480 187740 240 187852
-rect 297780 181972 298500 182084
-rect -480 180684 240 180796
-rect 297780 175364 298500 175476
-rect -480 173628 240 173740
-rect 297780 168756 298500 168868
-rect -480 166572 240 166684
-rect 297780 162148 298500 162260
-rect -480 159516 240 159628
-rect 297780 155540 298500 155652
-rect -480 152460 240 152572
-rect 297780 148932 298500 149044
-rect -480 145404 240 145516
-rect 297780 142324 298500 142436
-rect -480 138348 240 138460
-rect 297780 135716 298500 135828
-rect -480 131292 240 131404
-rect 297780 129108 298500 129220
-rect -480 124236 240 124348
-rect 297780 122500 298500 122612
-rect -480 117180 240 117292
-rect 297780 115892 298500 116004
-rect -480 110124 240 110236
-rect 297780 109284 298500 109396
-rect -480 103068 240 103180
-rect 297780 102676 298500 102788
-rect -480 96012 240 96124
-rect 297780 96068 298500 96180
-rect 297780 89460 298500 89572
-rect -480 88956 240 89068
-rect 297780 82852 298500 82964
-rect -480 81900 240 82012
-rect 297780 76244 298500 76356
-rect -480 74844 240 74956
-rect 297780 69636 298500 69748
-rect -480 67788 240 67900
-rect 297780 63028 298500 63140
-rect -480 60732 240 60844
-rect 297780 56420 298500 56532
-rect -480 53676 240 53788
-rect 297780 49812 298500 49924
-rect -480 46620 240 46732
-rect 297780 43204 298500 43316
-rect -480 39564 240 39676
-rect 297780 36596 298500 36708
-rect -480 32508 240 32620
-rect 297780 29988 298500 30100
-rect -480 25452 240 25564
-rect 297780 23380 298500 23492
-rect -480 18396 240 18508
-rect 297780 16772 298500 16884
-rect -480 11340 240 11452
-rect 297780 10164 298500 10276
-rect -480 4284 240 4396
-rect 297780 3556 298500 3668
+rect 595560 588616 597000 588840
+rect -960 587160 480 587384
+rect 595560 575400 597000 575624
+rect -960 573048 480 573272
+rect 595560 562184 597000 562408
+rect -960 558936 480 559160
+rect 595560 548968 597000 549192
+rect -960 544824 480 545048
+rect 595560 535752 597000 535976
+rect -960 530712 480 530936
+rect 595560 522536 597000 522760
+rect -960 516600 480 516824
+rect 595560 509320 597000 509544
+rect -960 502488 480 502712
+rect 595560 496104 597000 496328
+rect -960 488376 480 488600
+rect 595560 482888 597000 483112
+rect -960 474264 480 474488
+rect 595560 469672 597000 469896
+rect -960 460152 480 460376
+rect 595560 456456 597000 456680
+rect -960 446040 480 446264
+rect 595560 443240 597000 443464
+rect -960 431928 480 432152
+rect 595560 430024 597000 430248
+rect -960 417816 480 418040
+rect 595560 416808 597000 417032
+rect -960 403704 480 403928
+rect 595560 403592 597000 403816
+rect 595560 390376 597000 390600
+rect -960 389592 480 389816
+rect 595560 377160 597000 377384
+rect -960 375480 480 375704
+rect 595560 363944 597000 364168
+rect -960 361368 480 361592
+rect 595560 350728 597000 350952
+rect -960 347256 480 347480
+rect 595560 337512 597000 337736
+rect -960 333144 480 333368
+rect 595560 324296 597000 324520
+rect -960 319032 480 319256
+rect 595560 311080 597000 311304
+rect -960 304920 480 305144
+rect 595560 297864 597000 298088
+rect -960 290808 480 291032
+rect 595560 284648 597000 284872
+rect -960 276696 480 276920
+rect 595560 271432 597000 271656
+rect -960 262584 480 262808
+rect 595560 258216 597000 258440
+rect -960 248472 480 248696
+rect 595560 245000 597000 245224
+rect -960 234360 480 234584
+rect 595560 231784 597000 232008
+rect -960 220248 480 220472
+rect 595560 218568 597000 218792
+rect -960 206136 480 206360
+rect 595560 205352 597000 205576
+rect -960 192024 480 192248
+rect 595560 192136 597000 192360
+rect 595560 178920 597000 179144
+rect -960 177912 480 178136
+rect 595560 165704 597000 165928
+rect -960 163800 480 164024
+rect 595560 152488 597000 152712
+rect -960 149688 480 149912
+rect 595560 139272 597000 139496
+rect -960 135576 480 135800
+rect 595560 126056 597000 126280
+rect -960 121464 480 121688
+rect 595560 112840 597000 113064
+rect -960 107352 480 107576
+rect 595560 99624 597000 99848
+rect -960 93240 480 93464
+rect 595560 86408 597000 86632
+rect -960 79128 480 79352
+rect 595560 73192 597000 73416
+rect -960 65016 480 65240
+rect 595560 59976 597000 60200
+rect -960 50904 480 51128
+rect 595560 46760 597000 46984
+rect -960 36792 480 37016
+rect 595560 33544 597000 33768
+rect -960 22680 480 22904
+rect 595560 20328 597000 20552
+rect -960 8568 480 8792
+rect 595560 7112 597000 7336
 << obsm3 >>
-rect 12000 162118 297750 162162
-rect 12000 155682 297836 162118
-rect 12000 155510 297750 155682
-rect 12000 149074 297836 155510
-rect 12000 148902 297750 149074
-rect 12000 142466 297836 148902
-rect 12000 142294 297750 142466
-rect 12000 135858 297836 142294
-rect 12000 135686 297750 135858
-rect 12000 129250 297836 135686
-rect 12000 129078 297750 129250
-rect 12000 122642 297836 129078
-rect 12000 122470 297750 122642
-rect 12000 116034 297836 122470
-rect 12000 115862 297750 116034
-rect 12000 109426 297836 115862
-rect 12000 109254 297750 109426
-rect 12000 102818 297836 109254
-rect 12000 102646 297750 102818
-rect 12000 96210 297836 102646
-rect 12000 96038 297750 96210
-rect 12000 89602 297836 96038
-rect 12000 89430 297750 89602
-rect 12000 82994 297836 89430
-rect 12000 82822 297750 82994
-rect 12000 76386 297836 82822
-rect 12000 76214 297750 76386
-rect 12000 69778 297836 76214
-rect 12000 69606 297750 69778
-rect 12000 63170 297836 69606
-rect 12000 62998 297750 63170
-rect 12000 56562 297836 62998
-rect 12000 56390 297750 56562
-rect 12000 49954 297836 56390
-rect 12000 49782 297750 49954
-rect 12000 43346 297836 49782
-rect 12000 43174 297750 43346
-rect 12000 36738 297836 43174
-rect 12000 36566 297750 36738
-rect 12000 30130 297836 36566
-rect 12000 29958 297750 30130
-rect 12000 23522 297836 29958
-rect 12000 23350 297750 23522
-rect 12000 16914 297836 23350
-rect 12000 16742 297750 16914
-rect 12000 11500 297836 16742
+rect 11200 403532 595500 403620
+rect 11200 390660 595672 403532
+rect 11200 390316 595500 390660
+rect 11200 377444 595672 390316
+rect 11200 377100 595500 377444
+rect 11200 364228 595672 377100
+rect 11200 363884 595500 364228
+rect 11200 351012 595672 363884
+rect 11200 350668 595500 351012
+rect 11200 337796 595672 350668
+rect 11200 337452 595500 337796
+rect 11200 324580 595672 337452
+rect 11200 324236 595500 324580
+rect 11200 311364 595672 324236
+rect 11200 311020 595500 311364
+rect 11200 298148 595672 311020
+rect 11200 297804 595500 298148
+rect 11200 284932 595672 297804
+rect 11200 284588 595500 284932
+rect 11200 271716 595672 284588
+rect 11200 271372 595500 271716
+rect 11200 258500 595672 271372
+rect 11200 258156 595500 258500
+rect 11200 245284 595672 258156
+rect 11200 244940 595500 245284
+rect 11200 232068 595672 244940
+rect 11200 231724 595500 232068
+rect 11200 218852 595672 231724
+rect 11200 218508 595500 218852
+rect 11200 205636 595672 218508
+rect 11200 205292 595500 205636
+rect 11200 192420 595672 205292
+rect 11200 192076 595500 192420
+rect 11200 179204 595672 192076
+rect 11200 178860 595500 179204
+rect 11200 165988 595672 178860
+rect 11200 165644 595500 165988
+rect 11200 152772 595672 165644
+rect 11200 152428 595500 152772
+rect 11200 139556 595672 152428
+rect 11200 139212 595500 139556
+rect 11200 126340 595672 139212
+rect 11200 125996 595500 126340
+rect 11200 113124 595672 125996
+rect 11200 112780 595500 113124
+rect 11200 99908 595672 112780
+rect 11200 99564 595500 99908
+rect 11200 86692 595672 99564
+rect 11200 86348 595500 86692
+rect 11200 73476 595672 86348
+rect 11200 73132 595500 73476
+rect 11200 60260 595672 73132
+rect 11200 59916 595500 60260
+rect 11200 47044 595672 59916
+rect 11200 46700 595500 47044
+rect 11200 33828 595672 46700
+rect 11200 33484 595500 33828
+rect 11200 20612 595672 33484
+rect 11200 20268 595500 20612
+rect 11200 8316 595672 20268
 << metal4 >>
-rect -958 -822 -648 299134
-rect -478 -342 -168 298654
-rect 1577 -822 1887 299134
-rect 3437 -822 3747 299134
-rect 10577 -822 10887 299134
-rect 12437 -822 12747 299134
-rect 19577 -822 19887 299134
-rect 21437 -822 21747 299134
-rect 28577 -822 28887 299134
-rect 30437 -822 30747 299134
-rect 37577 -822 37887 299134
-rect 39437 -822 39747 299134
-rect 46577 -822 46887 299134
-rect 48437 -822 48747 299134
-rect 55577 -822 55887 299134
-rect 57437 -822 57747 299134
-rect 64577 -822 64887 299134
-rect 66437 -822 66747 299134
-rect 73577 -822 73887 299134
-rect 75437 -822 75747 299134
-rect 82577 -822 82887 299134
-rect 84437 -822 84747 299134
-rect 91577 -822 91887 299134
-rect 93437 -822 93747 299134
-rect 100577 -822 100887 299134
-rect 102437 -822 102747 299134
-rect 109577 -822 109887 299134
-rect 111437 -822 111747 299134
-rect 118577 -822 118887 299134
-rect 120437 -822 120747 299134
-rect 127577 -822 127887 299134
-rect 129437 -822 129747 299134
-rect 136577 -822 136887 299134
-rect 138437 -822 138747 299134
-rect 145577 -822 145887 299134
-rect 147437 -822 147747 299134
-rect 154577 -822 154887 299134
-rect 156437 -822 156747 299134
-rect 163577 -822 163887 299134
-rect 165437 -822 165747 299134
-rect 172577 -822 172887 299134
-rect 174437 -822 174747 299134
-rect 181577 -822 181887 299134
-rect 183437 -822 183747 299134
-rect 190577 -822 190887 299134
-rect 192437 -822 192747 299134
-rect 199577 -822 199887 299134
-rect 201437 -822 201747 299134
-rect 208577 -822 208887 299134
-rect 210437 -822 210747 299134
-rect 217577 -822 217887 299134
-rect 219437 -822 219747 299134
-rect 226577 -822 226887 299134
-rect 228437 -822 228747 299134
-rect 235577 -822 235887 299134
-rect 237437 -822 237747 299134
-rect 244577 -822 244887 299134
-rect 246437 -822 246747 299134
-rect 253577 -822 253887 299134
-rect 255437 -822 255747 299134
-rect 262577 -822 262887 299134
-rect 264437 -822 264747 299134
-rect 271577 -822 271887 299134
-rect 273437 -822 273747 299134
-rect 280577 -822 280887 299134
-rect 282437 -822 282747 299134
-rect 289577 -822 289887 299134
-rect 291437 -822 291747 299134
-rect 298200 -342 298510 298654
-rect 298680 -822 298990 299134
+rect -1916 -1644 -1296 598268
+rect -956 -684 -336 597308
+rect 3154 -1644 3774 598268
+rect 6874 -1644 7494 598268
+rect 21154 -1644 21774 598268
+rect 24874 -1644 25494 598268
+rect 39154 -1644 39774 598268
+rect 42874 -1644 43494 598268
+rect 57154 -1644 57774 598268
+rect 60874 -1644 61494 598268
+rect 75154 -1644 75774 598268
+rect 78874 -1644 79494 598268
+rect 93154 -1644 93774 598268
+rect 96874 -1644 97494 598268
+rect 111154 -1644 111774 598268
+rect 114874 -1644 115494 598268
+rect 129154 -1644 129774 598268
+rect 132874 -1644 133494 598268
+rect 147154 -1644 147774 598268
+rect 150874 -1644 151494 598268
+rect 165154 -1644 165774 598268
+rect 168874 -1644 169494 598268
+rect 183154 -1644 183774 598268
+rect 186874 -1644 187494 598268
+rect 201154 -1644 201774 598268
+rect 204874 -1644 205494 598268
+rect 219154 -1644 219774 598268
+rect 222874 -1644 223494 598268
+rect 237154 -1644 237774 598268
+rect 240874 -1644 241494 598268
+rect 255154 -1644 255774 598268
+rect 258874 -1644 259494 598268
+rect 273154 -1644 273774 598268
+rect 276874 -1644 277494 598268
+rect 291154 -1644 291774 598268
+rect 294874 -1644 295494 598268
+rect 309154 -1644 309774 598268
+rect 312874 -1644 313494 598268
+rect 327154 -1644 327774 598268
+rect 330874 -1644 331494 598268
+rect 345154 -1644 345774 598268
+rect 348874 -1644 349494 598268
+rect 363154 -1644 363774 598268
+rect 366874 -1644 367494 598268
+rect 381154 -1644 381774 598268
+rect 384874 -1644 385494 598268
+rect 399154 -1644 399774 598268
+rect 402874 -1644 403494 598268
+rect 417154 -1644 417774 598268
+rect 420874 -1644 421494 598268
+rect 435154 -1644 435774 598268
+rect 438874 -1644 439494 598268
+rect 453154 -1644 453774 598268
+rect 456874 -1644 457494 598268
+rect 471154 -1644 471774 598268
+rect 474874 -1644 475494 598268
+rect 489154 -1644 489774 598268
+rect 492874 -1644 493494 598268
+rect 507154 -1644 507774 598268
+rect 510874 -1644 511494 598268
+rect 525154 -1644 525774 598268
+rect 528874 -1644 529494 598268
+rect 543154 -1644 543774 598268
+rect 546874 -1644 547494 598268
+rect 561154 -1644 561774 598268
+rect 564874 -1644 565494 598268
+rect 579154 -1644 579774 598268
+rect 582874 -1644 583494 598268
+rect 596400 -684 597020 597308
+rect 597360 -1644 597980 598268
 << obsm4 >>
-rect 12000 11000 12407 17550
-rect 12777 11000 15250 17550
+rect 11200 9600 21094 22830
+rect 21834 9600 24814 22830
+rect 25554 9600 39094 22830
+rect 39834 9600 41255 22830
 << metal5 >>
-rect -958 298824 298990 299134
-rect -478 298344 298510 298654
-rect -958 292913 298990 293223
-rect -958 289913 298990 290223
-rect -958 283913 298990 284223
-rect -958 280913 298990 281223
-rect -958 274913 298990 275223
-rect -958 271913 298990 272223
-rect -958 265913 298990 266223
-rect -958 262913 298990 263223
-rect -958 256913 298990 257223
-rect -958 253913 298990 254223
-rect -958 247913 298990 248223
-rect -958 244913 298990 245223
-rect -958 238913 298990 239223
-rect -958 235913 298990 236223
-rect -958 229913 298990 230223
-rect -958 226913 298990 227223
-rect -958 220913 298990 221223
-rect -958 217913 298990 218223
-rect -958 211913 298990 212223
-rect -958 208913 298990 209223
-rect -958 202913 298990 203223
-rect -958 199913 298990 200223
-rect -958 193913 298990 194223
-rect -958 190913 298990 191223
-rect -958 184913 298990 185223
-rect -958 181913 298990 182223
-rect -958 175913 298990 176223
-rect -958 172913 298990 173223
-rect -958 166913 298990 167223
-rect -958 163913 298990 164223
-rect -958 157913 298990 158223
-rect -958 154913 298990 155223
-rect -958 148913 298990 149223
-rect -958 145913 298990 146223
-rect -958 139913 298990 140223
-rect -958 136913 298990 137223
-rect -958 130913 298990 131223
-rect -958 127913 298990 128223
-rect -958 121913 298990 122223
-rect -958 118913 298990 119223
-rect -958 112913 298990 113223
-rect -958 109913 298990 110223
-rect -958 103913 298990 104223
-rect -958 100913 298990 101223
-rect -958 94913 298990 95223
-rect -958 91913 298990 92223
-rect -958 85913 298990 86223
-rect -958 82913 298990 83223
-rect -958 76913 298990 77223
-rect -958 73913 298990 74223
-rect -958 67913 298990 68223
-rect -958 64913 298990 65223
-rect -958 58913 298990 59223
-rect -958 55913 298990 56223
-rect -958 49913 298990 50223
-rect -958 46913 298990 47223
-rect -958 40913 298990 41223
-rect -958 37913 298990 38223
-rect -958 31913 298990 32223
-rect -958 28913 298990 29223
-rect -958 22913 298990 23223
-rect -958 19913 298990 20223
-rect -958 13913 298990 14223
-rect -958 10913 298990 11223
-rect -958 4913 298990 5223
-rect -958 1913 298990 2223
-rect -478 -342 298510 -32
-rect -958 -822 298990 -512
+rect -1916 597648 597980 598268
+rect -956 596688 597020 597308
+rect -1916 585826 597980 586446
+rect -1916 579826 597980 580446
+rect -1916 567826 597980 568446
+rect -1916 561826 597980 562446
+rect -1916 549826 597980 550446
+rect -1916 543826 597980 544446
+rect -1916 531826 597980 532446
+rect -1916 525826 597980 526446
+rect -1916 513826 597980 514446
+rect -1916 507826 597980 508446
+rect -1916 495826 597980 496446
+rect -1916 489826 597980 490446
+rect -1916 477826 597980 478446
+rect -1916 471826 597980 472446
+rect -1916 459826 597980 460446
+rect -1916 453826 597980 454446
+rect -1916 441826 597980 442446
+rect -1916 435826 597980 436446
+rect -1916 423826 597980 424446
+rect -1916 417826 597980 418446
+rect -1916 405826 597980 406446
+rect -1916 399826 597980 400446
+rect -1916 387826 597980 388446
+rect -1916 381826 597980 382446
+rect -1916 369826 597980 370446
+rect -1916 363826 597980 364446
+rect -1916 351826 597980 352446
+rect -1916 345826 597980 346446
+rect -1916 333826 597980 334446
+rect -1916 327826 597980 328446
+rect -1916 315826 597980 316446
+rect -1916 309826 597980 310446
+rect -1916 297826 597980 298446
+rect -1916 291826 597980 292446
+rect -1916 279826 597980 280446
+rect -1916 273826 597980 274446
+rect -1916 261826 597980 262446
+rect -1916 255826 597980 256446
+rect -1916 243826 597980 244446
+rect -1916 237826 597980 238446
+rect -1916 225826 597980 226446
+rect -1916 219826 597980 220446
+rect -1916 207826 597980 208446
+rect -1916 201826 597980 202446
+rect -1916 189826 597980 190446
+rect -1916 183826 597980 184446
+rect -1916 171826 597980 172446
+rect -1916 165826 597980 166446
+rect -1916 153826 597980 154446
+rect -1916 147826 597980 148446
+rect -1916 135826 597980 136446
+rect -1916 129826 597980 130446
+rect -1916 117826 597980 118446
+rect -1916 111826 597980 112446
+rect -1916 99826 597980 100446
+rect -1916 93826 597980 94446
+rect -1916 81826 597980 82446
+rect -1916 75826 597980 76446
+rect -1916 63826 597980 64446
+rect -1916 57826 597980 58446
+rect -1916 45826 597980 46446
+rect -1916 39826 597980 40446
+rect -1916 27826 597980 28446
+rect -1916 21826 597980 22446
+rect -1916 9826 597980 10446
+rect -1916 3826 597980 4446
+rect -956 -684 597020 -64
+rect -1916 -1644 597980 -1024
 << labels >>
-rlabel metal3 s 297780 3556 298500 3668 6 io_in[0]
+rlabel metal3 s 595560 7112 597000 7336 6 io_in[0]
 port 1 nsew signal input
-rlabel metal3 s 297780 201796 298500 201908 6 io_in[10]
+rlabel metal3 s 595560 403592 597000 403816 6 io_in[10]
 port 2 nsew signal input
-rlabel metal3 s 297780 221620 298500 221732 6 io_in[11]
+rlabel metal3 s 595560 443240 597000 443464 6 io_in[11]
 port 3 nsew signal input
-rlabel metal3 s 297780 241444 298500 241556 6 io_in[12]
+rlabel metal3 s 595560 482888 597000 483112 6 io_in[12]
 port 4 nsew signal input
-rlabel metal3 s 297780 261268 298500 261380 6 io_in[13]
+rlabel metal3 s 595560 522536 597000 522760 6 io_in[13]
 port 5 nsew signal input
-rlabel metal3 s 297780 281092 298500 281204 6 io_in[14]
+rlabel metal3 s 595560 562184 597000 562408 6 io_in[14]
 port 6 nsew signal input
-rlabel metal2 s 292348 297780 292460 298500 6 io_in[15]
+rlabel metal2 s 584696 595560 584920 597000 6 io_in[15]
 port 7 nsew signal input
-rlabel metal2 s 259252 297780 259364 298500 6 io_in[16]
+rlabel metal2 s 518504 595560 518728 597000 6 io_in[16]
 port 8 nsew signal input
-rlabel metal2 s 226156 297780 226268 298500 6 io_in[17]
+rlabel metal2 s 452312 595560 452536 597000 6 io_in[17]
 port 9 nsew signal input
-rlabel metal2 s 193060 297780 193172 298500 6 io_in[18]
+rlabel metal2 s 386120 595560 386344 597000 6 io_in[18]
 port 10 nsew signal input
-rlabel metal2 s 159964 297780 160076 298500 6 io_in[19]
+rlabel metal2 s 319928 595560 320152 597000 6 io_in[19]
 port 11 nsew signal input
-rlabel metal3 s 297780 23380 298500 23492 6 io_in[1]
+rlabel metal3 s 595560 46760 597000 46984 6 io_in[1]
 port 12 nsew signal input
-rlabel metal2 s 126868 297780 126980 298500 6 io_in[20]
+rlabel metal2 s 253736 595560 253960 597000 6 io_in[20]
 port 13 nsew signal input
-rlabel metal2 s 93772 297780 93884 298500 6 io_in[21]
+rlabel metal2 s 187544 595560 187768 597000 6 io_in[21]
 port 14 nsew signal input
-rlabel metal2 s 60676 297780 60788 298500 6 io_in[22]
+rlabel metal2 s 121352 595560 121576 597000 6 io_in[22]
 port 15 nsew signal input
-rlabel metal2 s 27580 297780 27692 298500 6 io_in[23]
+rlabel metal2 s 55160 595560 55384 597000 6 io_in[23]
 port 16 nsew signal input
-rlabel metal3 s -480 293580 240 293692 4 io_in[24]
+rlabel metal3 s -960 587160 480 587384 4 io_in[24]
 port 17 nsew signal input
-rlabel metal3 s -480 272412 240 272524 4 io_in[25]
+rlabel metal3 s -960 544824 480 545048 4 io_in[25]
 port 18 nsew signal input
-rlabel metal3 s -480 251244 240 251356 4 io_in[26]
+rlabel metal3 s -960 502488 480 502712 4 io_in[26]
 port 19 nsew signal input
-rlabel metal3 s -480 230076 240 230188 4 io_in[27]
+rlabel metal3 s -960 460152 480 460376 4 io_in[27]
 port 20 nsew signal input
-rlabel metal3 s -480 208908 240 209020 4 io_in[28]
+rlabel metal3 s -960 417816 480 418040 4 io_in[28]
 port 21 nsew signal input
-rlabel metal3 s -480 187740 240 187852 4 io_in[29]
+rlabel metal3 s -960 375480 480 375704 4 io_in[29]
 port 22 nsew signal input
-rlabel metal3 s 297780 43204 298500 43316 6 io_in[2]
+rlabel metal3 s 595560 86408 597000 86632 6 io_in[2]
 port 23 nsew signal input
-rlabel metal3 s -480 166572 240 166684 4 io_in[30]
+rlabel metal3 s -960 333144 480 333368 4 io_in[30]
 port 24 nsew signal input
-rlabel metal3 s -480 145404 240 145516 4 io_in[31]
+rlabel metal3 s -960 290808 480 291032 4 io_in[31]
 port 25 nsew signal input
-rlabel metal3 s -480 124236 240 124348 4 io_in[32]
+rlabel metal3 s -960 248472 480 248696 4 io_in[32]
 port 26 nsew signal input
-rlabel metal3 s -480 103068 240 103180 4 io_in[33]
+rlabel metal3 s -960 206136 480 206360 4 io_in[33]
 port 27 nsew signal input
-rlabel metal3 s -480 81900 240 82012 4 io_in[34]
+rlabel metal3 s -960 163800 480 164024 4 io_in[34]
 port 28 nsew signal input
-rlabel metal3 s -480 60732 240 60844 4 io_in[35]
+rlabel metal3 s -960 121464 480 121688 4 io_in[35]
 port 29 nsew signal input
-rlabel metal3 s -480 39564 240 39676 4 io_in[36]
+rlabel metal3 s -960 79128 480 79352 4 io_in[36]
 port 30 nsew signal input
-rlabel metal3 s -480 18396 240 18508 4 io_in[37]
+rlabel metal3 s -960 36792 480 37016 4 io_in[37]
 port 31 nsew signal input
-rlabel metal3 s 297780 63028 298500 63140 6 io_in[3]
+rlabel metal3 s 595560 126056 597000 126280 6 io_in[3]
 port 32 nsew signal input
-rlabel metal3 s 297780 82852 298500 82964 6 io_in[4]
+rlabel metal3 s 595560 165704 597000 165928 6 io_in[4]
 port 33 nsew signal input
-rlabel metal3 s 297780 102676 298500 102788 6 io_in[5]
+rlabel metal3 s 595560 205352 597000 205576 6 io_in[5]
 port 34 nsew signal input
-rlabel metal3 s 297780 122500 298500 122612 6 io_in[6]
+rlabel metal3 s 595560 245000 597000 245224 6 io_in[6]
 port 35 nsew signal input
-rlabel metal3 s 297780 142324 298500 142436 6 io_in[7]
+rlabel metal3 s 595560 284648 597000 284872 6 io_in[7]
 port 36 nsew signal input
-rlabel metal3 s 297780 162148 298500 162260 6 io_in[8]
+rlabel metal3 s 595560 324296 597000 324520 6 io_in[8]
 port 37 nsew signal input
-rlabel metal3 s 297780 181972 298500 182084 6 io_in[9]
+rlabel metal3 s 595560 363944 597000 364168 6 io_in[9]
 port 38 nsew signal input
-rlabel metal3 s 297780 16772 298500 16884 6 io_oeb[0]
+rlabel metal3 s 595560 33544 597000 33768 6 io_oeb[0]
 port 39 nsew signal output
-rlabel metal3 s 297780 215012 298500 215124 6 io_oeb[10]
+rlabel metal3 s 595560 430024 597000 430248 6 io_oeb[10]
 port 40 nsew signal output
-rlabel metal3 s 297780 234836 298500 234948 6 io_oeb[11]
+rlabel metal3 s 595560 469672 597000 469896 6 io_oeb[11]
 port 41 nsew signal output
-rlabel metal3 s 297780 254660 298500 254772 6 io_oeb[12]
+rlabel metal3 s 595560 509320 597000 509544 6 io_oeb[12]
 port 42 nsew signal output
-rlabel metal3 s 297780 274484 298500 274596 6 io_oeb[13]
+rlabel metal3 s 595560 548968 597000 549192 6 io_oeb[13]
 port 43 nsew signal output
-rlabel metal3 s 297780 294308 298500 294420 6 io_oeb[14]
+rlabel metal3 s 595560 588616 597000 588840 6 io_oeb[14]
 port 44 nsew signal output
-rlabel metal2 s 270284 297780 270396 298500 6 io_oeb[15]
+rlabel metal2 s 540568 595560 540792 597000 6 io_oeb[15]
 port 45 nsew signal output
-rlabel metal2 s 237188 297780 237300 298500 6 io_oeb[16]
+rlabel metal2 s 474376 595560 474600 597000 6 io_oeb[16]
 port 46 nsew signal output
-rlabel metal2 s 204092 297780 204204 298500 6 io_oeb[17]
+rlabel metal2 s 408184 595560 408408 597000 6 io_oeb[17]
 port 47 nsew signal output
-rlabel metal2 s 170996 297780 171108 298500 6 io_oeb[18]
+rlabel metal2 s 341992 595560 342216 597000 6 io_oeb[18]
 port 48 nsew signal output
-rlabel metal2 s 137900 297780 138012 298500 6 io_oeb[19]
+rlabel metal2 s 275800 595560 276024 597000 6 io_oeb[19]
 port 49 nsew signal output
-rlabel metal3 s 297780 36596 298500 36708 6 io_oeb[1]
+rlabel metal3 s 595560 73192 597000 73416 6 io_oeb[1]
 port 50 nsew signal output
-rlabel metal2 s 104804 297780 104916 298500 6 io_oeb[20]
+rlabel metal2 s 209608 595560 209832 597000 6 io_oeb[20]
 port 51 nsew signal output
-rlabel metal2 s 71708 297780 71820 298500 6 io_oeb[21]
+rlabel metal2 s 143416 595560 143640 597000 6 io_oeb[21]
 port 52 nsew signal output
-rlabel metal2 s 38612 297780 38724 298500 6 io_oeb[22]
+rlabel metal2 s 77224 595560 77448 597000 6 io_oeb[22]
 port 53 nsew signal output
-rlabel metal2 s 5516 297780 5628 298500 6 io_oeb[23]
+rlabel metal2 s 11032 595560 11256 597000 6 io_oeb[23]
 port 54 nsew signal output
-rlabel metal3 s -480 279468 240 279580 4 io_oeb[24]
+rlabel metal3 s -960 558936 480 559160 4 io_oeb[24]
 port 55 nsew signal output
-rlabel metal3 s -480 258300 240 258412 4 io_oeb[25]
+rlabel metal3 s -960 516600 480 516824 4 io_oeb[25]
 port 56 nsew signal output
-rlabel metal3 s -480 237132 240 237244 4 io_oeb[26]
+rlabel metal3 s -960 474264 480 474488 4 io_oeb[26]
 port 57 nsew signal output
-rlabel metal3 s -480 215964 240 216076 4 io_oeb[27]
+rlabel metal3 s -960 431928 480 432152 4 io_oeb[27]
 port 58 nsew signal output
-rlabel metal3 s -480 194796 240 194908 4 io_oeb[28]
+rlabel metal3 s -960 389592 480 389816 4 io_oeb[28]
 port 59 nsew signal output
-rlabel metal3 s -480 173628 240 173740 4 io_oeb[29]
+rlabel metal3 s -960 347256 480 347480 4 io_oeb[29]
 port 60 nsew signal output
-rlabel metal3 s 297780 56420 298500 56532 6 io_oeb[2]
+rlabel metal3 s 595560 112840 597000 113064 6 io_oeb[2]
 port 61 nsew signal output
-rlabel metal3 s -480 152460 240 152572 4 io_oeb[30]
+rlabel metal3 s -960 304920 480 305144 4 io_oeb[30]
 port 62 nsew signal output
-rlabel metal3 s -480 131292 240 131404 4 io_oeb[31]
+rlabel metal3 s -960 262584 480 262808 4 io_oeb[31]
 port 63 nsew signal output
-rlabel metal3 s -480 110124 240 110236 4 io_oeb[32]
+rlabel metal3 s -960 220248 480 220472 4 io_oeb[32]
 port 64 nsew signal output
-rlabel metal3 s -480 88956 240 89068 4 io_oeb[33]
+rlabel metal3 s -960 177912 480 178136 4 io_oeb[33]
 port 65 nsew signal output
-rlabel metal3 s -480 67788 240 67900 4 io_oeb[34]
+rlabel metal3 s -960 135576 480 135800 4 io_oeb[34]
 port 66 nsew signal output
-rlabel metal3 s -480 46620 240 46732 4 io_oeb[35]
+rlabel metal3 s -960 93240 480 93464 4 io_oeb[35]
 port 67 nsew signal output
-rlabel metal3 s -480 25452 240 25564 4 io_oeb[36]
+rlabel metal3 s -960 50904 480 51128 4 io_oeb[36]
 port 68 nsew signal output
-rlabel metal3 s -480 4284 240 4396 4 io_oeb[37]
+rlabel metal3 s -960 8568 480 8792 4 io_oeb[37]
 port 69 nsew signal output
-rlabel metal3 s 297780 76244 298500 76356 6 io_oeb[3]
+rlabel metal3 s 595560 152488 597000 152712 6 io_oeb[3]
 port 70 nsew signal output
-rlabel metal3 s 297780 96068 298500 96180 6 io_oeb[4]
+rlabel metal3 s 595560 192136 597000 192360 6 io_oeb[4]
 port 71 nsew signal output
-rlabel metal3 s 297780 115892 298500 116004 6 io_oeb[5]
+rlabel metal3 s 595560 231784 597000 232008 6 io_oeb[5]
 port 72 nsew signal output
-rlabel metal3 s 297780 135716 298500 135828 6 io_oeb[6]
+rlabel metal3 s 595560 271432 597000 271656 6 io_oeb[6]
 port 73 nsew signal output
-rlabel metal3 s 297780 155540 298500 155652 6 io_oeb[7]
+rlabel metal3 s 595560 311080 597000 311304 6 io_oeb[7]
 port 74 nsew signal output
-rlabel metal3 s 297780 175364 298500 175476 6 io_oeb[8]
+rlabel metal3 s 595560 350728 597000 350952 6 io_oeb[8]
 port 75 nsew signal output
-rlabel metal3 s 297780 195188 298500 195300 6 io_oeb[9]
+rlabel metal3 s 595560 390376 597000 390600 6 io_oeb[9]
 port 76 nsew signal output
-rlabel metal3 s 297780 10164 298500 10276 6 io_out[0]
+rlabel metal3 s 595560 20328 597000 20552 6 io_out[0]
 port 77 nsew signal output
-rlabel metal3 s 297780 208404 298500 208516 6 io_out[10]
+rlabel metal3 s 595560 416808 597000 417032 6 io_out[10]
 port 78 nsew signal output
-rlabel metal3 s 297780 228228 298500 228340 6 io_out[11]
+rlabel metal3 s 595560 456456 597000 456680 6 io_out[11]
 port 79 nsew signal output
-rlabel metal3 s 297780 248052 298500 248164 6 io_out[12]
+rlabel metal3 s 595560 496104 597000 496328 6 io_out[12]
 port 80 nsew signal output
-rlabel metal3 s 297780 267876 298500 267988 6 io_out[13]
+rlabel metal3 s 595560 535752 597000 535976 6 io_out[13]
 port 81 nsew signal output
-rlabel metal3 s 297780 287700 298500 287812 6 io_out[14]
+rlabel metal3 s 595560 575400 597000 575624 6 io_out[14]
 port 82 nsew signal output
-rlabel metal2 s 281316 297780 281428 298500 6 io_out[15]
+rlabel metal2 s 562632 595560 562856 597000 6 io_out[15]
 port 83 nsew signal output
-rlabel metal2 s 248220 297780 248332 298500 6 io_out[16]
+rlabel metal2 s 496440 595560 496664 597000 6 io_out[16]
 port 84 nsew signal output
-rlabel metal2 s 215124 297780 215236 298500 6 io_out[17]
+rlabel metal2 s 430248 595560 430472 597000 6 io_out[17]
 port 85 nsew signal output
-rlabel metal2 s 182028 297780 182140 298500 6 io_out[18]
+rlabel metal2 s 364056 595560 364280 597000 6 io_out[18]
 port 86 nsew signal output
-rlabel metal2 s 148932 297780 149044 298500 6 io_out[19]
+rlabel metal2 s 297864 595560 298088 597000 6 io_out[19]
 port 87 nsew signal output
-rlabel metal3 s 297780 29988 298500 30100 6 io_out[1]
+rlabel metal3 s 595560 59976 597000 60200 6 io_out[1]
 port 88 nsew signal output
-rlabel metal2 s 115836 297780 115948 298500 6 io_out[20]
+rlabel metal2 s 231672 595560 231896 597000 6 io_out[20]
 port 89 nsew signal output
-rlabel metal2 s 82740 297780 82852 298500 6 io_out[21]
+rlabel metal2 s 165480 595560 165704 597000 6 io_out[21]
 port 90 nsew signal output
-rlabel metal2 s 49644 297780 49756 298500 6 io_out[22]
+rlabel metal2 s 99288 595560 99512 597000 6 io_out[22]
 port 91 nsew signal output
-rlabel metal2 s 16548 297780 16660 298500 6 io_out[23]
+rlabel metal2 s 33096 595560 33320 597000 6 io_out[23]
 port 92 nsew signal output
-rlabel metal3 s -480 286524 240 286636 4 io_out[24]
+rlabel metal3 s -960 573048 480 573272 4 io_out[24]
 port 93 nsew signal output
-rlabel metal3 s -480 265356 240 265468 4 io_out[25]
+rlabel metal3 s -960 530712 480 530936 4 io_out[25]
 port 94 nsew signal output
-rlabel metal3 s -480 244188 240 244300 4 io_out[26]
+rlabel metal3 s -960 488376 480 488600 4 io_out[26]
 port 95 nsew signal output
-rlabel metal3 s -480 223020 240 223132 4 io_out[27]
+rlabel metal3 s -960 446040 480 446264 4 io_out[27]
 port 96 nsew signal output
-rlabel metal3 s -480 201852 240 201964 4 io_out[28]
+rlabel metal3 s -960 403704 480 403928 4 io_out[28]
 port 97 nsew signal output
-rlabel metal3 s -480 180684 240 180796 4 io_out[29]
+rlabel metal3 s -960 361368 480 361592 4 io_out[29]
 port 98 nsew signal output
-rlabel metal3 s 297780 49812 298500 49924 6 io_out[2]
+rlabel metal3 s 595560 99624 597000 99848 6 io_out[2]
 port 99 nsew signal output
-rlabel metal3 s -480 159516 240 159628 4 io_out[30]
+rlabel metal3 s -960 319032 480 319256 4 io_out[30]
 port 100 nsew signal output
-rlabel metal3 s -480 138348 240 138460 4 io_out[31]
+rlabel metal3 s -960 276696 480 276920 4 io_out[31]
 port 101 nsew signal output
-rlabel metal3 s -480 117180 240 117292 4 io_out[32]
+rlabel metal3 s -960 234360 480 234584 4 io_out[32]
 port 102 nsew signal output
-rlabel metal3 s -480 96012 240 96124 4 io_out[33]
+rlabel metal3 s -960 192024 480 192248 4 io_out[33]
 port 103 nsew signal output
-rlabel metal3 s -480 74844 240 74956 4 io_out[34]
+rlabel metal3 s -960 149688 480 149912 4 io_out[34]
 port 104 nsew signal output
-rlabel metal3 s -480 53676 240 53788 4 io_out[35]
+rlabel metal3 s -960 107352 480 107576 4 io_out[35]
 port 105 nsew signal output
-rlabel metal3 s -480 32508 240 32620 4 io_out[36]
+rlabel metal3 s -960 65016 480 65240 4 io_out[36]
 port 106 nsew signal output
-rlabel metal3 s -480 11340 240 11452 4 io_out[37]
+rlabel metal3 s -960 22680 480 22904 4 io_out[37]
 port 107 nsew signal output
-rlabel metal3 s 297780 69636 298500 69748 6 io_out[3]
+rlabel metal3 s 595560 139272 597000 139496 6 io_out[3]
 port 108 nsew signal output
-rlabel metal3 s 297780 89460 298500 89572 6 io_out[4]
+rlabel metal3 s 595560 178920 597000 179144 6 io_out[4]
 port 109 nsew signal output
-rlabel metal3 s 297780 109284 298500 109396 6 io_out[5]
+rlabel metal3 s 595560 218568 597000 218792 6 io_out[5]
 port 110 nsew signal output
-rlabel metal3 s 297780 129108 298500 129220 6 io_out[6]
+rlabel metal3 s 595560 258216 597000 258440 6 io_out[6]
 port 111 nsew signal output
-rlabel metal3 s 297780 148932 298500 149044 6 io_out[7]
+rlabel metal3 s 595560 297864 597000 298088 6 io_out[7]
 port 112 nsew signal output
-rlabel metal3 s 297780 168756 298500 168868 6 io_out[8]
+rlabel metal3 s 595560 337512 597000 337736 6 io_out[8]
 port 113 nsew signal output
-rlabel metal3 s 297780 188580 298500 188692 6 io_out[9]
+rlabel metal3 s 595560 377160 597000 377384 6 io_out[9]
 port 114 nsew signal output
-rlabel metal2 s 106596 -480 106708 240 8 la_data_in[0]
+rlabel metal2 s 213192 -960 213416 480 8 la_data_in[0]
 port 115 nsew signal input
-rlabel metal2 s 135156 -480 135268 240 8 la_data_in[10]
+rlabel metal2 s 270312 -960 270536 480 8 la_data_in[10]
 port 116 nsew signal input
-rlabel metal2 s 138012 -480 138124 240 8 la_data_in[11]
+rlabel metal2 s 276024 -960 276248 480 8 la_data_in[11]
 port 117 nsew signal input
-rlabel metal2 s 140868 -480 140980 240 8 la_data_in[12]
+rlabel metal2 s 281736 -960 281960 480 8 la_data_in[12]
 port 118 nsew signal input
-rlabel metal2 s 143724 -480 143836 240 8 la_data_in[13]
+rlabel metal2 s 287448 -960 287672 480 8 la_data_in[13]
 port 119 nsew signal input
-rlabel metal2 s 146580 -480 146692 240 8 la_data_in[14]
+rlabel metal2 s 293160 -960 293384 480 8 la_data_in[14]
 port 120 nsew signal input
-rlabel metal2 s 149436 -480 149548 240 8 la_data_in[15]
+rlabel metal2 s 298872 -960 299096 480 8 la_data_in[15]
 port 121 nsew signal input
-rlabel metal2 s 152292 -480 152404 240 8 la_data_in[16]
+rlabel metal2 s 304584 -960 304808 480 8 la_data_in[16]
 port 122 nsew signal input
-rlabel metal2 s 155148 -480 155260 240 8 la_data_in[17]
+rlabel metal2 s 310296 -960 310520 480 8 la_data_in[17]
 port 123 nsew signal input
-rlabel metal2 s 158004 -480 158116 240 8 la_data_in[18]
+rlabel metal2 s 316008 -960 316232 480 8 la_data_in[18]
 port 124 nsew signal input
-rlabel metal2 s 160860 -480 160972 240 8 la_data_in[19]
+rlabel metal2 s 321720 -960 321944 480 8 la_data_in[19]
 port 125 nsew signal input
-rlabel metal2 s 109452 -480 109564 240 8 la_data_in[1]
+rlabel metal2 s 218904 -960 219128 480 8 la_data_in[1]
 port 126 nsew signal input
-rlabel metal2 s 163716 -480 163828 240 8 la_data_in[20]
+rlabel metal2 s 327432 -960 327656 480 8 la_data_in[20]
 port 127 nsew signal input
-rlabel metal2 s 166572 -480 166684 240 8 la_data_in[21]
+rlabel metal2 s 333144 -960 333368 480 8 la_data_in[21]
 port 128 nsew signal input
-rlabel metal2 s 169428 -480 169540 240 8 la_data_in[22]
+rlabel metal2 s 338856 -960 339080 480 8 la_data_in[22]
 port 129 nsew signal input
-rlabel metal2 s 172284 -480 172396 240 8 la_data_in[23]
+rlabel metal2 s 344568 -960 344792 480 8 la_data_in[23]
 port 130 nsew signal input
-rlabel metal2 s 175140 -480 175252 240 8 la_data_in[24]
+rlabel metal2 s 350280 -960 350504 480 8 la_data_in[24]
 port 131 nsew signal input
-rlabel metal2 s 177996 -480 178108 240 8 la_data_in[25]
+rlabel metal2 s 355992 -960 356216 480 8 la_data_in[25]
 port 132 nsew signal input
-rlabel metal2 s 180852 -480 180964 240 8 la_data_in[26]
+rlabel metal2 s 361704 -960 361928 480 8 la_data_in[26]
 port 133 nsew signal input
-rlabel metal2 s 183708 -480 183820 240 8 la_data_in[27]
+rlabel metal2 s 367416 -960 367640 480 8 la_data_in[27]
 port 134 nsew signal input
-rlabel metal2 s 186564 -480 186676 240 8 la_data_in[28]
+rlabel metal2 s 373128 -960 373352 480 8 la_data_in[28]
 port 135 nsew signal input
-rlabel metal2 s 189420 -480 189532 240 8 la_data_in[29]
+rlabel metal2 s 378840 -960 379064 480 8 la_data_in[29]
 port 136 nsew signal input
-rlabel metal2 s 112308 -480 112420 240 8 la_data_in[2]
+rlabel metal2 s 224616 -960 224840 480 8 la_data_in[2]
 port 137 nsew signal input
-rlabel metal2 s 192276 -480 192388 240 8 la_data_in[30]
+rlabel metal2 s 384552 -960 384776 480 8 la_data_in[30]
 port 138 nsew signal input
-rlabel metal2 s 195132 -480 195244 240 8 la_data_in[31]
+rlabel metal2 s 390264 -960 390488 480 8 la_data_in[31]
 port 139 nsew signal input
-rlabel metal2 s 197988 -480 198100 240 8 la_data_in[32]
+rlabel metal2 s 395976 -960 396200 480 8 la_data_in[32]
 port 140 nsew signal input
-rlabel metal2 s 200844 -480 200956 240 8 la_data_in[33]
+rlabel metal2 s 401688 -960 401912 480 8 la_data_in[33]
 port 141 nsew signal input
-rlabel metal2 s 203700 -480 203812 240 8 la_data_in[34]
+rlabel metal2 s 407400 -960 407624 480 8 la_data_in[34]
 port 142 nsew signal input
-rlabel metal2 s 206556 -480 206668 240 8 la_data_in[35]
+rlabel metal2 s 413112 -960 413336 480 8 la_data_in[35]
 port 143 nsew signal input
-rlabel metal2 s 209412 -480 209524 240 8 la_data_in[36]
+rlabel metal2 s 418824 -960 419048 480 8 la_data_in[36]
 port 144 nsew signal input
-rlabel metal2 s 212268 -480 212380 240 8 la_data_in[37]
+rlabel metal2 s 424536 -960 424760 480 8 la_data_in[37]
 port 145 nsew signal input
-rlabel metal2 s 215124 -480 215236 240 8 la_data_in[38]
+rlabel metal2 s 430248 -960 430472 480 8 la_data_in[38]
 port 146 nsew signal input
-rlabel metal2 s 217980 -480 218092 240 8 la_data_in[39]
+rlabel metal2 s 435960 -960 436184 480 8 la_data_in[39]
 port 147 nsew signal input
-rlabel metal2 s 115164 -480 115276 240 8 la_data_in[3]
+rlabel metal2 s 230328 -960 230552 480 8 la_data_in[3]
 port 148 nsew signal input
-rlabel metal2 s 220836 -480 220948 240 8 la_data_in[40]
+rlabel metal2 s 441672 -960 441896 480 8 la_data_in[40]
 port 149 nsew signal input
-rlabel metal2 s 223692 -480 223804 240 8 la_data_in[41]
+rlabel metal2 s 447384 -960 447608 480 8 la_data_in[41]
 port 150 nsew signal input
-rlabel metal2 s 226548 -480 226660 240 8 la_data_in[42]
+rlabel metal2 s 453096 -960 453320 480 8 la_data_in[42]
 port 151 nsew signal input
-rlabel metal2 s 229404 -480 229516 240 8 la_data_in[43]
+rlabel metal2 s 458808 -960 459032 480 8 la_data_in[43]
 port 152 nsew signal input
-rlabel metal2 s 232260 -480 232372 240 8 la_data_in[44]
+rlabel metal2 s 464520 -960 464744 480 8 la_data_in[44]
 port 153 nsew signal input
-rlabel metal2 s 235116 -480 235228 240 8 la_data_in[45]
+rlabel metal2 s 470232 -960 470456 480 8 la_data_in[45]
 port 154 nsew signal input
-rlabel metal2 s 237972 -480 238084 240 8 la_data_in[46]
+rlabel metal2 s 475944 -960 476168 480 8 la_data_in[46]
 port 155 nsew signal input
-rlabel metal2 s 240828 -480 240940 240 8 la_data_in[47]
+rlabel metal2 s 481656 -960 481880 480 8 la_data_in[47]
 port 156 nsew signal input
-rlabel metal2 s 243684 -480 243796 240 8 la_data_in[48]
+rlabel metal2 s 487368 -960 487592 480 8 la_data_in[48]
 port 157 nsew signal input
-rlabel metal2 s 246540 -480 246652 240 8 la_data_in[49]
+rlabel metal2 s 493080 -960 493304 480 8 la_data_in[49]
 port 158 nsew signal input
-rlabel metal2 s 118020 -480 118132 240 8 la_data_in[4]
+rlabel metal2 s 236040 -960 236264 480 8 la_data_in[4]
 port 159 nsew signal input
-rlabel metal2 s 249396 -480 249508 240 8 la_data_in[50]
+rlabel metal2 s 498792 -960 499016 480 8 la_data_in[50]
 port 160 nsew signal input
-rlabel metal2 s 252252 -480 252364 240 8 la_data_in[51]
+rlabel metal2 s 504504 -960 504728 480 8 la_data_in[51]
 port 161 nsew signal input
-rlabel metal2 s 255108 -480 255220 240 8 la_data_in[52]
+rlabel metal2 s 510216 -960 510440 480 8 la_data_in[52]
 port 162 nsew signal input
-rlabel metal2 s 257964 -480 258076 240 8 la_data_in[53]
+rlabel metal2 s 515928 -960 516152 480 8 la_data_in[53]
 port 163 nsew signal input
-rlabel metal2 s 260820 -480 260932 240 8 la_data_in[54]
+rlabel metal2 s 521640 -960 521864 480 8 la_data_in[54]
 port 164 nsew signal input
-rlabel metal2 s 263676 -480 263788 240 8 la_data_in[55]
+rlabel metal2 s 527352 -960 527576 480 8 la_data_in[55]
 port 165 nsew signal input
-rlabel metal2 s 266532 -480 266644 240 8 la_data_in[56]
+rlabel metal2 s 533064 -960 533288 480 8 la_data_in[56]
 port 166 nsew signal input
-rlabel metal2 s 269388 -480 269500 240 8 la_data_in[57]
+rlabel metal2 s 538776 -960 539000 480 8 la_data_in[57]
 port 167 nsew signal input
-rlabel metal2 s 272244 -480 272356 240 8 la_data_in[58]
+rlabel metal2 s 544488 -960 544712 480 8 la_data_in[58]
 port 168 nsew signal input
-rlabel metal2 s 275100 -480 275212 240 8 la_data_in[59]
+rlabel metal2 s 550200 -960 550424 480 8 la_data_in[59]
 port 169 nsew signal input
-rlabel metal2 s 120876 -480 120988 240 8 la_data_in[5]
+rlabel metal2 s 241752 -960 241976 480 8 la_data_in[5]
 port 170 nsew signal input
-rlabel metal2 s 277956 -480 278068 240 8 la_data_in[60]
+rlabel metal2 s 555912 -960 556136 480 8 la_data_in[60]
 port 171 nsew signal input
-rlabel metal2 s 280812 -480 280924 240 8 la_data_in[61]
+rlabel metal2 s 561624 -960 561848 480 8 la_data_in[61]
 port 172 nsew signal input
-rlabel metal2 s 283668 -480 283780 240 8 la_data_in[62]
+rlabel metal2 s 567336 -960 567560 480 8 la_data_in[62]
 port 173 nsew signal input
-rlabel metal2 s 286524 -480 286636 240 8 la_data_in[63]
+rlabel metal2 s 573048 -960 573272 480 8 la_data_in[63]
 port 174 nsew signal input
-rlabel metal2 s 123732 -480 123844 240 8 la_data_in[6]
+rlabel metal2 s 247464 -960 247688 480 8 la_data_in[6]
 port 175 nsew signal input
-rlabel metal2 s 126588 -480 126700 240 8 la_data_in[7]
+rlabel metal2 s 253176 -960 253400 480 8 la_data_in[7]
 port 176 nsew signal input
-rlabel metal2 s 129444 -480 129556 240 8 la_data_in[8]
+rlabel metal2 s 258888 -960 259112 480 8 la_data_in[8]
 port 177 nsew signal input
-rlabel metal2 s 132300 -480 132412 240 8 la_data_in[9]
+rlabel metal2 s 264600 -960 264824 480 8 la_data_in[9]
 port 178 nsew signal input
-rlabel metal2 s 107548 -480 107660 240 8 la_data_out[0]
+rlabel metal2 s 215096 -960 215320 480 8 la_data_out[0]
 port 179 nsew signal output
-rlabel metal2 s 136108 -480 136220 240 8 la_data_out[10]
+rlabel metal2 s 272216 -960 272440 480 8 la_data_out[10]
 port 180 nsew signal output
-rlabel metal2 s 138964 -480 139076 240 8 la_data_out[11]
+rlabel metal2 s 277928 -960 278152 480 8 la_data_out[11]
 port 181 nsew signal output
-rlabel metal2 s 141820 -480 141932 240 8 la_data_out[12]
+rlabel metal2 s 283640 -960 283864 480 8 la_data_out[12]
 port 182 nsew signal output
-rlabel metal2 s 144676 -480 144788 240 8 la_data_out[13]
+rlabel metal2 s 289352 -960 289576 480 8 la_data_out[13]
 port 183 nsew signal output
-rlabel metal2 s 147532 -480 147644 240 8 la_data_out[14]
+rlabel metal2 s 295064 -960 295288 480 8 la_data_out[14]
 port 184 nsew signal output
-rlabel metal2 s 150388 -480 150500 240 8 la_data_out[15]
+rlabel metal2 s 300776 -960 301000 480 8 la_data_out[15]
 port 185 nsew signal output
-rlabel metal2 s 153244 -480 153356 240 8 la_data_out[16]
+rlabel metal2 s 306488 -960 306712 480 8 la_data_out[16]
 port 186 nsew signal output
-rlabel metal2 s 156100 -480 156212 240 8 la_data_out[17]
+rlabel metal2 s 312200 -960 312424 480 8 la_data_out[17]
 port 187 nsew signal output
-rlabel metal2 s 158956 -480 159068 240 8 la_data_out[18]
+rlabel metal2 s 317912 -960 318136 480 8 la_data_out[18]
 port 188 nsew signal output
-rlabel metal2 s 161812 -480 161924 240 8 la_data_out[19]
+rlabel metal2 s 323624 -960 323848 480 8 la_data_out[19]
 port 189 nsew signal output
-rlabel metal2 s 110404 -480 110516 240 8 la_data_out[1]
+rlabel metal2 s 220808 -960 221032 480 8 la_data_out[1]
 port 190 nsew signal output
-rlabel metal2 s 164668 -480 164780 240 8 la_data_out[20]
+rlabel metal2 s 329336 -960 329560 480 8 la_data_out[20]
 port 191 nsew signal output
-rlabel metal2 s 167524 -480 167636 240 8 la_data_out[21]
+rlabel metal2 s 335048 -960 335272 480 8 la_data_out[21]
 port 192 nsew signal output
-rlabel metal2 s 170380 -480 170492 240 8 la_data_out[22]
+rlabel metal2 s 340760 -960 340984 480 8 la_data_out[22]
 port 193 nsew signal output
-rlabel metal2 s 173236 -480 173348 240 8 la_data_out[23]
+rlabel metal2 s 346472 -960 346696 480 8 la_data_out[23]
 port 194 nsew signal output
-rlabel metal2 s 176092 -480 176204 240 8 la_data_out[24]
+rlabel metal2 s 352184 -960 352408 480 8 la_data_out[24]
 port 195 nsew signal output
-rlabel metal2 s 178948 -480 179060 240 8 la_data_out[25]
+rlabel metal2 s 357896 -960 358120 480 8 la_data_out[25]
 port 196 nsew signal output
-rlabel metal2 s 181804 -480 181916 240 8 la_data_out[26]
+rlabel metal2 s 363608 -960 363832 480 8 la_data_out[26]
 port 197 nsew signal output
-rlabel metal2 s 184660 -480 184772 240 8 la_data_out[27]
+rlabel metal2 s 369320 -960 369544 480 8 la_data_out[27]
 port 198 nsew signal output
-rlabel metal2 s 187516 -480 187628 240 8 la_data_out[28]
+rlabel metal2 s 375032 -960 375256 480 8 la_data_out[28]
 port 199 nsew signal output
-rlabel metal2 s 190372 -480 190484 240 8 la_data_out[29]
+rlabel metal2 s 380744 -960 380968 480 8 la_data_out[29]
 port 200 nsew signal output
-rlabel metal2 s 113260 -480 113372 240 8 la_data_out[2]
+rlabel metal2 s 226520 -960 226744 480 8 la_data_out[2]
 port 201 nsew signal output
-rlabel metal2 s 193228 -480 193340 240 8 la_data_out[30]
+rlabel metal2 s 386456 -960 386680 480 8 la_data_out[30]
 port 202 nsew signal output
-rlabel metal2 s 196084 -480 196196 240 8 la_data_out[31]
+rlabel metal2 s 392168 -960 392392 480 8 la_data_out[31]
 port 203 nsew signal output
-rlabel metal2 s 198940 -480 199052 240 8 la_data_out[32]
+rlabel metal2 s 397880 -960 398104 480 8 la_data_out[32]
 port 204 nsew signal output
-rlabel metal2 s 201796 -480 201908 240 8 la_data_out[33]
+rlabel metal2 s 403592 -960 403816 480 8 la_data_out[33]
 port 205 nsew signal output
-rlabel metal2 s 204652 -480 204764 240 8 la_data_out[34]
+rlabel metal2 s 409304 -960 409528 480 8 la_data_out[34]
 port 206 nsew signal output
-rlabel metal2 s 207508 -480 207620 240 8 la_data_out[35]
+rlabel metal2 s 415016 -960 415240 480 8 la_data_out[35]
 port 207 nsew signal output
-rlabel metal2 s 210364 -480 210476 240 8 la_data_out[36]
+rlabel metal2 s 420728 -960 420952 480 8 la_data_out[36]
 port 208 nsew signal output
-rlabel metal2 s 213220 -480 213332 240 8 la_data_out[37]
+rlabel metal2 s 426440 -960 426664 480 8 la_data_out[37]
 port 209 nsew signal output
-rlabel metal2 s 216076 -480 216188 240 8 la_data_out[38]
+rlabel metal2 s 432152 -960 432376 480 8 la_data_out[38]
 port 210 nsew signal output
-rlabel metal2 s 218932 -480 219044 240 8 la_data_out[39]
+rlabel metal2 s 437864 -960 438088 480 8 la_data_out[39]
 port 211 nsew signal output
-rlabel metal2 s 116116 -480 116228 240 8 la_data_out[3]
+rlabel metal2 s 232232 -960 232456 480 8 la_data_out[3]
 port 212 nsew signal output
-rlabel metal2 s 221788 -480 221900 240 8 la_data_out[40]
+rlabel metal2 s 443576 -960 443800 480 8 la_data_out[40]
 port 213 nsew signal output
-rlabel metal2 s 224644 -480 224756 240 8 la_data_out[41]
+rlabel metal2 s 449288 -960 449512 480 8 la_data_out[41]
 port 214 nsew signal output
-rlabel metal2 s 227500 -480 227612 240 8 la_data_out[42]
+rlabel metal2 s 455000 -960 455224 480 8 la_data_out[42]
 port 215 nsew signal output
-rlabel metal2 s 230356 -480 230468 240 8 la_data_out[43]
+rlabel metal2 s 460712 -960 460936 480 8 la_data_out[43]
 port 216 nsew signal output
-rlabel metal2 s 233212 -480 233324 240 8 la_data_out[44]
+rlabel metal2 s 466424 -960 466648 480 8 la_data_out[44]
 port 217 nsew signal output
-rlabel metal2 s 236068 -480 236180 240 8 la_data_out[45]
+rlabel metal2 s 472136 -960 472360 480 8 la_data_out[45]
 port 218 nsew signal output
-rlabel metal2 s 238924 -480 239036 240 8 la_data_out[46]
+rlabel metal2 s 477848 -960 478072 480 8 la_data_out[46]
 port 219 nsew signal output
-rlabel metal2 s 241780 -480 241892 240 8 la_data_out[47]
+rlabel metal2 s 483560 -960 483784 480 8 la_data_out[47]
 port 220 nsew signal output
-rlabel metal2 s 244636 -480 244748 240 8 la_data_out[48]
+rlabel metal2 s 489272 -960 489496 480 8 la_data_out[48]
 port 221 nsew signal output
-rlabel metal2 s 247492 -480 247604 240 8 la_data_out[49]
+rlabel metal2 s 494984 -960 495208 480 8 la_data_out[49]
 port 222 nsew signal output
-rlabel metal2 s 118972 -480 119084 240 8 la_data_out[4]
+rlabel metal2 s 237944 -960 238168 480 8 la_data_out[4]
 port 223 nsew signal output
-rlabel metal2 s 250348 -480 250460 240 8 la_data_out[50]
+rlabel metal2 s 500696 -960 500920 480 8 la_data_out[50]
 port 224 nsew signal output
-rlabel metal2 s 253204 -480 253316 240 8 la_data_out[51]
+rlabel metal2 s 506408 -960 506632 480 8 la_data_out[51]
 port 225 nsew signal output
-rlabel metal2 s 256060 -480 256172 240 8 la_data_out[52]
+rlabel metal2 s 512120 -960 512344 480 8 la_data_out[52]
 port 226 nsew signal output
-rlabel metal2 s 258916 -480 259028 240 8 la_data_out[53]
+rlabel metal2 s 517832 -960 518056 480 8 la_data_out[53]
 port 227 nsew signal output
-rlabel metal2 s 261772 -480 261884 240 8 la_data_out[54]
+rlabel metal2 s 523544 -960 523768 480 8 la_data_out[54]
 port 228 nsew signal output
-rlabel metal2 s 264628 -480 264740 240 8 la_data_out[55]
+rlabel metal2 s 529256 -960 529480 480 8 la_data_out[55]
 port 229 nsew signal output
-rlabel metal2 s 267484 -480 267596 240 8 la_data_out[56]
+rlabel metal2 s 534968 -960 535192 480 8 la_data_out[56]
 port 230 nsew signal output
-rlabel metal2 s 270340 -480 270452 240 8 la_data_out[57]
+rlabel metal2 s 540680 -960 540904 480 8 la_data_out[57]
 port 231 nsew signal output
-rlabel metal2 s 273196 -480 273308 240 8 la_data_out[58]
+rlabel metal2 s 546392 -960 546616 480 8 la_data_out[58]
 port 232 nsew signal output
-rlabel metal2 s 276052 -480 276164 240 8 la_data_out[59]
+rlabel metal2 s 552104 -960 552328 480 8 la_data_out[59]
 port 233 nsew signal output
-rlabel metal2 s 121828 -480 121940 240 8 la_data_out[5]
+rlabel metal2 s 243656 -960 243880 480 8 la_data_out[5]
 port 234 nsew signal output
-rlabel metal2 s 278908 -480 279020 240 8 la_data_out[60]
+rlabel metal2 s 557816 -960 558040 480 8 la_data_out[60]
 port 235 nsew signal output
-rlabel metal2 s 281764 -480 281876 240 8 la_data_out[61]
+rlabel metal2 s 563528 -960 563752 480 8 la_data_out[61]
 port 236 nsew signal output
-rlabel metal2 s 284620 -480 284732 240 8 la_data_out[62]
+rlabel metal2 s 569240 -960 569464 480 8 la_data_out[62]
 port 237 nsew signal output
-rlabel metal2 s 287476 -480 287588 240 8 la_data_out[63]
+rlabel metal2 s 574952 -960 575176 480 8 la_data_out[63]
 port 238 nsew signal output
-rlabel metal2 s 124684 -480 124796 240 8 la_data_out[6]
+rlabel metal2 s 249368 -960 249592 480 8 la_data_out[6]
 port 239 nsew signal output
-rlabel metal2 s 127540 -480 127652 240 8 la_data_out[7]
+rlabel metal2 s 255080 -960 255304 480 8 la_data_out[7]
 port 240 nsew signal output
-rlabel metal2 s 130396 -480 130508 240 8 la_data_out[8]
+rlabel metal2 s 260792 -960 261016 480 8 la_data_out[8]
 port 241 nsew signal output
-rlabel metal2 s 133252 -480 133364 240 8 la_data_out[9]
+rlabel metal2 s 266504 -960 266728 480 8 la_data_out[9]
 port 242 nsew signal output
-rlabel metal2 s 108500 -480 108612 240 8 la_oenb[0]
+rlabel metal2 s 217000 -960 217224 480 8 la_oenb[0]
 port 243 nsew signal input
-rlabel metal2 s 137060 -480 137172 240 8 la_oenb[10]
+rlabel metal2 s 274120 -960 274344 480 8 la_oenb[10]
 port 244 nsew signal input
-rlabel metal2 s 139916 -480 140028 240 8 la_oenb[11]
+rlabel metal2 s 279832 -960 280056 480 8 la_oenb[11]
 port 245 nsew signal input
-rlabel metal2 s 142772 -480 142884 240 8 la_oenb[12]
+rlabel metal2 s 285544 -960 285768 480 8 la_oenb[12]
 port 246 nsew signal input
-rlabel metal2 s 145628 -480 145740 240 8 la_oenb[13]
+rlabel metal2 s 291256 -960 291480 480 8 la_oenb[13]
 port 247 nsew signal input
-rlabel metal2 s 148484 -480 148596 240 8 la_oenb[14]
+rlabel metal2 s 296968 -960 297192 480 8 la_oenb[14]
 port 248 nsew signal input
-rlabel metal2 s 151340 -480 151452 240 8 la_oenb[15]
+rlabel metal2 s 302680 -960 302904 480 8 la_oenb[15]
 port 249 nsew signal input
-rlabel metal2 s 154196 -480 154308 240 8 la_oenb[16]
+rlabel metal2 s 308392 -960 308616 480 8 la_oenb[16]
 port 250 nsew signal input
-rlabel metal2 s 157052 -480 157164 240 8 la_oenb[17]
+rlabel metal2 s 314104 -960 314328 480 8 la_oenb[17]
 port 251 nsew signal input
-rlabel metal2 s 159908 -480 160020 240 8 la_oenb[18]
+rlabel metal2 s 319816 -960 320040 480 8 la_oenb[18]
 port 252 nsew signal input
-rlabel metal2 s 162764 -480 162876 240 8 la_oenb[19]
+rlabel metal2 s 325528 -960 325752 480 8 la_oenb[19]
 port 253 nsew signal input
-rlabel metal2 s 111356 -480 111468 240 8 la_oenb[1]
+rlabel metal2 s 222712 -960 222936 480 8 la_oenb[1]
 port 254 nsew signal input
-rlabel metal2 s 165620 -480 165732 240 8 la_oenb[20]
+rlabel metal2 s 331240 -960 331464 480 8 la_oenb[20]
 port 255 nsew signal input
-rlabel metal2 s 168476 -480 168588 240 8 la_oenb[21]
+rlabel metal2 s 336952 -960 337176 480 8 la_oenb[21]
 port 256 nsew signal input
-rlabel metal2 s 171332 -480 171444 240 8 la_oenb[22]
+rlabel metal2 s 342664 -960 342888 480 8 la_oenb[22]
 port 257 nsew signal input
-rlabel metal2 s 174188 -480 174300 240 8 la_oenb[23]
+rlabel metal2 s 348376 -960 348600 480 8 la_oenb[23]
 port 258 nsew signal input
-rlabel metal2 s 177044 -480 177156 240 8 la_oenb[24]
+rlabel metal2 s 354088 -960 354312 480 8 la_oenb[24]
 port 259 nsew signal input
-rlabel metal2 s 179900 -480 180012 240 8 la_oenb[25]
+rlabel metal2 s 359800 -960 360024 480 8 la_oenb[25]
 port 260 nsew signal input
-rlabel metal2 s 182756 -480 182868 240 8 la_oenb[26]
+rlabel metal2 s 365512 -960 365736 480 8 la_oenb[26]
 port 261 nsew signal input
-rlabel metal2 s 185612 -480 185724 240 8 la_oenb[27]
+rlabel metal2 s 371224 -960 371448 480 8 la_oenb[27]
 port 262 nsew signal input
-rlabel metal2 s 188468 -480 188580 240 8 la_oenb[28]
+rlabel metal2 s 376936 -960 377160 480 8 la_oenb[28]
 port 263 nsew signal input
-rlabel metal2 s 191324 -480 191436 240 8 la_oenb[29]
+rlabel metal2 s 382648 -960 382872 480 8 la_oenb[29]
 port 264 nsew signal input
-rlabel metal2 s 114212 -480 114324 240 8 la_oenb[2]
+rlabel metal2 s 228424 -960 228648 480 8 la_oenb[2]
 port 265 nsew signal input
-rlabel metal2 s 194180 -480 194292 240 8 la_oenb[30]
+rlabel metal2 s 388360 -960 388584 480 8 la_oenb[30]
 port 266 nsew signal input
-rlabel metal2 s 197036 -480 197148 240 8 la_oenb[31]
+rlabel metal2 s 394072 -960 394296 480 8 la_oenb[31]
 port 267 nsew signal input
-rlabel metal2 s 199892 -480 200004 240 8 la_oenb[32]
+rlabel metal2 s 399784 -960 400008 480 8 la_oenb[32]
 port 268 nsew signal input
-rlabel metal2 s 202748 -480 202860 240 8 la_oenb[33]
+rlabel metal2 s 405496 -960 405720 480 8 la_oenb[33]
 port 269 nsew signal input
-rlabel metal2 s 205604 -480 205716 240 8 la_oenb[34]
+rlabel metal2 s 411208 -960 411432 480 8 la_oenb[34]
 port 270 nsew signal input
-rlabel metal2 s 208460 -480 208572 240 8 la_oenb[35]
+rlabel metal2 s 416920 -960 417144 480 8 la_oenb[35]
 port 271 nsew signal input
-rlabel metal2 s 211316 -480 211428 240 8 la_oenb[36]
+rlabel metal2 s 422632 -960 422856 480 8 la_oenb[36]
 port 272 nsew signal input
-rlabel metal2 s 214172 -480 214284 240 8 la_oenb[37]
+rlabel metal2 s 428344 -960 428568 480 8 la_oenb[37]
 port 273 nsew signal input
-rlabel metal2 s 217028 -480 217140 240 8 la_oenb[38]
+rlabel metal2 s 434056 -960 434280 480 8 la_oenb[38]
 port 274 nsew signal input
-rlabel metal2 s 219884 -480 219996 240 8 la_oenb[39]
+rlabel metal2 s 439768 -960 439992 480 8 la_oenb[39]
 port 275 nsew signal input
-rlabel metal2 s 117068 -480 117180 240 8 la_oenb[3]
+rlabel metal2 s 234136 -960 234360 480 8 la_oenb[3]
 port 276 nsew signal input
-rlabel metal2 s 222740 -480 222852 240 8 la_oenb[40]
+rlabel metal2 s 445480 -960 445704 480 8 la_oenb[40]
 port 277 nsew signal input
-rlabel metal2 s 225596 -480 225708 240 8 la_oenb[41]
+rlabel metal2 s 451192 -960 451416 480 8 la_oenb[41]
 port 278 nsew signal input
-rlabel metal2 s 228452 -480 228564 240 8 la_oenb[42]
+rlabel metal2 s 456904 -960 457128 480 8 la_oenb[42]
 port 279 nsew signal input
-rlabel metal2 s 231308 -480 231420 240 8 la_oenb[43]
+rlabel metal2 s 462616 -960 462840 480 8 la_oenb[43]
 port 280 nsew signal input
-rlabel metal2 s 234164 -480 234276 240 8 la_oenb[44]
+rlabel metal2 s 468328 -960 468552 480 8 la_oenb[44]
 port 281 nsew signal input
-rlabel metal2 s 237020 -480 237132 240 8 la_oenb[45]
+rlabel metal2 s 474040 -960 474264 480 8 la_oenb[45]
 port 282 nsew signal input
-rlabel metal2 s 239876 -480 239988 240 8 la_oenb[46]
+rlabel metal2 s 479752 -960 479976 480 8 la_oenb[46]
 port 283 nsew signal input
-rlabel metal2 s 242732 -480 242844 240 8 la_oenb[47]
+rlabel metal2 s 485464 -960 485688 480 8 la_oenb[47]
 port 284 nsew signal input
-rlabel metal2 s 245588 -480 245700 240 8 la_oenb[48]
+rlabel metal2 s 491176 -960 491400 480 8 la_oenb[48]
 port 285 nsew signal input
-rlabel metal2 s 248444 -480 248556 240 8 la_oenb[49]
+rlabel metal2 s 496888 -960 497112 480 8 la_oenb[49]
 port 286 nsew signal input
-rlabel metal2 s 119924 -480 120036 240 8 la_oenb[4]
+rlabel metal2 s 239848 -960 240072 480 8 la_oenb[4]
 port 287 nsew signal input
-rlabel metal2 s 251300 -480 251412 240 8 la_oenb[50]
+rlabel metal2 s 502600 -960 502824 480 8 la_oenb[50]
 port 288 nsew signal input
-rlabel metal2 s 254156 -480 254268 240 8 la_oenb[51]
+rlabel metal2 s 508312 -960 508536 480 8 la_oenb[51]
 port 289 nsew signal input
-rlabel metal2 s 257012 -480 257124 240 8 la_oenb[52]
+rlabel metal2 s 514024 -960 514248 480 8 la_oenb[52]
 port 290 nsew signal input
-rlabel metal2 s 259868 -480 259980 240 8 la_oenb[53]
+rlabel metal2 s 519736 -960 519960 480 8 la_oenb[53]
 port 291 nsew signal input
-rlabel metal2 s 262724 -480 262836 240 8 la_oenb[54]
+rlabel metal2 s 525448 -960 525672 480 8 la_oenb[54]
 port 292 nsew signal input
-rlabel metal2 s 265580 -480 265692 240 8 la_oenb[55]
+rlabel metal2 s 531160 -960 531384 480 8 la_oenb[55]
 port 293 nsew signal input
-rlabel metal2 s 268436 -480 268548 240 8 la_oenb[56]
+rlabel metal2 s 536872 -960 537096 480 8 la_oenb[56]
 port 294 nsew signal input
-rlabel metal2 s 271292 -480 271404 240 8 la_oenb[57]
+rlabel metal2 s 542584 -960 542808 480 8 la_oenb[57]
 port 295 nsew signal input
-rlabel metal2 s 274148 -480 274260 240 8 la_oenb[58]
+rlabel metal2 s 548296 -960 548520 480 8 la_oenb[58]
 port 296 nsew signal input
-rlabel metal2 s 277004 -480 277116 240 8 la_oenb[59]
+rlabel metal2 s 554008 -960 554232 480 8 la_oenb[59]
 port 297 nsew signal input
-rlabel metal2 s 122780 -480 122892 240 8 la_oenb[5]
+rlabel metal2 s 245560 -960 245784 480 8 la_oenb[5]
 port 298 nsew signal input
-rlabel metal2 s 279860 -480 279972 240 8 la_oenb[60]
+rlabel metal2 s 559720 -960 559944 480 8 la_oenb[60]
 port 299 nsew signal input
-rlabel metal2 s 282716 -480 282828 240 8 la_oenb[61]
+rlabel metal2 s 565432 -960 565656 480 8 la_oenb[61]
 port 300 nsew signal input
-rlabel metal2 s 285572 -480 285684 240 8 la_oenb[62]
+rlabel metal2 s 571144 -960 571368 480 8 la_oenb[62]
 port 301 nsew signal input
-rlabel metal2 s 288428 -480 288540 240 8 la_oenb[63]
+rlabel metal2 s 576856 -960 577080 480 8 la_oenb[63]
 port 302 nsew signal input
-rlabel metal2 s 125636 -480 125748 240 8 la_oenb[6]
+rlabel metal2 s 251272 -960 251496 480 8 la_oenb[6]
 port 303 nsew signal input
-rlabel metal2 s 128492 -480 128604 240 8 la_oenb[7]
+rlabel metal2 s 256984 -960 257208 480 8 la_oenb[7]
 port 304 nsew signal input
-rlabel metal2 s 131348 -480 131460 240 8 la_oenb[8]
+rlabel metal2 s 262696 -960 262920 480 8 la_oenb[8]
 port 305 nsew signal input
-rlabel metal2 s 134204 -480 134316 240 8 la_oenb[9]
+rlabel metal2 s 268408 -960 268632 480 8 la_oenb[9]
 port 306 nsew signal input
-rlabel metal2 s 289380 -480 289492 240 8 user_clock2
+rlabel metal2 s 578760 -960 578984 480 8 user_clock2
 port 307 nsew signal input
-rlabel metal2 s 290332 -480 290444 240 8 user_irq[0]
+rlabel metal2 s 580664 -960 580888 480 8 user_irq[0]
 port 308 nsew signal output
-rlabel metal2 s 291284 -480 291396 240 8 user_irq[1]
+rlabel metal2 s 582568 -960 582792 480 8 user_irq[1]
 port 309 nsew signal output
-rlabel metal2 s 292236 -480 292348 240 8 user_irq[2]
+rlabel metal2 s 584472 -960 584696 480 8 user_irq[2]
 port 310 nsew signal output
-rlabel metal4 s -478 -342 -168 298654 4 vdd
+rlabel metal4 s -956 -684 -336 597308 4 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -478 -342 298510 -32 8 vdd
+rlabel metal5 s -956 -684 597020 -64 8 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -478 298344 298510 298654 6 vdd
+rlabel metal5 s -956 596688 597020 597308 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 298200 -342 298510 298654 6 vdd
+rlabel metal4 s 596400 -684 597020 597308 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 1577 -822 1887 299134 6 vdd
+rlabel metal4 s 3154 -1644 3774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 10577 -822 10887 299134 6 vdd
+rlabel metal4 s 21154 -1644 21774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 19577 -822 19887 299134 6 vdd
+rlabel metal4 s 39154 -1644 39774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 28577 -822 28887 299134 6 vdd
+rlabel metal4 s 57154 -1644 57774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 37577 -822 37887 299134 6 vdd
+rlabel metal4 s 75154 -1644 75774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 46577 -822 46887 299134 6 vdd
+rlabel metal4 s 93154 -1644 93774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 55577 -822 55887 299134 6 vdd
+rlabel metal4 s 111154 -1644 111774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 64577 -822 64887 299134 6 vdd
+rlabel metal4 s 129154 -1644 129774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 73577 -822 73887 299134 6 vdd
+rlabel metal4 s 147154 -1644 147774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 82577 -822 82887 299134 6 vdd
+rlabel metal4 s 165154 -1644 165774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 91577 -822 91887 299134 6 vdd
+rlabel metal4 s 183154 -1644 183774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 100577 -822 100887 299134 6 vdd
+rlabel metal4 s 201154 -1644 201774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 109577 -822 109887 299134 6 vdd
+rlabel metal4 s 219154 -1644 219774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 118577 -822 118887 299134 6 vdd
+rlabel metal4 s 237154 -1644 237774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 127577 -822 127887 299134 6 vdd
+rlabel metal4 s 255154 -1644 255774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 136577 -822 136887 299134 6 vdd
+rlabel metal4 s 273154 -1644 273774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 145577 -822 145887 299134 6 vdd
+rlabel metal4 s 291154 -1644 291774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 154577 -822 154887 299134 6 vdd
+rlabel metal4 s 309154 -1644 309774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 163577 -822 163887 299134 6 vdd
+rlabel metal4 s 327154 -1644 327774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 172577 -822 172887 299134 6 vdd
+rlabel metal4 s 345154 -1644 345774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 181577 -822 181887 299134 6 vdd
+rlabel metal4 s 363154 -1644 363774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 190577 -822 190887 299134 6 vdd
+rlabel metal4 s 381154 -1644 381774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 199577 -822 199887 299134 6 vdd
+rlabel metal4 s 399154 -1644 399774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 208577 -822 208887 299134 6 vdd
+rlabel metal4 s 417154 -1644 417774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 217577 -822 217887 299134 6 vdd
+rlabel metal4 s 435154 -1644 435774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 226577 -822 226887 299134 6 vdd
+rlabel metal4 s 453154 -1644 453774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 235577 -822 235887 299134 6 vdd
+rlabel metal4 s 471154 -1644 471774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 244577 -822 244887 299134 6 vdd
+rlabel metal4 s 489154 -1644 489774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 253577 -822 253887 299134 6 vdd
+rlabel metal4 s 507154 -1644 507774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 262577 -822 262887 299134 6 vdd
+rlabel metal4 s 525154 -1644 525774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 271577 -822 271887 299134 6 vdd
+rlabel metal4 s 543154 -1644 543774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 280577 -822 280887 299134 6 vdd
+rlabel metal4 s 561154 -1644 561774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s 289577 -822 289887 299134 6 vdd
+rlabel metal4 s 579154 -1644 579774 598268 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 1913 298990 2223 6 vdd
+rlabel metal5 s -1916 3826 597980 4446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 10913 298990 11223 6 vdd
+rlabel metal5 s -1916 21826 597980 22446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 19913 298990 20223 6 vdd
+rlabel metal5 s -1916 39826 597980 40446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 28913 298990 29223 6 vdd
+rlabel metal5 s -1916 57826 597980 58446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 37913 298990 38223 6 vdd
+rlabel metal5 s -1916 75826 597980 76446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 46913 298990 47223 6 vdd
+rlabel metal5 s -1916 93826 597980 94446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 55913 298990 56223 6 vdd
+rlabel metal5 s -1916 111826 597980 112446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 64913 298990 65223 6 vdd
+rlabel metal5 s -1916 129826 597980 130446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 73913 298990 74223 6 vdd
+rlabel metal5 s -1916 147826 597980 148446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 82913 298990 83223 6 vdd
+rlabel metal5 s -1916 165826 597980 166446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 91913 298990 92223 6 vdd
+rlabel metal5 s -1916 183826 597980 184446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 100913 298990 101223 6 vdd
+rlabel metal5 s -1916 201826 597980 202446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 109913 298990 110223 6 vdd
+rlabel metal5 s -1916 219826 597980 220446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 118913 298990 119223 6 vdd
+rlabel metal5 s -1916 237826 597980 238446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 127913 298990 128223 6 vdd
+rlabel metal5 s -1916 255826 597980 256446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 136913 298990 137223 6 vdd
+rlabel metal5 s -1916 273826 597980 274446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 145913 298990 146223 6 vdd
+rlabel metal5 s -1916 291826 597980 292446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 154913 298990 155223 6 vdd
+rlabel metal5 s -1916 309826 597980 310446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 163913 298990 164223 6 vdd
+rlabel metal5 s -1916 327826 597980 328446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 172913 298990 173223 6 vdd
+rlabel metal5 s -1916 345826 597980 346446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 181913 298990 182223 6 vdd
+rlabel metal5 s -1916 363826 597980 364446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 190913 298990 191223 6 vdd
+rlabel metal5 s -1916 381826 597980 382446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 199913 298990 200223 6 vdd
+rlabel metal5 s -1916 399826 597980 400446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 208913 298990 209223 6 vdd
+rlabel metal5 s -1916 417826 597980 418446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 217913 298990 218223 6 vdd
+rlabel metal5 s -1916 435826 597980 436446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 226913 298990 227223 6 vdd
+rlabel metal5 s -1916 453826 597980 454446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 235913 298990 236223 6 vdd
+rlabel metal5 s -1916 471826 597980 472446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 244913 298990 245223 6 vdd
+rlabel metal5 s -1916 489826 597980 490446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 253913 298990 254223 6 vdd
+rlabel metal5 s -1916 507826 597980 508446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 262913 298990 263223 6 vdd
+rlabel metal5 s -1916 525826 597980 526446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 271913 298990 272223 6 vdd
+rlabel metal5 s -1916 543826 597980 544446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 280913 298990 281223 6 vdd
+rlabel metal5 s -1916 561826 597980 562446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal5 s -958 289913 298990 290223 6 vdd
+rlabel metal5 s -1916 579826 597980 580446 6 vdd
 port 311 nsew power bidirectional
-rlabel metal4 s -958 -822 -648 299134 4 vss
+rlabel metal4 s -1916 -1644 -1296 598268 4 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 -822 298990 -512 8 vss
+rlabel metal5 s -1916 -1644 597980 -1024 8 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 298824 298990 299134 6 vss
+rlabel metal5 s -1916 597648 597980 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 298680 -822 298990 299134 6 vss
+rlabel metal4 s 597360 -1644 597980 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 3437 -822 3747 299134 6 vss
+rlabel metal4 s 6874 -1644 7494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 12437 -822 12747 299134 6 vss
+rlabel metal4 s 24874 -1644 25494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 21437 -822 21747 299134 6 vss
+rlabel metal4 s 42874 -1644 43494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 30437 -822 30747 299134 6 vss
+rlabel metal4 s 60874 -1644 61494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 39437 -822 39747 299134 6 vss
+rlabel metal4 s 78874 -1644 79494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 48437 -822 48747 299134 6 vss
+rlabel metal4 s 96874 -1644 97494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 57437 -822 57747 299134 6 vss
+rlabel metal4 s 114874 -1644 115494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 66437 -822 66747 299134 6 vss
+rlabel metal4 s 132874 -1644 133494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 75437 -822 75747 299134 6 vss
+rlabel metal4 s 150874 -1644 151494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 84437 -822 84747 299134 6 vss
+rlabel metal4 s 168874 -1644 169494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 93437 -822 93747 299134 6 vss
+rlabel metal4 s 186874 -1644 187494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 102437 -822 102747 299134 6 vss
+rlabel metal4 s 204874 -1644 205494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 111437 -822 111747 299134 6 vss
+rlabel metal4 s 222874 -1644 223494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 120437 -822 120747 299134 6 vss
+rlabel metal4 s 240874 -1644 241494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 129437 -822 129747 299134 6 vss
+rlabel metal4 s 258874 -1644 259494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 138437 -822 138747 299134 6 vss
+rlabel metal4 s 276874 -1644 277494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 147437 -822 147747 299134 6 vss
+rlabel metal4 s 294874 -1644 295494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 156437 -822 156747 299134 6 vss
+rlabel metal4 s 312874 -1644 313494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 165437 -822 165747 299134 6 vss
+rlabel metal4 s 330874 -1644 331494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 174437 -822 174747 299134 6 vss
+rlabel metal4 s 348874 -1644 349494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 183437 -822 183747 299134 6 vss
+rlabel metal4 s 366874 -1644 367494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 192437 -822 192747 299134 6 vss
+rlabel metal4 s 384874 -1644 385494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 201437 -822 201747 299134 6 vss
+rlabel metal4 s 402874 -1644 403494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 210437 -822 210747 299134 6 vss
+rlabel metal4 s 420874 -1644 421494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 219437 -822 219747 299134 6 vss
+rlabel metal4 s 438874 -1644 439494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 228437 -822 228747 299134 6 vss
+rlabel metal4 s 456874 -1644 457494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 237437 -822 237747 299134 6 vss
+rlabel metal4 s 474874 -1644 475494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 246437 -822 246747 299134 6 vss
+rlabel metal4 s 492874 -1644 493494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 255437 -822 255747 299134 6 vss
+rlabel metal4 s 510874 -1644 511494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 264437 -822 264747 299134 6 vss
+rlabel metal4 s 528874 -1644 529494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 273437 -822 273747 299134 6 vss
+rlabel metal4 s 546874 -1644 547494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 282437 -822 282747 299134 6 vss
+rlabel metal4 s 564874 -1644 565494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal4 s 291437 -822 291747 299134 6 vss
+rlabel metal4 s 582874 -1644 583494 598268 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 4913 298990 5223 6 vss
+rlabel metal5 s -1916 9826 597980 10446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 13913 298990 14223 6 vss
+rlabel metal5 s -1916 27826 597980 28446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 22913 298990 23223 6 vss
+rlabel metal5 s -1916 45826 597980 46446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 31913 298990 32223 6 vss
+rlabel metal5 s -1916 63826 597980 64446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 40913 298990 41223 6 vss
+rlabel metal5 s -1916 81826 597980 82446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 49913 298990 50223 6 vss
+rlabel metal5 s -1916 99826 597980 100446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 58913 298990 59223 6 vss
+rlabel metal5 s -1916 117826 597980 118446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 67913 298990 68223 6 vss
+rlabel metal5 s -1916 135826 597980 136446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 76913 298990 77223 6 vss
+rlabel metal5 s -1916 153826 597980 154446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 85913 298990 86223 6 vss
+rlabel metal5 s -1916 171826 597980 172446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 94913 298990 95223 6 vss
+rlabel metal5 s -1916 189826 597980 190446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 103913 298990 104223 6 vss
+rlabel metal5 s -1916 207826 597980 208446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 112913 298990 113223 6 vss
+rlabel metal5 s -1916 225826 597980 226446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 121913 298990 122223 6 vss
+rlabel metal5 s -1916 243826 597980 244446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 130913 298990 131223 6 vss
+rlabel metal5 s -1916 261826 597980 262446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 139913 298990 140223 6 vss
+rlabel metal5 s -1916 279826 597980 280446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 148913 298990 149223 6 vss
+rlabel metal5 s -1916 297826 597980 298446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 157913 298990 158223 6 vss
+rlabel metal5 s -1916 315826 597980 316446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 166913 298990 167223 6 vss
+rlabel metal5 s -1916 333826 597980 334446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 175913 298990 176223 6 vss
+rlabel metal5 s -1916 351826 597980 352446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 184913 298990 185223 6 vss
+rlabel metal5 s -1916 369826 597980 370446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 193913 298990 194223 6 vss
+rlabel metal5 s -1916 387826 597980 388446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 202913 298990 203223 6 vss
+rlabel metal5 s -1916 405826 597980 406446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 211913 298990 212223 6 vss
+rlabel metal5 s -1916 423826 597980 424446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 220913 298990 221223 6 vss
+rlabel metal5 s -1916 441826 597980 442446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 229913 298990 230223 6 vss
+rlabel metal5 s -1916 459826 597980 460446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 238913 298990 239223 6 vss
+rlabel metal5 s -1916 477826 597980 478446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 247913 298990 248223 6 vss
+rlabel metal5 s -1916 495826 597980 496446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 256913 298990 257223 6 vss
+rlabel metal5 s -1916 513826 597980 514446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 265913 298990 266223 6 vss
+rlabel metal5 s -1916 531826 597980 532446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 274913 298990 275223 6 vss
+rlabel metal5 s -1916 549826 597980 550446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 283913 298990 284223 6 vss
+rlabel metal5 s -1916 567826 597980 568446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal5 s -958 292913 298990 293223 6 vss
+rlabel metal5 s -1916 585826 597980 586446 6 vss
 port 312 nsew ground bidirectional
-rlabel metal2 s 5684 -480 5796 240 8 wb_clk_i
+rlabel metal2 s 11368 -960 11592 480 8 wb_clk_i
 port 313 nsew signal input
-rlabel metal2 s 6636 -480 6748 240 8 wb_rst_i
+rlabel metal2 s 13272 -960 13496 480 8 wb_rst_i
 port 314 nsew signal input
-rlabel metal2 s 7588 -480 7700 240 8 wbs_ack_o
+rlabel metal2 s 15176 -960 15400 480 8 wbs_ack_o
 port 315 nsew signal output
-rlabel metal2 s 11396 -480 11508 240 8 wbs_adr_i[0]
+rlabel metal2 s 22792 -960 23016 480 8 wbs_adr_i[0]
 port 316 nsew signal input
-rlabel metal2 s 43764 -480 43876 240 8 wbs_adr_i[10]
+rlabel metal2 s 87528 -960 87752 480 8 wbs_adr_i[10]
 port 317 nsew signal input
-rlabel metal2 s 46620 -480 46732 240 8 wbs_adr_i[11]
+rlabel metal2 s 93240 -960 93464 480 8 wbs_adr_i[11]
 port 318 nsew signal input
-rlabel metal2 s 49476 -480 49588 240 8 wbs_adr_i[12]
+rlabel metal2 s 98952 -960 99176 480 8 wbs_adr_i[12]
 port 319 nsew signal input
-rlabel metal2 s 52332 -480 52444 240 8 wbs_adr_i[13]
+rlabel metal2 s 104664 -960 104888 480 8 wbs_adr_i[13]
 port 320 nsew signal input
-rlabel metal2 s 55188 -480 55300 240 8 wbs_adr_i[14]
+rlabel metal2 s 110376 -960 110600 480 8 wbs_adr_i[14]
 port 321 nsew signal input
-rlabel metal2 s 58044 -480 58156 240 8 wbs_adr_i[15]
+rlabel metal2 s 116088 -960 116312 480 8 wbs_adr_i[15]
 port 322 nsew signal input
-rlabel metal2 s 60900 -480 61012 240 8 wbs_adr_i[16]
+rlabel metal2 s 121800 -960 122024 480 8 wbs_adr_i[16]
 port 323 nsew signal input
-rlabel metal2 s 63756 -480 63868 240 8 wbs_adr_i[17]
+rlabel metal2 s 127512 -960 127736 480 8 wbs_adr_i[17]
 port 324 nsew signal input
-rlabel metal2 s 66612 -480 66724 240 8 wbs_adr_i[18]
+rlabel metal2 s 133224 -960 133448 480 8 wbs_adr_i[18]
 port 325 nsew signal input
-rlabel metal2 s 69468 -480 69580 240 8 wbs_adr_i[19]
+rlabel metal2 s 138936 -960 139160 480 8 wbs_adr_i[19]
 port 326 nsew signal input
-rlabel metal2 s 15204 -480 15316 240 8 wbs_adr_i[1]
+rlabel metal2 s 30408 -960 30632 480 8 wbs_adr_i[1]
 port 327 nsew signal input
-rlabel metal2 s 72324 -480 72436 240 8 wbs_adr_i[20]
+rlabel metal2 s 144648 -960 144872 480 8 wbs_adr_i[20]
 port 328 nsew signal input
-rlabel metal2 s 75180 -480 75292 240 8 wbs_adr_i[21]
+rlabel metal2 s 150360 -960 150584 480 8 wbs_adr_i[21]
 port 329 nsew signal input
-rlabel metal2 s 78036 -480 78148 240 8 wbs_adr_i[22]
+rlabel metal2 s 156072 -960 156296 480 8 wbs_adr_i[22]
 port 330 nsew signal input
-rlabel metal2 s 80892 -480 81004 240 8 wbs_adr_i[23]
+rlabel metal2 s 161784 -960 162008 480 8 wbs_adr_i[23]
 port 331 nsew signal input
-rlabel metal2 s 83748 -480 83860 240 8 wbs_adr_i[24]
+rlabel metal2 s 167496 -960 167720 480 8 wbs_adr_i[24]
 port 332 nsew signal input
-rlabel metal2 s 86604 -480 86716 240 8 wbs_adr_i[25]
+rlabel metal2 s 173208 -960 173432 480 8 wbs_adr_i[25]
 port 333 nsew signal input
-rlabel metal2 s 89460 -480 89572 240 8 wbs_adr_i[26]
+rlabel metal2 s 178920 -960 179144 480 8 wbs_adr_i[26]
 port 334 nsew signal input
-rlabel metal2 s 92316 -480 92428 240 8 wbs_adr_i[27]
+rlabel metal2 s 184632 -960 184856 480 8 wbs_adr_i[27]
 port 335 nsew signal input
-rlabel metal2 s 95172 -480 95284 240 8 wbs_adr_i[28]
+rlabel metal2 s 190344 -960 190568 480 8 wbs_adr_i[28]
 port 336 nsew signal input
-rlabel metal2 s 98028 -480 98140 240 8 wbs_adr_i[29]
+rlabel metal2 s 196056 -960 196280 480 8 wbs_adr_i[29]
 port 337 nsew signal input
-rlabel metal2 s 19012 -480 19124 240 8 wbs_adr_i[2]
+rlabel metal2 s 38024 -960 38248 480 8 wbs_adr_i[2]
 port 338 nsew signal input
-rlabel metal2 s 100884 -480 100996 240 8 wbs_adr_i[30]
+rlabel metal2 s 201768 -960 201992 480 8 wbs_adr_i[30]
 port 339 nsew signal input
-rlabel metal2 s 103740 -480 103852 240 8 wbs_adr_i[31]
+rlabel metal2 s 207480 -960 207704 480 8 wbs_adr_i[31]
 port 340 nsew signal input
-rlabel metal2 s 22820 -480 22932 240 8 wbs_adr_i[3]
+rlabel metal2 s 45640 -960 45864 480 8 wbs_adr_i[3]
 port 341 nsew signal input
-rlabel metal2 s 26628 -480 26740 240 8 wbs_adr_i[4]
+rlabel metal2 s 53256 -960 53480 480 8 wbs_adr_i[4]
 port 342 nsew signal input
-rlabel metal2 s 29484 -480 29596 240 8 wbs_adr_i[5]
+rlabel metal2 s 58968 -960 59192 480 8 wbs_adr_i[5]
 port 343 nsew signal input
-rlabel metal2 s 32340 -480 32452 240 8 wbs_adr_i[6]
+rlabel metal2 s 64680 -960 64904 480 8 wbs_adr_i[6]
 port 344 nsew signal input
-rlabel metal2 s 35196 -480 35308 240 8 wbs_adr_i[7]
+rlabel metal2 s 70392 -960 70616 480 8 wbs_adr_i[7]
 port 345 nsew signal input
-rlabel metal2 s 38052 -480 38164 240 8 wbs_adr_i[8]
+rlabel metal2 s 76104 -960 76328 480 8 wbs_adr_i[8]
 port 346 nsew signal input
-rlabel metal2 s 40908 -480 41020 240 8 wbs_adr_i[9]
+rlabel metal2 s 81816 -960 82040 480 8 wbs_adr_i[9]
 port 347 nsew signal input
-rlabel metal2 s 8540 -480 8652 240 8 wbs_cyc_i
+rlabel metal2 s 17080 -960 17304 480 8 wbs_cyc_i
 port 348 nsew signal input
-rlabel metal2 s 12348 -480 12460 240 8 wbs_dat_i[0]
+rlabel metal2 s 24696 -960 24920 480 8 wbs_dat_i[0]
 port 349 nsew signal input
-rlabel metal2 s 44716 -480 44828 240 8 wbs_dat_i[10]
+rlabel metal2 s 89432 -960 89656 480 8 wbs_dat_i[10]
 port 350 nsew signal input
-rlabel metal2 s 47572 -480 47684 240 8 wbs_dat_i[11]
+rlabel metal2 s 95144 -960 95368 480 8 wbs_dat_i[11]
 port 351 nsew signal input
-rlabel metal2 s 50428 -480 50540 240 8 wbs_dat_i[12]
+rlabel metal2 s 100856 -960 101080 480 8 wbs_dat_i[12]
 port 352 nsew signal input
-rlabel metal2 s 53284 -480 53396 240 8 wbs_dat_i[13]
+rlabel metal2 s 106568 -960 106792 480 8 wbs_dat_i[13]
 port 353 nsew signal input
-rlabel metal2 s 56140 -480 56252 240 8 wbs_dat_i[14]
+rlabel metal2 s 112280 -960 112504 480 8 wbs_dat_i[14]
 port 354 nsew signal input
-rlabel metal2 s 58996 -480 59108 240 8 wbs_dat_i[15]
+rlabel metal2 s 117992 -960 118216 480 8 wbs_dat_i[15]
 port 355 nsew signal input
-rlabel metal2 s 61852 -480 61964 240 8 wbs_dat_i[16]
+rlabel metal2 s 123704 -960 123928 480 8 wbs_dat_i[16]
 port 356 nsew signal input
-rlabel metal2 s 64708 -480 64820 240 8 wbs_dat_i[17]
+rlabel metal2 s 129416 -960 129640 480 8 wbs_dat_i[17]
 port 357 nsew signal input
-rlabel metal2 s 67564 -480 67676 240 8 wbs_dat_i[18]
+rlabel metal2 s 135128 -960 135352 480 8 wbs_dat_i[18]
 port 358 nsew signal input
-rlabel metal2 s 70420 -480 70532 240 8 wbs_dat_i[19]
+rlabel metal2 s 140840 -960 141064 480 8 wbs_dat_i[19]
 port 359 nsew signal input
-rlabel metal2 s 16156 -480 16268 240 8 wbs_dat_i[1]
+rlabel metal2 s 32312 -960 32536 480 8 wbs_dat_i[1]
 port 360 nsew signal input
-rlabel metal2 s 73276 -480 73388 240 8 wbs_dat_i[20]
+rlabel metal2 s 146552 -960 146776 480 8 wbs_dat_i[20]
 port 361 nsew signal input
-rlabel metal2 s 76132 -480 76244 240 8 wbs_dat_i[21]
+rlabel metal2 s 152264 -960 152488 480 8 wbs_dat_i[21]
 port 362 nsew signal input
-rlabel metal2 s 78988 -480 79100 240 8 wbs_dat_i[22]
+rlabel metal2 s 157976 -960 158200 480 8 wbs_dat_i[22]
 port 363 nsew signal input
-rlabel metal2 s 81844 -480 81956 240 8 wbs_dat_i[23]
+rlabel metal2 s 163688 -960 163912 480 8 wbs_dat_i[23]
 port 364 nsew signal input
-rlabel metal2 s 84700 -480 84812 240 8 wbs_dat_i[24]
+rlabel metal2 s 169400 -960 169624 480 8 wbs_dat_i[24]
 port 365 nsew signal input
-rlabel metal2 s 87556 -480 87668 240 8 wbs_dat_i[25]
+rlabel metal2 s 175112 -960 175336 480 8 wbs_dat_i[25]
 port 366 nsew signal input
-rlabel metal2 s 90412 -480 90524 240 8 wbs_dat_i[26]
+rlabel metal2 s 180824 -960 181048 480 8 wbs_dat_i[26]
 port 367 nsew signal input
-rlabel metal2 s 93268 -480 93380 240 8 wbs_dat_i[27]
+rlabel metal2 s 186536 -960 186760 480 8 wbs_dat_i[27]
 port 368 nsew signal input
-rlabel metal2 s 96124 -480 96236 240 8 wbs_dat_i[28]
+rlabel metal2 s 192248 -960 192472 480 8 wbs_dat_i[28]
 port 369 nsew signal input
-rlabel metal2 s 98980 -480 99092 240 8 wbs_dat_i[29]
+rlabel metal2 s 197960 -960 198184 480 8 wbs_dat_i[29]
 port 370 nsew signal input
-rlabel metal2 s 19964 -480 20076 240 8 wbs_dat_i[2]
+rlabel metal2 s 39928 -960 40152 480 8 wbs_dat_i[2]
 port 371 nsew signal input
-rlabel metal2 s 101836 -480 101948 240 8 wbs_dat_i[30]
+rlabel metal2 s 203672 -960 203896 480 8 wbs_dat_i[30]
 port 372 nsew signal input
-rlabel metal2 s 104692 -480 104804 240 8 wbs_dat_i[31]
+rlabel metal2 s 209384 -960 209608 480 8 wbs_dat_i[31]
 port 373 nsew signal input
-rlabel metal2 s 23772 -480 23884 240 8 wbs_dat_i[3]
+rlabel metal2 s 47544 -960 47768 480 8 wbs_dat_i[3]
 port 374 nsew signal input
-rlabel metal2 s 27580 -480 27692 240 8 wbs_dat_i[4]
+rlabel metal2 s 55160 -960 55384 480 8 wbs_dat_i[4]
 port 375 nsew signal input
-rlabel metal2 s 30436 -480 30548 240 8 wbs_dat_i[5]
+rlabel metal2 s 60872 -960 61096 480 8 wbs_dat_i[5]
 port 376 nsew signal input
-rlabel metal2 s 33292 -480 33404 240 8 wbs_dat_i[6]
+rlabel metal2 s 66584 -960 66808 480 8 wbs_dat_i[6]
 port 377 nsew signal input
-rlabel metal2 s 36148 -480 36260 240 8 wbs_dat_i[7]
+rlabel metal2 s 72296 -960 72520 480 8 wbs_dat_i[7]
 port 378 nsew signal input
-rlabel metal2 s 39004 -480 39116 240 8 wbs_dat_i[8]
+rlabel metal2 s 78008 -960 78232 480 8 wbs_dat_i[8]
 port 379 nsew signal input
-rlabel metal2 s 41860 -480 41972 240 8 wbs_dat_i[9]
+rlabel metal2 s 83720 -960 83944 480 8 wbs_dat_i[9]
 port 380 nsew signal input
-rlabel metal2 s 13300 -480 13412 240 8 wbs_dat_o[0]
+rlabel metal2 s 26600 -960 26824 480 8 wbs_dat_o[0]
 port 381 nsew signal output
-rlabel metal2 s 45668 -480 45780 240 8 wbs_dat_o[10]
+rlabel metal2 s 91336 -960 91560 480 8 wbs_dat_o[10]
 port 382 nsew signal output
-rlabel metal2 s 48524 -480 48636 240 8 wbs_dat_o[11]
+rlabel metal2 s 97048 -960 97272 480 8 wbs_dat_o[11]
 port 383 nsew signal output
-rlabel metal2 s 51380 -480 51492 240 8 wbs_dat_o[12]
+rlabel metal2 s 102760 -960 102984 480 8 wbs_dat_o[12]
 port 384 nsew signal output
-rlabel metal2 s 54236 -480 54348 240 8 wbs_dat_o[13]
+rlabel metal2 s 108472 -960 108696 480 8 wbs_dat_o[13]
 port 385 nsew signal output
-rlabel metal2 s 57092 -480 57204 240 8 wbs_dat_o[14]
+rlabel metal2 s 114184 -960 114408 480 8 wbs_dat_o[14]
 port 386 nsew signal output
-rlabel metal2 s 59948 -480 60060 240 8 wbs_dat_o[15]
+rlabel metal2 s 119896 -960 120120 480 8 wbs_dat_o[15]
 port 387 nsew signal output
-rlabel metal2 s 62804 -480 62916 240 8 wbs_dat_o[16]
+rlabel metal2 s 125608 -960 125832 480 8 wbs_dat_o[16]
 port 388 nsew signal output
-rlabel metal2 s 65660 -480 65772 240 8 wbs_dat_o[17]
+rlabel metal2 s 131320 -960 131544 480 8 wbs_dat_o[17]
 port 389 nsew signal output
-rlabel metal2 s 68516 -480 68628 240 8 wbs_dat_o[18]
+rlabel metal2 s 137032 -960 137256 480 8 wbs_dat_o[18]
 port 390 nsew signal output
-rlabel metal2 s 71372 -480 71484 240 8 wbs_dat_o[19]
+rlabel metal2 s 142744 -960 142968 480 8 wbs_dat_o[19]
 port 391 nsew signal output
-rlabel metal2 s 17108 -480 17220 240 8 wbs_dat_o[1]
+rlabel metal2 s 34216 -960 34440 480 8 wbs_dat_o[1]
 port 392 nsew signal output
-rlabel metal2 s 74228 -480 74340 240 8 wbs_dat_o[20]
+rlabel metal2 s 148456 -960 148680 480 8 wbs_dat_o[20]
 port 393 nsew signal output
-rlabel metal2 s 77084 -480 77196 240 8 wbs_dat_o[21]
+rlabel metal2 s 154168 -960 154392 480 8 wbs_dat_o[21]
 port 394 nsew signal output
-rlabel metal2 s 79940 -480 80052 240 8 wbs_dat_o[22]
+rlabel metal2 s 159880 -960 160104 480 8 wbs_dat_o[22]
 port 395 nsew signal output
-rlabel metal2 s 82796 -480 82908 240 8 wbs_dat_o[23]
+rlabel metal2 s 165592 -960 165816 480 8 wbs_dat_o[23]
 port 396 nsew signal output
-rlabel metal2 s 85652 -480 85764 240 8 wbs_dat_o[24]
+rlabel metal2 s 171304 -960 171528 480 8 wbs_dat_o[24]
 port 397 nsew signal output
-rlabel metal2 s 88508 -480 88620 240 8 wbs_dat_o[25]
+rlabel metal2 s 177016 -960 177240 480 8 wbs_dat_o[25]
 port 398 nsew signal output
-rlabel metal2 s 91364 -480 91476 240 8 wbs_dat_o[26]
+rlabel metal2 s 182728 -960 182952 480 8 wbs_dat_o[26]
 port 399 nsew signal output
-rlabel metal2 s 94220 -480 94332 240 8 wbs_dat_o[27]
+rlabel metal2 s 188440 -960 188664 480 8 wbs_dat_o[27]
 port 400 nsew signal output
-rlabel metal2 s 97076 -480 97188 240 8 wbs_dat_o[28]
+rlabel metal2 s 194152 -960 194376 480 8 wbs_dat_o[28]
 port 401 nsew signal output
-rlabel metal2 s 99932 -480 100044 240 8 wbs_dat_o[29]
+rlabel metal2 s 199864 -960 200088 480 8 wbs_dat_o[29]
 port 402 nsew signal output
-rlabel metal2 s 20916 -480 21028 240 8 wbs_dat_o[2]
+rlabel metal2 s 41832 -960 42056 480 8 wbs_dat_o[2]
 port 403 nsew signal output
-rlabel metal2 s 102788 -480 102900 240 8 wbs_dat_o[30]
+rlabel metal2 s 205576 -960 205800 480 8 wbs_dat_o[30]
 port 404 nsew signal output
-rlabel metal2 s 105644 -480 105756 240 8 wbs_dat_o[31]
+rlabel metal2 s 211288 -960 211512 480 8 wbs_dat_o[31]
 port 405 nsew signal output
-rlabel metal2 s 24724 -480 24836 240 8 wbs_dat_o[3]
+rlabel metal2 s 49448 -960 49672 480 8 wbs_dat_o[3]
 port 406 nsew signal output
-rlabel metal2 s 28532 -480 28644 240 8 wbs_dat_o[4]
+rlabel metal2 s 57064 -960 57288 480 8 wbs_dat_o[4]
 port 407 nsew signal output
-rlabel metal2 s 31388 -480 31500 240 8 wbs_dat_o[5]
+rlabel metal2 s 62776 -960 63000 480 8 wbs_dat_o[5]
 port 408 nsew signal output
-rlabel metal2 s 34244 -480 34356 240 8 wbs_dat_o[6]
+rlabel metal2 s 68488 -960 68712 480 8 wbs_dat_o[6]
 port 409 nsew signal output
-rlabel metal2 s 37100 -480 37212 240 8 wbs_dat_o[7]
+rlabel metal2 s 74200 -960 74424 480 8 wbs_dat_o[7]
 port 410 nsew signal output
-rlabel metal2 s 39956 -480 40068 240 8 wbs_dat_o[8]
+rlabel metal2 s 79912 -960 80136 480 8 wbs_dat_o[8]
 port 411 nsew signal output
-rlabel metal2 s 42812 -480 42924 240 8 wbs_dat_o[9]
+rlabel metal2 s 85624 -960 85848 480 8 wbs_dat_o[9]
 port 412 nsew signal output
-rlabel metal2 s 14252 -480 14364 240 8 wbs_sel_i[0]
+rlabel metal2 s 28504 -960 28728 480 8 wbs_sel_i[0]
 port 413 nsew signal input
-rlabel metal2 s 18060 -480 18172 240 8 wbs_sel_i[1]
+rlabel metal2 s 36120 -960 36344 480 8 wbs_sel_i[1]
 port 414 nsew signal input
-rlabel metal2 s 21868 -480 21980 240 8 wbs_sel_i[2]
+rlabel metal2 s 43736 -960 43960 480 8 wbs_sel_i[2]
 port 415 nsew signal input
-rlabel metal2 s 25676 -480 25788 240 8 wbs_sel_i[3]
+rlabel metal2 s 51352 -960 51576 480 8 wbs_sel_i[3]
 port 416 nsew signal input
-rlabel metal2 s 9492 -480 9604 240 8 wbs_stb_i
+rlabel metal2 s 18984 -960 19208 480 8 wbs_stb_i
 port 417 nsew signal input
-rlabel metal2 s 10444 -480 10556 240 8 wbs_we_i
+rlabel metal2 s 20888 -960 21112 480 8 wbs_we_i
 port 418 nsew signal input
 << properties >>
-string FIXED_BBOX 0 0 298020 298020
+string FIXED_BBOX 0 0 596040 596040
 string LEFclass BLOCK
 string LEFview TRUE
-string GDS_END 2643830
-string GDS_FILE /home/uri/p/gf180_skullfet/openlane/user_project_wrapper/runs/22_12_04_00_35/results/signoff/user_project_wrapper.magic.gds
-string GDS_START 16580
+string GDS_END 2701014
+string GDS_FILE /home/uri/p/gf180_skullfet/openlane/user_project_wrapper/runs/22_12_04_12_31/results/signoff/user_project_wrapper.magic.gds
+string GDS_START 68704
 << end >>
 
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index 8b18f20..721fc40 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Sat Dec  3 22:35:20 2022
+# Sun Dec  4 10:31:28 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/sdf/multicorner/nom/user_project_wrapper.ff.sdf b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
index 998c47f..cbd5f43 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ff.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Sat Dec  3 22:35:40 2022")
+ (DATE "Sun Dec  4 10:31:48 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
@@ -12,8 +12,11 @@
   (INSTANCE)
   (DELAY
    (ABSOLUTE
-    (INTERCONNECT io_in[8] inv1.A (2.718:2.718:2.718) (1.754:1.754:1.754))
-    (INTERCONNECT inv1.Y io_out[16] (0.335:0.335:0.335))
+    (INTERCONNECT io_in[10] nand1.B (3.569:3.569:3.569) (2.285:2.285:2.285))
+    (INTERCONNECT io_in[8] inv1.A (4.417:4.417:4.417) (2.831:2.831:2.831))
+    (INTERCONNECT io_in[9] nand1.A (4.181:4.181:4.181) (2.663:2.663:2.663))
+    (INTERCONNECT inv1.Y io_out[16] (0.350:0.350:0.350))
+    (INTERCONNECT nand1.Y io_out[17] (0.395:0.395:0.395))
    )
   )
  )
diff --git a/sdf/multicorner/nom/user_project_wrapper.ss.sdf b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
index c5ff713..1a24948 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ss.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Sat Dec  3 22:35:40 2022")
+ (DATE "Sun Dec  4 10:31:48 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
@@ -12,8 +12,11 @@
   (INSTANCE)
   (DELAY
    (ABSOLUTE
-    (INTERCONNECT io_in[8] inv1.A (6.508:6.508:6.508) (4.072:4.072:4.072))
-    (INTERCONNECT inv1.Y io_out[16] (0.335:0.335:0.335))
+    (INTERCONNECT io_in[10] nand1.B (8.611:8.611:8.611) (5.373:5.373:5.373))
+    (INTERCONNECT io_in[8] inv1.A (10.621:10.621:10.621) (6.635:6.635:6.635))
+    (INTERCONNECT io_in[9] nand1.A (10.152:10.152:10.152) (6.319:6.319:6.319))
+    (INTERCONNECT inv1.Y io_out[16] (0.350:0.350:0.350))
+    (INTERCONNECT nand1.Y io_out[17] (0.395:0.395:0.395))
    )
   )
  )
diff --git a/sdf/multicorner/nom/user_project_wrapper.tt.sdf b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
index 4a2e63c..86ca5b7 100644
--- a/sdf/multicorner/nom/user_project_wrapper.tt.sdf
+++ b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Sat Dec  3 22:35:40 2022")
+ (DATE "Sun Dec  4 10:31:48 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
@@ -12,8 +12,11 @@
   (INSTANCE)
   (DELAY
    (ABSOLUTE
-    (INTERCONNECT io_in[8] inv1.A (3.948:3.948:3.948) (2.526:2.526:2.526))
-    (INTERCONNECT inv1.Y io_out[16] (0.335:0.335:0.335))
+    (INTERCONNECT io_in[10] nand1.B (5.213:5.213:5.213) (3.318:3.318:3.318))
+    (INTERCONNECT io_in[8] inv1.A (6.442:6.442:6.442) (4.106:4.106:4.106))
+    (INTERCONNECT io_in[9] nand1.A (6.130:6.130:6.130) (3.886:3.886:3.886))
+    (INTERCONNECT inv1.Y io_out[16] (0.350:0.350:0.350))
+    (INTERCONNECT nand1.Y io_out[17] (0.395:0.395:0.395))
    )
   )
  )
diff --git a/sdf/user_project_wrapper.sdf b/sdf/user_project_wrapper.sdf
index 65c41bd..618f0d5 100644
--- a/sdf/user_project_wrapper.sdf
+++ b/sdf/user_project_wrapper.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Sat Dec  3 22:35:41 2022")
+ (DATE "Sun Dec  4 10:31:49 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.2")
@@ -12,8 +12,11 @@
   (INSTANCE)
   (DELAY
    (ABSOLUTE
-    (INTERCONNECT io_in[8] inv1.A (3.948:3.948:3.948) (2.526:2.526:2.526))
-    (INTERCONNECT inv1.Y io_out[16] (0.335:0.335:0.335))
+    (INTERCONNECT io_in[10] nand1.B (5.213:5.213:5.213) (3.318:3.318:3.318))
+    (INTERCONNECT io_in[8] inv1.A (6.442:6.442:6.442) (4.106:4.106:4.106))
+    (INTERCONNECT io_in[9] nand1.A (6.130:6.130:6.130) (3.886:3.886:3.886))
+    (INTERCONNECT inv1.Y io_out[16] (0.350:0.350:0.350))
+    (INTERCONNECT nand1.Y io_out[17] (0.395:0.395:0.395))
    )
   )
  )
diff --git a/signoff/user_project_wrapper/metrics.csv b/signoff/user_project_wrapper/metrics.csv
index 1e27faa..50d9f1a 100644
--- a/signoff/user_project_wrapper/metrics.csv
+++ b/signoff/user_project_wrapper/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/uri/p/gf180_skullfet/openlane/user_project_wrapper,user_project_wrapper,22_12_04_00_35,flow completed,0h0m56s0ms,0h0m30s0ms,-2.0,-1,-1,-1,536.43,1,0,0,0,0,0,0,0,-1,0,-1,-1,9478,7,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.05,0.05,0.0,-1,0.03,18,416,18,416,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,8724457.9968,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,100.0,10.0,100,AREA 0,10,50,1,90,90,0.55,0.3,gf180mcu_fd_sc_mcu7t5v0,0

+/home/uri/p/gf180_skullfet/openlane/user_project_wrapper,user_project_wrapper,22_12_04_12_31,flow completed,0h0m55s0ms,0h0m28s0ms,-2.0,-1,-1,-1,535.86,2,0,0,0,0,0,0,0,-1,0,-1,-1,23893,18,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.12,0.13,0.0,-1,0.08,18,416,18,416,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,8724457.9968,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,100.0,10.0,100,AREA 0,10,50,1,90,90,0.55,0.3,gf180mcu_fd_sc_mcu7t5v0,0

diff --git a/spef/multicorner/user_project_wrapper.nom.spef b/spef/multicorner/user_project_wrapper.nom.spef
index 2bb418a..f9126e3 100644
--- a/spef/multicorner/user_project_wrapper.nom.spef
+++ b/spef/multicorner/user_project_wrapper.nom.spef
@@ -431,6 +431,7 @@
 *417 wbs_stb_i
 *418 wbs_we_i
 *419 inv1
+*420 nand1
 
 *PORTS
 io_in[0] I
@@ -850,40 +851,109 @@
 wbs_stb_i I
 wbs_we_i I
 
-*D_NET *37 0.408724
+*D_NET *2 0.542472
+*CONN
+*P io_in[10] I
+*I *420:B I *D skullfet_nand
+*CAP
+1 io_in[10] 0.00100991
+2 *420:B 0.000701875
+3 *2:11 0.115421
+4 *2:10 0.114719
+5 *2:8 0.0463418
+6 *2:7 0.0473517
+7 *2:8 *37:8 0.00216396
+8 *2:8 *38:8 0.214763
+*RES
+1 io_in[10] *2:7 12.825 
+2 *2:7 *2:8 635.31 
+3 *2:8 *2:10 4.5 
+4 *2:10 *2:11 900.63 
+5 *2:11 *420:B 14.1907 
+*END
+
+*D_NET *37 0.666862
 *CONN
 *P io_in[8] I
 *I *419:A I *D skullfet_inverter
 *CAP
-1 io_in[8] 0.00100991
-2 *419:A 0.00123317
-3 *37:11 0.141835
-4 *37:10 0.140602
-5 *37:8 0.0615173
-6 *37:7 0.0625272
+1 io_in[8] 0.000966792
+2 *419:A 0.00482451
+3 *37:11 0.0788658
+4 *37:10 0.0740413
+5 *37:8 0.0321828
+6 *37:7 0.0331496
+7 *419:A *85:11 6.59892e-05
+8 *419:A *85:13 2.16917e-05
+9 *37:8 *38:8 0.18969
+10 *37:11 *85:13 0.250889
+11 *2:8 *37:8 0.00216396
 *RES
-1 io_in[8] *37:7 12.825 
-2 *37:7 *37:8 475.47 
+1 io_in[8] *37:7 12.465 
+2 *37:7 *37:8 494.37 
 3 *37:8 *37:10 4.5 
-4 *37:10 *37:11 892.53 
-5 *37:11 *419:A 18.4676 
+4 *37:10 *37:11 885.69 
+5 *37:11 *419:A 47.0328 
 *END
 
-*D_NET *84 0.427457
+*D_NET *38 0.64251
+*CONN
+*P io_in[9] I
+*I *420:A I *D skullfet_nand
+*CAP
+1 io_in[9] 0.00125228
+2 *420:A 0.000770095
+3 *38:11 0.113503
+4 *38:10 0.112733
+5 *38:8 0.00427334
+6 *38:7 0.00552562
+7 *2:8 *38:8 0.214763
+8 *37:8 *38:8 0.18969
+*RES
+1 io_in[9] *38:7 12.645 
+2 *38:7 *38:8 545.85 
+3 *38:8 *38:10 4.5 
+4 *38:10 *38:11 884.61 
+5 *38:11 *420:A 14.7307 
+*END
+
+*D_NET *84 0.437793
 *CONN
 *P io_out[16] O
 *I *419:Y O *D skullfet_inverter
 *CAP
 1 io_out[16] 0.000201854
-2 *419:Y 0.000240106
-3 *84:14 0.117086
-4 *84:13 0.116884
-5 *84:11 0.0964026
-6 *84:10 0.0966427
+2 *419:Y 0.000214971
+3 *84:14 0.119679
+4 *84:13 0.119477
+5 *84:11 0.0990024
+6 *84:10 0.0992173
 *RES
 1 *419:Y *84:10 10.5907 
-2 *84:10 *84:11 756.27 
+2 *84:10 *84:11 776.43 
 3 *84:11 *84:13 4.5 
-4 *84:13 *84:14 903.51 
+4 *84:13 *84:14 923.31 
 5 *84:14 io_out[16] 2.295 
 *END
+
+*D_NET *85 0.575108
+*CONN
+*P io_out[17] O
+*I *420:Y O *D skullfet_nand
+*CAP
+1 io_out[17] 0.00476033
+2 *420:Y 0.000377248
+3 *85:16 0.120486
+4 *85:15 0.115726
+5 *85:13 0.0412017
+6 *85:11 0.041579
+7 *419:A *85:11 6.59892e-05
+8 *419:A *85:13 2.16917e-05
+9 *37:11 *85:13 0.250889
+*RES
+1 *420:Y *85:11 11.4007 
+2 *85:11 *85:13 627.93 
+3 *85:13 *85:15 4.5 
+4 *85:15 *85:16 894.06 
+5 *85:16 io_out[17] 36.945 
+*END
diff --git a/spef/user_project_wrapper.spef b/spef/user_project_wrapper.spef
index 2bb418a..f9126e3 100644
--- a/spef/user_project_wrapper.spef
+++ b/spef/user_project_wrapper.spef
@@ -431,6 +431,7 @@
 *417 wbs_stb_i
 *418 wbs_we_i
 *419 inv1
+*420 nand1
 
 *PORTS
 io_in[0] I
@@ -850,40 +851,109 @@
 wbs_stb_i I
 wbs_we_i I
 
-*D_NET *37 0.408724
+*D_NET *2 0.542472
+*CONN
+*P io_in[10] I
+*I *420:B I *D skullfet_nand
+*CAP
+1 io_in[10] 0.00100991
+2 *420:B 0.000701875
+3 *2:11 0.115421
+4 *2:10 0.114719
+5 *2:8 0.0463418
+6 *2:7 0.0473517
+7 *2:8 *37:8 0.00216396
+8 *2:8 *38:8 0.214763
+*RES
+1 io_in[10] *2:7 12.825 
+2 *2:7 *2:8 635.31 
+3 *2:8 *2:10 4.5 
+4 *2:10 *2:11 900.63 
+5 *2:11 *420:B 14.1907 
+*END
+
+*D_NET *37 0.666862
 *CONN
 *P io_in[8] I
 *I *419:A I *D skullfet_inverter
 *CAP
-1 io_in[8] 0.00100991
-2 *419:A 0.00123317
-3 *37:11 0.141835
-4 *37:10 0.140602
-5 *37:8 0.0615173
-6 *37:7 0.0625272
+1 io_in[8] 0.000966792
+2 *419:A 0.00482451
+3 *37:11 0.0788658
+4 *37:10 0.0740413
+5 *37:8 0.0321828
+6 *37:7 0.0331496
+7 *419:A *85:11 6.59892e-05
+8 *419:A *85:13 2.16917e-05
+9 *37:8 *38:8 0.18969
+10 *37:11 *85:13 0.250889
+11 *2:8 *37:8 0.00216396
 *RES
-1 io_in[8] *37:7 12.825 
-2 *37:7 *37:8 475.47 
+1 io_in[8] *37:7 12.465 
+2 *37:7 *37:8 494.37 
 3 *37:8 *37:10 4.5 
-4 *37:10 *37:11 892.53 
-5 *37:11 *419:A 18.4676 
+4 *37:10 *37:11 885.69 
+5 *37:11 *419:A 47.0328 
 *END
 
-*D_NET *84 0.427457
+*D_NET *38 0.64251
+*CONN
+*P io_in[9] I
+*I *420:A I *D skullfet_nand
+*CAP
+1 io_in[9] 0.00125228
+2 *420:A 0.000770095
+3 *38:11 0.113503
+4 *38:10 0.112733
+5 *38:8 0.00427334
+6 *38:7 0.00552562
+7 *2:8 *38:8 0.214763
+8 *37:8 *38:8 0.18969
+*RES
+1 io_in[9] *38:7 12.645 
+2 *38:7 *38:8 545.85 
+3 *38:8 *38:10 4.5 
+4 *38:10 *38:11 884.61 
+5 *38:11 *420:A 14.7307 
+*END
+
+*D_NET *84 0.437793
 *CONN
 *P io_out[16] O
 *I *419:Y O *D skullfet_inverter
 *CAP
 1 io_out[16] 0.000201854
-2 *419:Y 0.000240106
-3 *84:14 0.117086
-4 *84:13 0.116884
-5 *84:11 0.0964026
-6 *84:10 0.0966427
+2 *419:Y 0.000214971
+3 *84:14 0.119679
+4 *84:13 0.119477
+5 *84:11 0.0990024
+6 *84:10 0.0992173
 *RES
 1 *419:Y *84:10 10.5907 
-2 *84:10 *84:11 756.27 
+2 *84:10 *84:11 776.43 
 3 *84:11 *84:13 4.5 
-4 *84:13 *84:14 903.51 
+4 *84:13 *84:14 923.31 
 5 *84:14 io_out[16] 2.295 
 *END
+
+*D_NET *85 0.575108
+*CONN
+*P io_out[17] O
+*I *420:Y O *D skullfet_nand
+*CAP
+1 io_out[17] 0.00476033
+2 *420:Y 0.000377248
+3 *85:16 0.120486
+4 *85:15 0.115726
+5 *85:13 0.0412017
+6 *85:11 0.041579
+7 *419:A *85:11 6.59892e-05
+8 *419:A *85:13 2.16917e-05
+9 *37:11 *85:13 0.250889
+*RES
+1 *420:Y *85:11 11.4007 
+2 *85:11 *85:13 627.93 
+3 *85:13 *85:15 4.5 
+4 *85:15 *85:16 894.06 
+5 *85:16 io_out[17] 36.945 
+*END
diff --git a/spi/lvs/user_project_wrapper.spice b/spi/lvs/user_project_wrapper.spice
index 09aa2e6..e21f474 100644
--- a/spi/lvs/user_project_wrapper.spice
+++ b/spi/lvs/user_project_wrapper.spice
@@ -4,6 +4,10 @@
 .subckt skullfet_inverter vss vdd Y A
 .ends
 
+* Black-box entry subcircuit for skullfet_nand abstract view
+.subckt skullfet_nand A B Y vdd vss
+.ends
+
 .subckt user_project_wrapper io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14]
 + io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22]
 + io_in[23] io_in[24] io_in[25] io_in[26] io_in[27] io_in[28] io_in[29] io_in[2] io_in[30]
@@ -68,5 +72,6 @@
 + wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0]
 + wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
 Xinv1 vss vdd io_out[16] io_in[8] skullfet_inverter
+Xnand1 io_in[9] io_in[10] io_out[17] vdd vss skullfet_nand
 .ends
 
diff --git a/verilog/gl/user_project_wrapper.nl.v b/verilog/gl/user_project_wrapper.nl.v
index 2f2ab73..1bc9f64 100644
--- a/verilog/gl/user_project_wrapper.nl.v
+++ b/verilog/gl/user_project_wrapper.nl.v
@@ -39,5 +39,8 @@
 
  skullfet_inverter inv1 (.Y(io_out[16]),
     .A(io_in[8]));
+ skullfet_nand nand1 (.A(io_in[9]),
+    .B(io_in[10]),
+    .Y(io_out[17]));
 endmodule
 
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index efd1d31..32ae7fe 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -44,4 +44,9 @@
     .vdd(vdd),
     .Y(io_out[16]),
     .A(io_in[8]));
+ skullfet_nand nand1 (.A(io_in[9]),
+    .B(io_in[10]),
+    .Y(io_out[17]),
+    .vdd(vdd),
+    .vss(vss));
 endmodule