blob: 486bfebfbd17c7c64fbbc4f8dc7c31947870d397 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN tiny_user_project ;
UNITS DISTANCE MICRONS 2000 ;
DIEAREA ( 0 0 ) ( 500000 500000 ) ;
ROW ROW_0 GF018hv5v_mcu_sc7 13440 31360 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_1 GF018hv5v_mcu_sc7 13440 39200 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_2 GF018hv5v_mcu_sc7 13440 47040 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_3 GF018hv5v_mcu_sc7 13440 54880 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_4 GF018hv5v_mcu_sc7 13440 62720 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_5 GF018hv5v_mcu_sc7 13440 70560 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_6 GF018hv5v_mcu_sc7 13440 78400 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_7 GF018hv5v_mcu_sc7 13440 86240 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_8 GF018hv5v_mcu_sc7 13440 94080 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_9 GF018hv5v_mcu_sc7 13440 101920 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_10 GF018hv5v_mcu_sc7 13440 109760 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_11 GF018hv5v_mcu_sc7 13440 117600 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_12 GF018hv5v_mcu_sc7 13440 125440 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_13 GF018hv5v_mcu_sc7 13440 133280 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_14 GF018hv5v_mcu_sc7 13440 141120 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_15 GF018hv5v_mcu_sc7 13440 148960 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_16 GF018hv5v_mcu_sc7 13440 156800 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_17 GF018hv5v_mcu_sc7 13440 164640 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_18 GF018hv5v_mcu_sc7 13440 172480 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_19 GF018hv5v_mcu_sc7 13440 180320 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_20 GF018hv5v_mcu_sc7 13440 188160 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_21 GF018hv5v_mcu_sc7 13440 196000 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_22 GF018hv5v_mcu_sc7 13440 203840 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_23 GF018hv5v_mcu_sc7 13440 211680 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_24 GF018hv5v_mcu_sc7 13440 219520 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_25 GF018hv5v_mcu_sc7 13440 227360 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_26 GF018hv5v_mcu_sc7 13440 235200 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_27 GF018hv5v_mcu_sc7 13440 243040 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_28 GF018hv5v_mcu_sc7 13440 250880 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_29 GF018hv5v_mcu_sc7 13440 258720 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_30 GF018hv5v_mcu_sc7 13440 266560 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_31 GF018hv5v_mcu_sc7 13440 274400 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_32 GF018hv5v_mcu_sc7 13440 282240 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_33 GF018hv5v_mcu_sc7 13440 290080 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_34 GF018hv5v_mcu_sc7 13440 297920 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_35 GF018hv5v_mcu_sc7 13440 305760 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_36 GF018hv5v_mcu_sc7 13440 313600 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_37 GF018hv5v_mcu_sc7 13440 321440 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_38 GF018hv5v_mcu_sc7 13440 329280 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_39 GF018hv5v_mcu_sc7 13440 337120 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_40 GF018hv5v_mcu_sc7 13440 344960 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_41 GF018hv5v_mcu_sc7 13440 352800 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_42 GF018hv5v_mcu_sc7 13440 360640 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_43 GF018hv5v_mcu_sc7 13440 368480 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_44 GF018hv5v_mcu_sc7 13440 376320 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_45 GF018hv5v_mcu_sc7 13440 384160 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_46 GF018hv5v_mcu_sc7 13440 392000 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_47 GF018hv5v_mcu_sc7 13440 399840 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_48 GF018hv5v_mcu_sc7 13440 407680 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_49 GF018hv5v_mcu_sc7 13440 415520 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_50 GF018hv5v_mcu_sc7 13440 423360 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_51 GF018hv5v_mcu_sc7 13440 431200 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_52 GF018hv5v_mcu_sc7 13440 439040 N DO 422 BY 1 STEP 1120 0 ;
ROW ROW_53 GF018hv5v_mcu_sc7 13440 446880 FS DO 422 BY 1 STEP 1120 0 ;
ROW ROW_54 GF018hv5v_mcu_sc7 13440 454720 N DO 422 BY 1 STEP 1120 0 ;
TRACKS X 560 DO 446 STEP 1120 LAYER Metal1 ;
TRACKS Y 560 DO 446 STEP 1120 LAYER Metal1 ;
TRACKS X 560 DO 446 STEP 1120 LAYER Metal2 ;
TRACKS Y 560 DO 446 STEP 1120 LAYER Metal2 ;
TRACKS X 560 DO 446 STEP 1120 LAYER Metal3 ;
TRACKS Y 560 DO 446 STEP 1120 LAYER Metal3 ;
TRACKS X 560 DO 446 STEP 1120 LAYER Metal4 ;
TRACKS Y 560 DO 446 STEP 1120 LAYER Metal4 ;
TRACKS X 560 DO 446 STEP 1120 LAYER Metal5 ;
TRACKS Y 560 DO 446 STEP 1120 LAYER Metal5 ;
GCELLGRID X 0 DO 29 STEP 16800 ;
GCELLGRID Y 0 DO 29 STEP 16800 ;
VIAS 3 ;
- via1_2_3200_1200_1_3_1040_1040 + VIARULE Via1_GEN_HH + CUTSIZE 520 520 + LAYERS Metal1 Via1 Metal2 + CUTSPACING 520 520 + ENCLOSURE 120 340 20 120 + ROWCOL 1 3 ;
- via2_3_3200_1200_1_3_1040_1040 + VIARULE Via2_GEN_HH + CUTSIZE 520 520 + LAYERS Metal2 Via2 Metal3 + CUTSPACING 520 520 + ENCLOSURE 20 120 120 20 + ROWCOL 1 3 ;
- via3_4_3200_1200_1_3_1040_1040 + VIARULE Via3_GEN_HH + CUTSIZE 520 520 + LAYERS Metal3 Via3 Metal4 + CUTSPACING 520 520 + ENCLOSURE 120 20 300 120 + ROWCOL 1 3 ;
END VIAS
COMPONENTS 1883 ;
- ANTENNA__028__I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 206080 454720 ) N ;
- ANTENNA__029__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 276640 446880 ) FS ;
- ANTENNA__029__A2 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 281120 446880 ) FS ;
- ANTENNA__029__A3 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 284480 439040 ) N ;
- ANTENNA__030__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 212800 415520 ) S ;
- ANTENNA__030__A2 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 284480 415520 ) S ;
- ANTENNA__031__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 272160 399840 ) FS ;
- ANTENNA__031__A2 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 276640 407680 ) FN ;
- ANTENNA__032__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 229600 399840 ) FS ;
- ANTENNA__033__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 280000 439040 ) N ;
- ANTENNA__034__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 208320 415520 ) S ;
- ANTENNA__035__I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 265440 454720 ) N ;
- ANTENNA__036__I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 276640 454720 ) FN ;
- ANTENNA__037__I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 228480 446880 ) FS ;
- ANTENNA__038__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 225120 439040 ) N ;
- ANTENNA__038__A3 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 216160 423360 ) FN ;
- ANTENNA__039__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 260960 454720 ) N ;
- ANTENNA__040__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 294560 423360 ) N ;
- ANTENNA__041__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 265440 392000 ) N ;
- ANTENNA__044__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 281120 407680 ) N ;
- ANTENNA__045__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 285600 446880 ) S ;
- ANTENNA__050__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 244160 392000 ) FN ;
- ANTENNA__050__A3 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 267680 399840 ) FS ;
- ANTENNA__052__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 224000 399840 ) FS ;
- ANTENNA__053__B gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 220640 439040 ) N ;
- ANTENNA__055__A1 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 219520 399840 ) S ;
- ANTENNA__055__A2 gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 208320 407680 ) FN ;
- ANTENNA__056__CLK gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 200480 431200 ) FS ;
- ANTENNA__056__RN gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 291200 431200 ) FS ;
- ANTENNA_input10_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 305760 454720 ) FN ;
- ANTENNA_input11_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 471520 446880 ) S ;
- ANTENNA_input1_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 464800 454720 ) FN ;
- ANTENNA_input2_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 245280 31360 ) FN ;
- ANTENNA_input3_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 110880 454720 ) FN ;
- ANTENNA_input4_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 473760 39200 ) S ;
- ANTENNA_input5_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 471520 431200 ) S ;
- ANTENNA_input6_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 362880 31360 ) FN ;
- ANTENNA_input7_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 117600 31360 ) FN ;
- ANTENNA_input8_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 23520 439040 ) N ;
- ANTENNA_input9_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 184800 31360 ) FN ;
- ANTENNA_output12_I gf180mcu_fd_sc_mcu7t5v0__antenna + PLACED ( 56000 454720 ) N ;
- FILLER_0_103 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 128800 31360 ) N ;
- FILLER_0_107 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 133280 31360 ) N ;
- FILLER_0_119 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 146720 31360 ) N ;
- FILLER_0_13 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 28000 31360 ) N ;
- FILLER_0_131 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 160160 31360 ) N ;
- FILLER_0_139 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 169120 31360 ) N ;
- FILLER_0_142 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 172480 31360 ) N ;
- FILLER_0_144 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 174720 31360 ) N ;
- FILLER_0_149 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 180320 31360 ) N ;
- FILLER_0_155 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 187040 31360 ) N ;
- FILLER_0_163 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 196000 31360 ) N ;
- FILLER_0_17 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 32480 31360 ) N ;
- FILLER_0_171 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 204960 31360 ) N ;
- FILLER_0_177 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 211680 31360 ) N ;
- FILLER_0_182 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 217280 31360 ) N ;
- FILLER_0_190 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 226240 31360 ) N ;
- FILLER_0_192 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 228480 31360 ) N ;
- FILLER_0_197 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 234080 31360 ) N ;
- FILLER_0_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 31360 ) N ;
- FILLER_0_205 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 243040 31360 ) N ;
- FILLER_0_209 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 247520 31360 ) N ;
- FILLER_0_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 31360 ) N ;
- FILLER_0_219 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 258720 31360 ) N ;
- FILLER_0_227 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 267680 31360 ) N ;
- FILLER_0_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 31360 ) N ;
- FILLER_0_243 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 285600 31360 ) N ;
- FILLER_0_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 31360 ) N ;
- FILLER_0_252 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 295680 31360 ) N ;
- FILLER_0_268 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 313600 31360 ) N ;
- FILLER_0_270 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 315840 31360 ) N ;
- FILLER_0_275 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 321440 31360 ) N ;
- FILLER_0_279 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 325920 31360 ) N ;
- FILLER_0_282 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 329280 31360 ) N ;
- FILLER_0_298 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 347200 31360 ) N ;
- FILLER_0_300 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 349440 31360 ) N ;
- FILLER_0_305 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 355040 31360 ) N ;
- FILLER_0_309 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 359520 31360 ) N ;
- FILLER_0_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 31360 ) N ;
- FILLER_0_311 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 361760 31360 ) N ;
- FILLER_0_314 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 365120 31360 ) N ;
- FILLER_0_317 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 368480 31360 ) N ;
- FILLER_0_325 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 377440 31360 ) N ;
- FILLER_0_329 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 381920 31360 ) N ;
- FILLER_0_335 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 388640 31360 ) N ;
- FILLER_0_343 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 397600 31360 ) N ;
- FILLER_0_347 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 402080 31360 ) N ;
- FILLER_0_349 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 404320 31360 ) N ;
- FILLER_0_352 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 407680 31360 ) N ;
- FILLER_0_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 413280 31360 ) N ;
- FILLER_0_365 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 422240 31360 ) N ;
- FILLER_0_37 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 54880 31360 ) N ;
- FILLER_0_371 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 428960 31360 ) N ;
- FILLER_0_383 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 442400 31360 ) N ;
- FILLER_0_387 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 446880 31360 ) N ;
- FILLER_0_395 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 455840 31360 ) N ;
- FILLER_0_401 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 462560 31360 ) N ;
- FILLER_0_405 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 467040 31360 ) N ;
- FILLER_0_411 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 473760 31360 ) N ;
- FILLER_0_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 31360 ) N ;
- FILLER_0_42 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 60480 31360 ) N ;
- FILLER_0_58 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 78400 31360 ) N ;
- FILLER_0_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 31360 ) N ;
- FILLER_0_7 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 21280 31360 ) N ;
- FILLER_0_72 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 94080 31360 ) N ;
- FILLER_0_77 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 99680 31360 ) N ;
- FILLER_0_95 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 119840 31360 ) N ;
- FILLER_10_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 109760 ) N ;
- FILLER_10_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 109760 ) N ;
- FILLER_10_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 109760 ) N ;
- FILLER_10_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 109760 ) N ;
- FILLER_10_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 109760 ) N ;
- FILLER_10_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 109760 ) N ;
- FILLER_10_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 109760 ) N ;
- FILLER_10_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 109760 ) N ;
- FILLER_10_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 109760 ) N ;
- FILLER_10_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 109760 ) N ;
- FILLER_10_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 109760 ) N ;
- FILLER_10_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 109760 ) N ;
- FILLER_10_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 109760 ) N ;
- FILLER_10_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 109760 ) N ;
- FILLER_10_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 109760 ) N ;
- FILLER_10_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 109760 ) N ;
- FILLER_10_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 109760 ) N ;
- FILLER_10_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 109760 ) N ;
- FILLER_10_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 109760 ) N ;
- FILLER_10_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 109760 ) N ;
- FILLER_11_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 117600 ) FS ;
- FILLER_11_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 117600 ) FS ;
- FILLER_11_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 117600 ) FS ;
- FILLER_11_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 117600 ) FS ;
- FILLER_11_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 117600 ) FS ;
- FILLER_11_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 117600 ) FS ;
- FILLER_11_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 117600 ) FS ;
- FILLER_11_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 117600 ) FS ;
- FILLER_11_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 117600 ) FS ;
- FILLER_11_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 117600 ) FS ;
- FILLER_11_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 117600 ) FS ;
- FILLER_11_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 117600 ) FS ;
- FILLER_11_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 117600 ) FS ;
- FILLER_11_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 117600 ) FS ;
- FILLER_11_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 117600 ) FS ;
- FILLER_11_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 117600 ) FS ;
- FILLER_11_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 117600 ) FS ;
- FILLER_11_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 117600 ) FS ;
- FILLER_11_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 117600 ) FS ;
- FILLER_11_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 117600 ) FS ;
- FILLER_12_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 125440 ) N ;
- FILLER_12_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 125440 ) N ;
- FILLER_12_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 125440 ) N ;
- FILLER_12_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 125440 ) N ;
- FILLER_12_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 125440 ) N ;
- FILLER_12_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 125440 ) N ;
- FILLER_12_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 125440 ) N ;
- FILLER_12_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 125440 ) N ;
- FILLER_12_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 125440 ) N ;
- FILLER_12_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 125440 ) N ;
- FILLER_12_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 125440 ) N ;
- FILLER_12_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 125440 ) N ;
- FILLER_12_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 125440 ) N ;
- FILLER_12_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 125440 ) N ;
- FILLER_12_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 125440 ) N ;
- FILLER_12_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 125440 ) N ;
- FILLER_12_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 125440 ) N ;
- FILLER_12_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 125440 ) N ;
- FILLER_12_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 125440 ) N ;
- FILLER_12_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 125440 ) N ;
- FILLER_12_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 125440 ) N ;
- FILLER_12_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 125440 ) N ;
- FILLER_13_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 133280 ) FS ;
- FILLER_13_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 133280 ) FS ;
- FILLER_13_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 133280 ) FS ;
- FILLER_13_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 133280 ) FS ;
- FILLER_13_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 133280 ) FS ;
- FILLER_13_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 133280 ) FS ;
- FILLER_13_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 133280 ) FS ;
- FILLER_13_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 133280 ) FS ;
- FILLER_13_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 133280 ) FS ;
- FILLER_13_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 133280 ) FS ;
- FILLER_13_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 133280 ) FS ;
- FILLER_13_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 133280 ) FS ;
- FILLER_13_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 133280 ) FS ;
- FILLER_13_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 133280 ) FS ;
- FILLER_13_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 133280 ) FS ;
- FILLER_13_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 133280 ) FS ;
- FILLER_13_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 133280 ) FS ;
- FILLER_13_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 133280 ) FS ;
- FILLER_13_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 133280 ) FS ;
- FILLER_13_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 133280 ) FS ;
- FILLER_13_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 133280 ) FS ;
- FILLER_14_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 141120 ) N ;
- FILLER_14_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 141120 ) N ;
- FILLER_14_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 141120 ) N ;
- FILLER_14_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 141120 ) N ;
- FILLER_14_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 141120 ) N ;
- FILLER_14_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 141120 ) N ;
- FILLER_14_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 141120 ) N ;
- FILLER_14_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 141120 ) N ;
- FILLER_14_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 141120 ) N ;
- FILLER_14_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 141120 ) N ;
- FILLER_14_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 141120 ) N ;
- FILLER_14_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 141120 ) N ;
- FILLER_14_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 141120 ) N ;
- FILLER_14_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 141120 ) N ;
- FILLER_14_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 141120 ) N ;
- FILLER_14_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 141120 ) N ;
- FILLER_14_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 141120 ) N ;
- FILLER_14_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 141120 ) N ;
- FILLER_14_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 141120 ) N ;
- FILLER_14_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 141120 ) N ;
- FILLER_14_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 141120 ) N ;
- FILLER_14_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 141120 ) N ;
- FILLER_15_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 148960 ) FS ;
- FILLER_15_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 148960 ) FS ;
- FILLER_15_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 148960 ) FS ;
- FILLER_15_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 148960 ) FS ;
- FILLER_15_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 148960 ) FS ;
- FILLER_15_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 148960 ) FS ;
- FILLER_15_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 148960 ) FS ;
- FILLER_15_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 148960 ) FS ;
- FILLER_15_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 148960 ) FS ;
- FILLER_15_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 148960 ) FS ;
- FILLER_15_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 148960 ) FS ;
- FILLER_15_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 148960 ) FS ;
- FILLER_15_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 148960 ) FS ;
- FILLER_15_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 148960 ) FS ;
- FILLER_15_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 148960 ) FS ;
- FILLER_15_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 148960 ) FS ;
- FILLER_15_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 148960 ) FS ;
- FILLER_15_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 148960 ) FS ;
- FILLER_15_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 148960 ) FS ;
- FILLER_15_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 148960 ) FS ;
- FILLER_15_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 148960 ) FS ;
- FILLER_16_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 156800 ) N ;
- FILLER_16_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 156800 ) N ;
- FILLER_16_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 156800 ) N ;
- FILLER_16_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 156800 ) N ;
- FILLER_16_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 156800 ) N ;
- FILLER_16_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 156800 ) N ;
- FILLER_16_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 156800 ) N ;
- FILLER_16_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 156800 ) N ;
- FILLER_16_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 156800 ) N ;
- FILLER_16_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 156800 ) N ;
- FILLER_16_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 156800 ) N ;
- FILLER_16_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 156800 ) N ;
- FILLER_16_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 156800 ) N ;
- FILLER_16_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 156800 ) N ;
- FILLER_16_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 156800 ) N ;
- FILLER_16_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 156800 ) N ;
- FILLER_16_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 156800 ) N ;
- FILLER_16_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 156800 ) N ;
- FILLER_16_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 156800 ) N ;
- FILLER_16_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 156800 ) N ;
- FILLER_16_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 156800 ) N ;
- FILLER_16_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 156800 ) N ;
- FILLER_17_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 164640 ) FS ;
- FILLER_17_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 164640 ) FS ;
- FILLER_17_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 164640 ) FS ;
- FILLER_17_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 164640 ) FS ;
- FILLER_17_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 164640 ) FS ;
- FILLER_17_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 164640 ) FS ;
- FILLER_17_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 164640 ) FS ;
- FILLER_17_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 164640 ) FS ;
- FILLER_17_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 164640 ) FS ;
- FILLER_17_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 164640 ) FS ;
- FILLER_17_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 164640 ) FS ;
- FILLER_17_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 164640 ) FS ;
- FILLER_17_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 164640 ) FS ;
- FILLER_17_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 164640 ) FS ;
- FILLER_17_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 164640 ) FS ;
- FILLER_17_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 164640 ) FS ;
- FILLER_17_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 164640 ) FS ;
- FILLER_17_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 164640 ) FS ;
- FILLER_17_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 164640 ) FS ;
- FILLER_17_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 164640 ) FS ;
- FILLER_17_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 164640 ) FS ;
- FILLER_18_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 172480 ) N ;
- FILLER_18_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 172480 ) N ;
- FILLER_18_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 172480 ) N ;
- FILLER_18_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 172480 ) N ;
- FILLER_18_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 172480 ) N ;
- FILLER_18_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 172480 ) N ;
- FILLER_18_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 172480 ) N ;
- FILLER_18_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 172480 ) N ;
- FILLER_18_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 172480 ) N ;
- FILLER_18_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 172480 ) N ;
- FILLER_18_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 172480 ) N ;
- FILLER_18_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 172480 ) N ;
- FILLER_18_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 172480 ) N ;
- FILLER_18_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 172480 ) N ;
- FILLER_18_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 172480 ) N ;
- FILLER_18_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 172480 ) N ;
- FILLER_18_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 172480 ) N ;
- FILLER_18_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 172480 ) N ;
- FILLER_18_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 172480 ) N ;
- FILLER_18_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 172480 ) N ;
- FILLER_18_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 172480 ) N ;
- FILLER_18_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 172480 ) N ;
- FILLER_18_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 172480 ) N ;
- FILLER_18_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 172480 ) N ;
- FILLER_19_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 180320 ) FS ;
- FILLER_19_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 180320 ) FS ;
- FILLER_19_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 180320 ) FS ;
- FILLER_19_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 180320 ) FS ;
- FILLER_19_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 180320 ) FS ;
- FILLER_19_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 180320 ) FS ;
- FILLER_19_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 180320 ) FS ;
- FILLER_19_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 180320 ) FS ;
- FILLER_19_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 180320 ) FS ;
- FILLER_19_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 180320 ) FS ;
- FILLER_19_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 180320 ) FS ;
- FILLER_19_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 180320 ) FS ;
- FILLER_19_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 180320 ) FS ;
- FILLER_19_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 180320 ) FS ;
- FILLER_19_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 180320 ) FS ;
- FILLER_19_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 180320 ) FS ;
- FILLER_19_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 180320 ) FS ;
- FILLER_19_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 180320 ) FS ;
- FILLER_19_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 180320 ) FS ;
- FILLER_19_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 180320 ) FS ;
- FILLER_1_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 39200 ) FS ;
- FILLER_1_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 39200 ) FS ;
- FILLER_1_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 39200 ) FS ;
- FILLER_1_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 39200 ) FS ;
- FILLER_1_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 39200 ) FS ;
- FILLER_1_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 39200 ) FS ;
- FILLER_1_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 39200 ) FS ;
- FILLER_1_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 39200 ) FS ;
- FILLER_1_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 39200 ) FS ;
- FILLER_1_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 39200 ) FS ;
- FILLER_1_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 39200 ) FS ;
- FILLER_1_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 39200 ) FS ;
- FILLER_1_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 39200 ) FS ;
- FILLER_1_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 39200 ) FS ;
- FILLER_1_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 467040 39200 ) FS ;
- FILLER_1_409 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 471520 39200 ) FS ;
- FILLER_1_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 39200 ) FS ;
- FILLER_1_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 39200 ) FS ;
- FILLER_1_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 39200 ) FS ;
- FILLER_1_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 39200 ) FS ;
- FILLER_20_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 188160 ) N ;
- FILLER_20_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 188160 ) N ;
- FILLER_20_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 188160 ) N ;
- FILLER_20_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 188160 ) N ;
- FILLER_20_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 188160 ) N ;
- FILLER_20_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 188160 ) N ;
- FILLER_20_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 188160 ) N ;
- FILLER_20_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 188160 ) N ;
- FILLER_20_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 188160 ) N ;
- FILLER_20_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 188160 ) N ;
- FILLER_20_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 188160 ) N ;
- FILLER_20_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 188160 ) N ;
- FILLER_20_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 188160 ) N ;
- FILLER_20_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 188160 ) N ;
- FILLER_20_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 188160 ) N ;
- FILLER_20_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 188160 ) N ;
- FILLER_20_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 188160 ) N ;
- FILLER_20_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 188160 ) N ;
- FILLER_20_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 188160 ) N ;
- FILLER_20_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 188160 ) N ;
- FILLER_20_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 188160 ) N ;
- FILLER_20_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 188160 ) N ;
- FILLER_21_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 196000 ) FS ;
- FILLER_21_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 196000 ) FS ;
- FILLER_21_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 196000 ) FS ;
- FILLER_21_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 196000 ) FS ;
- FILLER_21_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 196000 ) FS ;
- FILLER_21_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 196000 ) FS ;
- FILLER_21_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 196000 ) FS ;
- FILLER_21_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 196000 ) FS ;
- FILLER_21_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 196000 ) FS ;
- FILLER_21_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 196000 ) FS ;
- FILLER_21_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 196000 ) FS ;
- FILLER_21_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 196000 ) FS ;
- FILLER_21_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 196000 ) FS ;
- FILLER_21_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 196000 ) FS ;
- FILLER_21_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 196000 ) FS ;
- FILLER_21_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 196000 ) FS ;
- FILLER_21_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 196000 ) FS ;
- FILLER_21_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 196000 ) FS ;
- FILLER_21_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 196000 ) FS ;
- FILLER_21_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 196000 ) FS ;
- FILLER_21_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 196000 ) FS ;
- FILLER_22_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 203840 ) N ;
- FILLER_22_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 203840 ) N ;
- FILLER_22_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 203840 ) N ;
- FILLER_22_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 203840 ) N ;
- FILLER_22_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 203840 ) N ;
- FILLER_22_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 203840 ) N ;
- FILLER_22_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 203840 ) N ;
- FILLER_22_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 203840 ) N ;
- FILLER_22_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 203840 ) N ;
- FILLER_22_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 203840 ) N ;
- FILLER_22_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 203840 ) N ;
- FILLER_22_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 203840 ) N ;
- FILLER_22_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 203840 ) N ;
- FILLER_22_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 203840 ) N ;
- FILLER_22_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 203840 ) N ;
- FILLER_22_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 203840 ) N ;
- FILLER_22_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 203840 ) N ;
- FILLER_22_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 203840 ) N ;
- FILLER_22_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 203840 ) N ;
- FILLER_22_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 203840 ) N ;
- FILLER_23_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 211680 ) FS ;
- FILLER_23_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 211680 ) FS ;
- FILLER_23_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 211680 ) FS ;
- FILLER_23_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 211680 ) FS ;
- FILLER_23_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 211680 ) FS ;
- FILLER_23_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 211680 ) FS ;
- FILLER_23_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 211680 ) FS ;
- FILLER_23_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 211680 ) FS ;
- FILLER_23_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 211680 ) FS ;
- FILLER_23_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 211680 ) FS ;
- FILLER_23_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 211680 ) FS ;
- FILLER_23_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 211680 ) FS ;
- FILLER_23_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 211680 ) FS ;
- FILLER_23_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 211680 ) FS ;
- FILLER_23_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 211680 ) FS ;
- FILLER_23_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 211680 ) FS ;
- FILLER_23_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 211680 ) FS ;
- FILLER_23_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 211680 ) FS ;
- FILLER_23_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 211680 ) FS ;
- FILLER_23_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 211680 ) FS ;
- FILLER_24_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 219520 ) N ;
- FILLER_24_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 219520 ) N ;
- FILLER_24_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 219520 ) N ;
- FILLER_24_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 219520 ) N ;
- FILLER_24_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 219520 ) N ;
- FILLER_24_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 219520 ) N ;
- FILLER_24_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 219520 ) N ;
- FILLER_24_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 219520 ) N ;
- FILLER_24_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 219520 ) N ;
- FILLER_24_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 219520 ) N ;
- FILLER_24_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 219520 ) N ;
- FILLER_24_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 219520 ) N ;
- FILLER_24_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 219520 ) N ;
- FILLER_24_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 219520 ) N ;
- FILLER_24_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 219520 ) N ;
- FILLER_24_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 219520 ) N ;
- FILLER_24_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 219520 ) N ;
- FILLER_24_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 219520 ) N ;
- FILLER_24_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 219520 ) N ;
- FILLER_24_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 219520 ) N ;
- FILLER_24_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 219520 ) N ;
- FILLER_24_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 219520 ) N ;
- FILLER_25_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 227360 ) FS ;
- FILLER_25_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 227360 ) FS ;
- FILLER_25_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 227360 ) FS ;
- FILLER_25_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 227360 ) FS ;
- FILLER_25_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 227360 ) FS ;
- FILLER_25_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 227360 ) FS ;
- FILLER_25_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 227360 ) FS ;
- FILLER_25_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 227360 ) FS ;
- FILLER_25_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 227360 ) FS ;
- FILLER_25_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 227360 ) FS ;
- FILLER_25_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 227360 ) FS ;
- FILLER_25_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 227360 ) FS ;
- FILLER_25_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 227360 ) FS ;
- FILLER_25_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 227360 ) FS ;
- FILLER_25_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 227360 ) FS ;
- FILLER_25_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 227360 ) FS ;
- FILLER_25_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 227360 ) FS ;
- FILLER_25_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 227360 ) FS ;
- FILLER_25_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 227360 ) FS ;
- FILLER_25_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 227360 ) FS ;
- FILLER_26_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 235200 ) N ;
- FILLER_26_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 235200 ) N ;
- FILLER_26_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 235200 ) N ;
- FILLER_26_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 235200 ) N ;
- FILLER_26_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 235200 ) N ;
- FILLER_26_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 235200 ) N ;
- FILLER_26_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 235200 ) N ;
- FILLER_26_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 235200 ) N ;
- FILLER_26_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 235200 ) N ;
- FILLER_26_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 235200 ) N ;
- FILLER_26_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 235200 ) N ;
- FILLER_26_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 235200 ) N ;
- FILLER_26_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 235200 ) N ;
- FILLER_26_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 235200 ) N ;
- FILLER_26_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 235200 ) N ;
- FILLER_26_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 235200 ) N ;
- FILLER_26_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 235200 ) N ;
- FILLER_26_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 235200 ) N ;
- FILLER_26_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 235200 ) N ;
- FILLER_26_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 235200 ) N ;
- FILLER_26_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 235200 ) N ;
- FILLER_26_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 235200 ) N ;
- FILLER_27_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 243040 ) FS ;
- FILLER_27_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 243040 ) FS ;
- FILLER_27_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 243040 ) FS ;
- FILLER_27_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 243040 ) FS ;
- FILLER_27_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 243040 ) FS ;
- FILLER_27_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 243040 ) FS ;
- FILLER_27_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 243040 ) FS ;
- FILLER_27_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 243040 ) FS ;
- FILLER_27_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 243040 ) FS ;
- FILLER_27_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 243040 ) FS ;
- FILLER_27_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 243040 ) FS ;
- FILLER_27_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 243040 ) FS ;
- FILLER_27_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 243040 ) FS ;
- FILLER_27_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 243040 ) FS ;
- FILLER_27_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 243040 ) FS ;
- FILLER_27_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 243040 ) FS ;
- FILLER_27_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 243040 ) FS ;
- FILLER_27_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 243040 ) FS ;
- FILLER_27_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 243040 ) FS ;
- FILLER_27_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 243040 ) FS ;
- FILLER_28_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 250880 ) N ;
- FILLER_28_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 250880 ) N ;
- FILLER_28_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 250880 ) N ;
- FILLER_28_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 250880 ) N ;
- FILLER_28_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 250880 ) N ;
- FILLER_28_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 250880 ) N ;
- FILLER_28_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 250880 ) N ;
- FILLER_28_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 250880 ) N ;
- FILLER_28_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 250880 ) N ;
- FILLER_28_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 250880 ) N ;
- FILLER_28_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 250880 ) N ;
- FILLER_28_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 250880 ) N ;
- FILLER_28_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 250880 ) N ;
- FILLER_28_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 250880 ) N ;
- FILLER_28_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 250880 ) N ;
- FILLER_28_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 250880 ) N ;
- FILLER_28_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 250880 ) N ;
- FILLER_28_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 250880 ) N ;
- FILLER_28_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 250880 ) N ;
- FILLER_28_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 250880 ) N ;
- FILLER_29_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 258720 ) FS ;
- FILLER_29_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 258720 ) FS ;
- FILLER_29_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 258720 ) FS ;
- FILLER_29_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 258720 ) FS ;
- FILLER_29_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 258720 ) FS ;
- FILLER_29_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 258720 ) FS ;
- FILLER_29_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 258720 ) FS ;
- FILLER_29_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 258720 ) FS ;
- FILLER_29_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 258720 ) FS ;
- FILLER_29_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 258720 ) FS ;
- FILLER_29_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 258720 ) FS ;
- FILLER_29_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 258720 ) FS ;
- FILLER_29_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 258720 ) FS ;
- FILLER_29_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 258720 ) FS ;
- FILLER_29_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 258720 ) FS ;
- FILLER_29_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 258720 ) FS ;
- FILLER_29_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 258720 ) FS ;
- FILLER_29_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 258720 ) FS ;
- FILLER_29_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 258720 ) FS ;
- FILLER_29_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 258720 ) FS ;
- FILLER_29_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 258720 ) FS ;
- FILLER_2_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 47040 ) N ;
- FILLER_2_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 47040 ) N ;
- FILLER_2_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 47040 ) N ;
- FILLER_2_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 47040 ) N ;
- FILLER_2_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 47040 ) N ;
- FILLER_2_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 47040 ) N ;
- FILLER_2_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 47040 ) N ;
- FILLER_2_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 47040 ) N ;
- FILLER_2_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 47040 ) N ;
- FILLER_2_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 47040 ) N ;
- FILLER_2_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 47040 ) N ;
- FILLER_2_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 47040 ) N ;
- FILLER_2_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 47040 ) N ;
- FILLER_2_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 47040 ) N ;
- FILLER_2_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 47040 ) N ;
- FILLER_2_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 47040 ) N ;
- FILLER_2_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 47040 ) N ;
- FILLER_2_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 47040 ) N ;
- FILLER_2_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 47040 ) N ;
- FILLER_2_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 47040 ) N ;
- FILLER_30_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 266560 ) N ;
- FILLER_30_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 266560 ) N ;
- FILLER_30_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 266560 ) N ;
- FILLER_30_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 266560 ) N ;
- FILLER_30_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 266560 ) N ;
- FILLER_30_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 266560 ) N ;
- FILLER_30_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 266560 ) N ;
- FILLER_30_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 266560 ) N ;
- FILLER_30_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 266560 ) N ;
- FILLER_30_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 266560 ) N ;
- FILLER_30_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 266560 ) N ;
- FILLER_30_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 266560 ) N ;
- FILLER_30_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 266560 ) N ;
- FILLER_30_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 266560 ) N ;
- FILLER_30_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 266560 ) N ;
- FILLER_30_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 266560 ) N ;
- FILLER_30_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 266560 ) N ;
- FILLER_30_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 266560 ) N ;
- FILLER_30_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 266560 ) N ;
- FILLER_30_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 266560 ) N ;
- FILLER_30_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 266560 ) N ;
- FILLER_30_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 266560 ) N ;
- FILLER_30_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 266560 ) N ;
- FILLER_30_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 266560 ) N ;
- FILLER_31_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 274400 ) FS ;
- FILLER_31_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 274400 ) FS ;
- FILLER_31_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 274400 ) FS ;
- FILLER_31_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 274400 ) FS ;
- FILLER_31_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 274400 ) FS ;
- FILLER_31_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 274400 ) FS ;
- FILLER_31_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 274400 ) FS ;
- FILLER_31_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 274400 ) FS ;
- FILLER_31_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 274400 ) FS ;
- FILLER_31_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 274400 ) FS ;
- FILLER_31_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 274400 ) FS ;
- FILLER_31_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 274400 ) FS ;
- FILLER_31_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 274400 ) FS ;
- FILLER_31_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 274400 ) FS ;
- FILLER_31_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 274400 ) FS ;
- FILLER_31_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 274400 ) FS ;
- FILLER_31_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 274400 ) FS ;
- FILLER_31_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 274400 ) FS ;
- FILLER_31_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 274400 ) FS ;
- FILLER_31_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 274400 ) FS ;
- FILLER_31_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 274400 ) FS ;
- FILLER_32_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 282240 ) N ;
- FILLER_32_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 282240 ) N ;
- FILLER_32_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 282240 ) N ;
- FILLER_32_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 282240 ) N ;
- FILLER_32_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 282240 ) N ;
- FILLER_32_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 282240 ) N ;
- FILLER_32_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 282240 ) N ;
- FILLER_32_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 282240 ) N ;
- FILLER_32_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 282240 ) N ;
- FILLER_32_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 282240 ) N ;
- FILLER_32_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 282240 ) N ;
- FILLER_32_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 282240 ) N ;
- FILLER_32_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 282240 ) N ;
- FILLER_32_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 282240 ) N ;
- FILLER_32_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 282240 ) N ;
- FILLER_32_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 282240 ) N ;
- FILLER_32_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 282240 ) N ;
- FILLER_32_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 282240 ) N ;
- FILLER_32_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 282240 ) N ;
- FILLER_32_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 282240 ) N ;
- FILLER_32_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 282240 ) N ;
- FILLER_32_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 282240 ) N ;
- FILLER_33_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 290080 ) FS ;
- FILLER_33_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 290080 ) FS ;
- FILLER_33_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 290080 ) FS ;
- FILLER_33_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 290080 ) FS ;
- FILLER_33_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 290080 ) FS ;
- FILLER_33_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 290080 ) FS ;
- FILLER_33_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 290080 ) FS ;
- FILLER_33_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 290080 ) FS ;
- FILLER_33_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 290080 ) FS ;
- FILLER_33_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 290080 ) FS ;
- FILLER_33_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 290080 ) FS ;
- FILLER_33_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 290080 ) FS ;
- FILLER_33_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 290080 ) FS ;
- FILLER_33_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 290080 ) FS ;
- FILLER_33_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 290080 ) FS ;
- FILLER_33_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 290080 ) FS ;
- FILLER_33_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 290080 ) FS ;
- FILLER_33_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 290080 ) FS ;
- FILLER_33_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 290080 ) FS ;
- FILLER_33_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 290080 ) FS ;
- FILLER_34_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 297920 ) N ;
- FILLER_34_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 297920 ) N ;
- FILLER_34_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 297920 ) N ;
- FILLER_34_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 297920 ) N ;
- FILLER_34_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 297920 ) N ;
- FILLER_34_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 297920 ) N ;
- FILLER_34_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 297920 ) N ;
- FILLER_34_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 297920 ) N ;
- FILLER_34_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 297920 ) N ;
- FILLER_34_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 297920 ) N ;
- FILLER_34_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 297920 ) N ;
- FILLER_34_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 297920 ) N ;
- FILLER_34_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 297920 ) N ;
- FILLER_34_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 297920 ) N ;
- FILLER_34_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 297920 ) N ;
- FILLER_34_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 297920 ) N ;
- FILLER_34_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 297920 ) N ;
- FILLER_34_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 297920 ) N ;
- FILLER_34_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 297920 ) N ;
- FILLER_34_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 297920 ) N ;
- FILLER_34_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 297920 ) N ;
- FILLER_34_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 297920 ) N ;
- FILLER_35_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 305760 ) FS ;
- FILLER_35_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 305760 ) FS ;
- FILLER_35_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 305760 ) FS ;
- FILLER_35_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 305760 ) FS ;
- FILLER_35_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 305760 ) FS ;
- FILLER_35_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 305760 ) FS ;
- FILLER_35_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 305760 ) FS ;
- FILLER_35_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 305760 ) FS ;
- FILLER_35_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 305760 ) FS ;
- FILLER_35_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 305760 ) FS ;
- FILLER_35_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 305760 ) FS ;
- FILLER_35_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 305760 ) FS ;
- FILLER_35_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 305760 ) FS ;
- FILLER_35_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 305760 ) FS ;
- FILLER_35_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 305760 ) FS ;
- FILLER_35_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 305760 ) FS ;
- FILLER_35_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 305760 ) FS ;
- FILLER_35_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 305760 ) FS ;
- FILLER_35_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 305760 ) FS ;
- FILLER_35_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 305760 ) FS ;
- FILLER_35_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 305760 ) FS ;
- FILLER_36_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 313600 ) N ;
- FILLER_36_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 313600 ) N ;
- FILLER_36_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 313600 ) N ;
- FILLER_36_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 313600 ) N ;
- FILLER_36_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 313600 ) N ;
- FILLER_36_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 313600 ) N ;
- FILLER_36_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 313600 ) N ;
- FILLER_36_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 313600 ) N ;
- FILLER_36_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 313600 ) N ;
- FILLER_36_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 313600 ) N ;
- FILLER_36_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 313600 ) N ;
- FILLER_36_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 313600 ) N ;
- FILLER_36_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 313600 ) N ;
- FILLER_36_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 313600 ) N ;
- FILLER_36_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 313600 ) N ;
- FILLER_36_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 313600 ) N ;
- FILLER_36_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 313600 ) N ;
- FILLER_36_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 313600 ) N ;
- FILLER_36_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 313600 ) N ;
- FILLER_36_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 313600 ) N ;
- FILLER_36_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 313600 ) N ;
- FILLER_36_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 313600 ) N ;
- FILLER_37_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 321440 ) FS ;
- FILLER_37_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 321440 ) FS ;
- FILLER_37_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 321440 ) FS ;
- FILLER_37_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 321440 ) FS ;
- FILLER_37_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 321440 ) FS ;
- FILLER_37_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 321440 ) FS ;
- FILLER_37_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 321440 ) FS ;
- FILLER_37_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 321440 ) FS ;
- FILLER_37_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 321440 ) FS ;
- FILLER_37_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 321440 ) FS ;
- FILLER_37_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 321440 ) FS ;
- FILLER_37_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 321440 ) FS ;
- FILLER_37_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 321440 ) FS ;
- FILLER_37_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 321440 ) FS ;
- FILLER_37_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 321440 ) FS ;
- FILLER_37_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 321440 ) FS ;
- FILLER_37_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 321440 ) FS ;
- FILLER_37_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 321440 ) FS ;
- FILLER_37_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 321440 ) FS ;
- FILLER_37_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 321440 ) FS ;
- FILLER_38_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 329280 ) N ;
- FILLER_38_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 329280 ) N ;
- FILLER_38_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 329280 ) N ;
- FILLER_38_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 329280 ) N ;
- FILLER_38_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 329280 ) N ;
- FILLER_38_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 329280 ) N ;
- FILLER_38_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 329280 ) N ;
- FILLER_38_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 329280 ) N ;
- FILLER_38_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 329280 ) N ;
- FILLER_38_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 329280 ) N ;
- FILLER_38_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 329280 ) N ;
- FILLER_38_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 329280 ) N ;
- FILLER_38_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 329280 ) N ;
- FILLER_38_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 329280 ) N ;
- FILLER_38_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 329280 ) N ;
- FILLER_38_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 329280 ) N ;
- FILLER_38_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 329280 ) N ;
- FILLER_38_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 329280 ) N ;
- FILLER_38_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 329280 ) N ;
- FILLER_38_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 329280 ) N ;
- FILLER_38_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 329280 ) N ;
- FILLER_38_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 329280 ) N ;
- FILLER_39_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 337120 ) FS ;
- FILLER_39_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 337120 ) FS ;
- FILLER_39_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 337120 ) FS ;
- FILLER_39_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 337120 ) FS ;
- FILLER_39_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 337120 ) FS ;
- FILLER_39_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 337120 ) FS ;
- FILLER_39_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 337120 ) FS ;
- FILLER_39_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 337120 ) FS ;
- FILLER_39_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 337120 ) FS ;
- FILLER_39_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 337120 ) FS ;
- FILLER_39_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 337120 ) FS ;
- FILLER_39_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 337120 ) FS ;
- FILLER_39_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 337120 ) FS ;
- FILLER_39_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 337120 ) FS ;
- FILLER_39_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 337120 ) FS ;
- FILLER_39_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 337120 ) FS ;
- FILLER_39_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 337120 ) FS ;
- FILLER_39_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 337120 ) FS ;
- FILLER_39_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 337120 ) FS ;
- FILLER_39_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 337120 ) FS ;
- FILLER_39_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 337120 ) FS ;
- FILLER_3_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 54880 ) FS ;
- FILLER_3_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 54880 ) FS ;
- FILLER_3_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 54880 ) FS ;
- FILLER_3_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 54880 ) FS ;
- FILLER_3_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 54880 ) FS ;
- FILLER_3_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 54880 ) FS ;
- FILLER_3_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 54880 ) FS ;
- FILLER_3_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 54880 ) FS ;
- FILLER_3_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 54880 ) FS ;
- FILLER_3_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 54880 ) FS ;
- FILLER_3_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 54880 ) FS ;
- FILLER_3_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 54880 ) FS ;
- FILLER_3_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 54880 ) FS ;
- FILLER_3_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 54880 ) FS ;
- FILLER_3_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 54880 ) FS ;
- FILLER_3_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 54880 ) FS ;
- FILLER_3_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 54880 ) FS ;
- FILLER_3_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 54880 ) FS ;
- FILLER_3_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 54880 ) FS ;
- FILLER_3_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 54880 ) FS ;
- FILLER_3_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 54880 ) FS ;
- FILLER_40_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 344960 ) N ;
- FILLER_40_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 344960 ) N ;
- FILLER_40_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 344960 ) N ;
- FILLER_40_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 344960 ) N ;
- FILLER_40_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 344960 ) N ;
- FILLER_40_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 344960 ) N ;
- FILLER_40_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 344960 ) N ;
- FILLER_40_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 344960 ) N ;
- FILLER_40_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 344960 ) N ;
- FILLER_40_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 344960 ) N ;
- FILLER_40_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 344960 ) N ;
- FILLER_40_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 344960 ) N ;
- FILLER_40_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 344960 ) N ;
- FILLER_40_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 344960 ) N ;
- FILLER_40_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 344960 ) N ;
- FILLER_40_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 344960 ) N ;
- FILLER_40_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 344960 ) N ;
- FILLER_40_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 344960 ) N ;
- FILLER_40_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 344960 ) N ;
- FILLER_40_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 344960 ) N ;
- FILLER_40_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 344960 ) N ;
- FILLER_40_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 344960 ) N ;
- FILLER_41_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 352800 ) FS ;
- FILLER_41_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 352800 ) FS ;
- FILLER_41_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 352800 ) FS ;
- FILLER_41_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 352800 ) FS ;
- FILLER_41_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 352800 ) FS ;
- FILLER_41_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 352800 ) FS ;
- FILLER_41_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 352800 ) FS ;
- FILLER_41_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 352800 ) FS ;
- FILLER_41_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 352800 ) FS ;
- FILLER_41_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 352800 ) FS ;
- FILLER_41_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 352800 ) FS ;
- FILLER_41_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 352800 ) FS ;
- FILLER_41_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 352800 ) FS ;
- FILLER_41_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 352800 ) FS ;
- FILLER_41_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 352800 ) FS ;
- FILLER_41_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 352800 ) FS ;
- FILLER_41_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 352800 ) FS ;
- FILLER_41_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 352800 ) FS ;
- FILLER_41_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 352800 ) FS ;
- FILLER_41_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 352800 ) FS ;
- FILLER_42_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 360640 ) N ;
- FILLER_42_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 360640 ) N ;
- FILLER_42_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 360640 ) N ;
- FILLER_42_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 360640 ) N ;
- FILLER_42_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 360640 ) N ;
- FILLER_42_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 360640 ) N ;
- FILLER_42_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 360640 ) N ;
- FILLER_42_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 360640 ) N ;
- FILLER_42_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 360640 ) N ;
- FILLER_42_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 360640 ) N ;
- FILLER_42_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 360640 ) N ;
- FILLER_42_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 360640 ) N ;
- FILLER_42_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 360640 ) N ;
- FILLER_42_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 360640 ) N ;
- FILLER_42_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 360640 ) N ;
- FILLER_42_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 360640 ) N ;
- FILLER_42_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 360640 ) N ;
- FILLER_42_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 360640 ) N ;
- FILLER_42_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 360640 ) N ;
- FILLER_42_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 360640 ) N ;
- FILLER_42_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 360640 ) N ;
- FILLER_42_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 360640 ) N ;
- FILLER_43_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 368480 ) FS ;
- FILLER_43_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 368480 ) FS ;
- FILLER_43_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 368480 ) FS ;
- FILLER_43_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 368480 ) FS ;
- FILLER_43_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 368480 ) FS ;
- FILLER_43_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 368480 ) FS ;
- FILLER_43_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 368480 ) FS ;
- FILLER_43_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 368480 ) FS ;
- FILLER_43_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 368480 ) FS ;
- FILLER_43_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 368480 ) FS ;
- FILLER_43_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 368480 ) FS ;
- FILLER_43_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 368480 ) FS ;
- FILLER_43_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 368480 ) FS ;
- FILLER_43_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 368480 ) FS ;
- FILLER_43_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 368480 ) FS ;
- FILLER_43_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 368480 ) FS ;
- FILLER_43_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 368480 ) FS ;
- FILLER_43_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 368480 ) FS ;
- FILLER_43_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 368480 ) FS ;
- FILLER_43_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 368480 ) FS ;
- FILLER_44_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 376320 ) N ;
- FILLER_44_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 376320 ) N ;
- FILLER_44_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 376320 ) N ;
- FILLER_44_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 376320 ) N ;
- FILLER_44_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 376320 ) N ;
- FILLER_44_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 376320 ) N ;
- FILLER_44_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 376320 ) N ;
- FILLER_44_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 376320 ) N ;
- FILLER_44_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 376320 ) N ;
- FILLER_44_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 376320 ) N ;
- FILLER_44_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 376320 ) N ;
- FILLER_44_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 376320 ) N ;
- FILLER_44_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 376320 ) N ;
- FILLER_44_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 376320 ) N ;
- FILLER_44_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 376320 ) N ;
- FILLER_44_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 376320 ) N ;
- FILLER_44_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 376320 ) N ;
- FILLER_44_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 376320 ) N ;
- FILLER_44_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 376320 ) N ;
- FILLER_44_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 376320 ) N ;
- FILLER_44_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 376320 ) N ;
- FILLER_44_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 376320 ) N ;
- FILLER_45_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 384160 ) FS ;
- FILLER_45_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 384160 ) FS ;
- FILLER_45_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 384160 ) FS ;
- FILLER_45_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 384160 ) FS ;
- FILLER_45_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 384160 ) FS ;
- FILLER_45_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 384160 ) FS ;
- FILLER_45_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 384160 ) FS ;
- FILLER_45_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 384160 ) FS ;
- FILLER_45_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 384160 ) FS ;
- FILLER_45_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 384160 ) FS ;
- FILLER_45_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 384160 ) FS ;
- FILLER_45_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 384160 ) FS ;
- FILLER_45_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 384160 ) FS ;
- FILLER_45_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 384160 ) FS ;
- FILLER_45_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 384160 ) FS ;
- FILLER_45_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 384160 ) FS ;
- FILLER_45_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 384160 ) FS ;
- FILLER_45_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 384160 ) FS ;
- FILLER_45_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 384160 ) FS ;
- FILLER_45_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 384160 ) FS ;
- FILLER_46_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 392000 ) N ;
- FILLER_46_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 392000 ) N ;
- FILLER_46_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 392000 ) N ;
- FILLER_46_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 392000 ) N ;
- FILLER_46_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 392000 ) N ;
- FILLER_46_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 213920 392000 ) N ;
- FILLER_46_195 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 231840 392000 ) N ;
- FILLER_46_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 392000 ) N ;
- FILLER_46_203 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 240800 392000 ) N ;
- FILLER_46_205 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 243040 392000 ) N ;
- FILLER_46_208 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 246400 392000 ) N ;
- FILLER_46_216 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 255360 392000 ) N ;
- FILLER_46_223 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 263200 392000 ) N ;
- FILLER_46_227 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 267680 392000 ) N ;
- FILLER_46_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 392000 ) N ;
- FILLER_46_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 392000 ) N ;
- FILLER_46_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 392000 ) N ;
- FILLER_46_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 392000 ) N ;
- FILLER_46_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 392000 ) N ;
- FILLER_46_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 392000 ) N ;
- FILLER_46_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 392000 ) N ;
- FILLER_46_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 392000 ) N ;
- FILLER_46_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 392000 ) N ;
- FILLER_46_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 392000 ) N ;
- FILLER_46_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 392000 ) N ;
- FILLER_46_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 392000 ) N ;
- FILLER_46_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 392000 ) N ;
- FILLER_47_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 399840 ) FS ;
- FILLER_47_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 399840 ) FS ;
- FILLER_47_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 174720 399840 ) FS ;
- FILLER_47_176 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 210560 399840 ) FS ;
- FILLER_47_186 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 221760 399840 ) FS ;
- FILLER_47_190 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 226240 399840 ) FS ;
- FILLER_47_192 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 228480 399840 ) FS ;
- FILLER_47_195 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 231840 399840 ) FS ;
- FILLER_47_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 399840 ) FS ;
- FILLER_47_202 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 239680 399840 ) FS ;
- FILLER_47_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 399840 ) FS ;
- FILLER_47_215 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 254240 399840 ) FS ;
- FILLER_47_225 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 265440 399840 ) FS ;
- FILLER_47_229 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 269920 399840 ) FS ;
- FILLER_47_233 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 274400 399840 ) FS ;
- FILLER_47_265 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 310240 399840 ) FS ;
- FILLER_47_281 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 328160 399840 ) FS ;
- FILLER_47_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 399840 ) FS ;
- FILLER_47_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 399840 ) FS ;
- FILLER_47_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 399840 ) FS ;
- FILLER_47_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 399840 ) FS ;
- FILLER_47_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 399840 ) FS ;
- FILLER_47_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 399840 ) FS ;
- FILLER_47_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 399840 ) FS ;
- FILLER_47_413 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 476000 399840 ) FS ;
- FILLER_47_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 399840 ) FS ;
- FILLER_47_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 399840 ) FS ;
- FILLER_47_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 399840 ) FS ;
- FILLER_47_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 399840 ) FS ;
- FILLER_48_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 407680 ) N ;
- FILLER_48_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 407680 ) N ;
- FILLER_48_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 407680 ) N ;
- FILLER_48_172 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 206080 407680 ) N ;
- FILLER_48_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 407680 ) N ;
- FILLER_48_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 213920 407680 ) N ;
- FILLER_48_188 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 224000 407680 ) N ;
- FILLER_48_198 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 235200 407680 ) N ;
- FILLER_48_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 407680 ) N ;
- FILLER_48_223 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 263200 407680 ) N ;
- FILLER_48_233 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 274400 407680 ) N ;
- FILLER_48_237 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 278880 407680 ) N ;
- FILLER_48_241 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 283360 407680 ) N ;
- FILLER_48_245 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 287840 407680 ) N ;
- FILLER_48_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 407680 ) N ;
- FILLER_48_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 407680 ) N ;
- FILLER_48_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 407680 ) N ;
- FILLER_48_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 407680 ) N ;
- FILLER_48_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 407680 ) N ;
- FILLER_48_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 407680 ) N ;
- FILLER_48_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 407680 ) N ;
- FILLER_48_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 407680 ) N ;
- FILLER_48_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 407680 ) N ;
- FILLER_48_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 407680 ) N ;
- FILLER_48_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 407680 ) N ;
- FILLER_48_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 407680 ) N ;
- FILLER_49_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 415520 ) FS ;
- FILLER_49_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 415520 ) FS ;
- FILLER_49_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 174720 415520 ) FS ;
- FILLER_49_160 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 192640 415520 ) FS ;
- FILLER_49_168 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 201600 415520 ) FS ;
- FILLER_49_172 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 206080 415520 ) FS ;
- FILLER_49_176 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 210560 415520 ) FS ;
- FILLER_49_180 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 215040 415520 ) FS ;
- FILLER_49_187 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 222880 415520 ) FS ;
- FILLER_49_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 415520 ) FS ;
- FILLER_49_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 415520 ) FS ;
- FILLER_49_215 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 254240 415520 ) FS ;
- FILLER_49_228 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 268800 415520 ) FS ;
- FILLER_49_240 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 282240 415520 ) FS ;
- FILLER_49_244 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 286720 415520 ) FS ;
- FILLER_49_276 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 322560 415520 ) FS ;
- FILLER_49_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 415520 ) FS ;
- FILLER_49_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 415520 ) FS ;
- FILLER_49_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 415520 ) FS ;
- FILLER_49_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 415520 ) FS ;
- FILLER_49_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 415520 ) FS ;
- FILLER_49_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 415520 ) FS ;
- FILLER_49_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 415520 ) FS ;
- FILLER_49_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 415520 ) FS ;
- FILLER_49_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 415520 ) FS ;
- FILLER_49_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 415520 ) FS ;
- FILLER_49_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 415520 ) FS ;
- FILLER_49_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 415520 ) FS ;
- FILLER_4_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 62720 ) N ;
- FILLER_4_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 62720 ) N ;
- FILLER_4_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 62720 ) N ;
- FILLER_4_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 62720 ) N ;
- FILLER_4_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 62720 ) N ;
- FILLER_4_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 62720 ) N ;
- FILLER_4_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 62720 ) N ;
- FILLER_4_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 62720 ) N ;
- FILLER_4_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 62720 ) N ;
- FILLER_4_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 62720 ) N ;
- FILLER_4_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 62720 ) N ;
- FILLER_4_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 62720 ) N ;
- FILLER_4_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 62720 ) N ;
- FILLER_4_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 62720 ) N ;
- FILLER_4_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 62720 ) N ;
- FILLER_4_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 62720 ) N ;
- FILLER_4_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 62720 ) N ;
- FILLER_4_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 62720 ) N ;
- FILLER_4_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 62720 ) N ;
- FILLER_4_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 62720 ) N ;
- FILLER_4_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 62720 ) N ;
- FILLER_4_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 62720 ) N ;
- FILLER_50_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 423360 ) N ;
- FILLER_50_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 423360 ) N ;
- FILLER_50_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 423360 ) N ;
- FILLER_50_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 423360 ) N ;
- FILLER_50_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 423360 ) N ;
- FILLER_50_179 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 213920 423360 ) N ;
- FILLER_50_183 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 218400 423360 ) N ;
- FILLER_50_196 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 232960 423360 ) N ;
- FILLER_50_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 423360 ) N ;
- FILLER_50_222 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 262080 423360 ) N ;
- FILLER_50_23 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 39200 423360 ) N ;
- FILLER_50_236 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 277760 423360 ) N ;
- FILLER_50_246 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 288960 423360 ) N ;
- FILLER_50_250 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 293440 423360 ) N ;
- FILLER_50_253 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 296800 423360 ) N ;
- FILLER_50_31 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 48160 423360 ) N ;
- FILLER_50_317 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 368480 423360 ) N ;
- FILLER_50_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 423360 ) N ;
- FILLER_50_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 423360 ) N ;
- FILLER_50_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 423360 ) N ;
- FILLER_50_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 423360 ) N ;
- FILLER_50_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 423360 ) N ;
- FILLER_50_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 423360 ) N ;
- FILLER_50_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 423360 ) N ;
- FILLER_50_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 21280 423360 ) N ;
- FILLER_51_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 431200 ) FS ;
- FILLER_51_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 431200 ) FS ;
- FILLER_51_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 174720 431200 ) FS ;
- FILLER_51_160 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 192640 431200 ) FS ;
- FILLER_51_164 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 197120 431200 ) FS ;
- FILLER_51_166 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 199360 431200 ) FS ;
- FILLER_51_169 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 202720 431200 ) FS ;
- FILLER_51_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 15680 431200 ) FS ;
- FILLER_51_210 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 248640 431200 ) FS ;
- FILLER_51_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 431200 ) FS ;
- FILLER_51_215 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 254240 431200 ) FS ;
- FILLER_51_228 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 268800 431200 ) FS ;
- FILLER_51_240 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 282240 431200 ) FS ;
- FILLER_51_246 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 288960 431200 ) FS ;
- FILLER_51_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 293440 431200 ) FS ;
- FILLER_51_282 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 329280 431200 ) FS ;
- FILLER_51_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 431200 ) FS ;
- FILLER_51_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 431200 ) FS ;
- FILLER_51_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 431200 ) FS ;
- FILLER_51_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 431200 ) FS ;
- FILLER_51_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 431200 ) FS ;
- FILLER_51_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 467040 431200 ) FS ;
- FILLER_51_411 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 473760 431200 ) FS ;
- FILLER_51_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 431200 ) FS ;
- FILLER_51_66 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 87360 431200 ) FS ;
- FILLER_51_70 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 91840 431200 ) FS ;
- FILLER_51_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 431200 ) FS ;
- FILLER_52_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 439040 ) N ;
- FILLER_52_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 439040 ) N ;
- FILLER_52_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 439040 ) N ;
- FILLER_52_11 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 25760 439040 ) N ;
- FILLER_52_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 439040 ) N ;
- FILLER_52_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 439040 ) N ;
- FILLER_52_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 213920 439040 ) N ;
- FILLER_52_183 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 218400 439040 ) N ;
- FILLER_52_187 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 222880 439040 ) N ;
- FILLER_52_191 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 227360 439040 ) N ;
- FILLER_52_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 439040 ) N ;
- FILLER_52_201 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 238560 439040 ) N ;
- FILLER_52_226 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 266560 439040 ) N ;
- FILLER_52_236 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 277760 439040 ) N ;
- FILLER_52_240 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 282240 439040 ) N ;
- FILLER_52_244 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 286720 439040 ) N ;
- FILLER_52_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 439040 ) N ;
- FILLER_52_27 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 43680 439040 ) N ;
- FILLER_52_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 439040 ) N ;
- FILLER_52_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 439040 ) N ;
- FILLER_52_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 439040 ) N ;
- FILLER_52_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 439040 ) N ;
- FILLER_52_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 439040 ) N ;
- FILLER_52_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 439040 ) N ;
- FILLER_52_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 439040 ) N ;
- FILLER_52_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 470400 439040 ) N ;
- FILLER_52_416 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 479360 439040 ) N ;
- FILLER_52_7 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 21280 439040 ) N ;
- FILLER_53_13 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 28000 446880 ) FS ;
- FILLER_53_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 446880 ) FS ;
- FILLER_53_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 446880 ) FS ;
- FILLER_53_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 174720 446880 ) FS ;
- FILLER_53_176 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 210560 446880 ) FS ;
- FILLER_53_180 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 215040 446880 ) FS ;
- FILLER_53_185 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 220640 446880 ) FS ;
- FILLER_53_189 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 225120 446880 ) FS ;
- FILLER_53_19 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 34720 446880 ) FS ;
- FILLER_53_191 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 227360 446880 ) FS ;
- FILLER_53_194 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 230720 446880 ) FS ;
- FILLER_53_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 446880 ) FS ;
- FILLER_53_202 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 239680 446880 ) FS ;
- FILLER_53_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 446880 ) FS ;
- FILLER_53_215 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 254240 446880 ) FS ;
- FILLER_53_225 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 265440 446880 ) FS ;
- FILLER_53_233 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 274400 446880 ) FS ;
- FILLER_53_237 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 278880 446880 ) FS ;
- FILLER_53_241 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 283360 446880 ) FS ;
- FILLER_53_245 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 287840 446880 ) FS ;
- FILLER_53_277 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 323680 446880 ) FS ;
- FILLER_53_281 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 328160 446880 ) FS ;
- FILLER_53_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 446880 ) FS ;
- FILLER_53_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 446880 ) FS ;
- FILLER_53_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 446880 ) FS ;
- FILLER_53_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 446880 ) FS ;
- FILLER_53_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 446880 ) FS ;
- FILLER_53_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 446880 ) FS ;
- FILLER_53_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 467040 446880 ) FS ;
- FILLER_53_411 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 473760 446880 ) FS ;
- FILLER_53_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 446880 ) FS ;
- FILLER_53_51 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 70560 446880 ) FS ;
- FILLER_53_67 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 88480 446880 ) FS ;
- FILLER_53_7 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 21280 446880 ) FS ;
- FILLER_53_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 446880 ) FS ;
- FILLER_54_107 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 133280 454720 ) N ;
- FILLER_54_112 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 138880 454720 ) N ;
- FILLER_54_128 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 156800 454720 ) N ;
- FILLER_54_136 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 165760 454720 ) N ;
- FILLER_54_142 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 172480 454720 ) N ;
- FILLER_54_15 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 30240 454720 ) N ;
- FILLER_54_150 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 181440 454720 ) N ;
- FILLER_54_155 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 187040 454720 ) N ;
- FILLER_54_163 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 196000 454720 ) N ;
- FILLER_54_165 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 198240 454720 ) N ;
- FILLER_54_170 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 203840 454720 ) N ;
- FILLER_54_174 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 208320 454720 ) N ;
- FILLER_54_177 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 211680 454720 ) N ;
- FILLER_54_19 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 34720 454720 ) N ;
- FILLER_54_192 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 228480 454720 ) N ;
- FILLER_54_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 454720 ) N ;
- FILLER_54_208 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 246400 454720 ) N ;
- FILLER_54_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 454720 ) N ;
- FILLER_54_219 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 258720 454720 ) N ;
- FILLER_54_223 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 263200 454720 ) N ;
- FILLER_54_227 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 267680 454720 ) N ;
- FILLER_54_233 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 274400 454720 ) N ;
- FILLER_54_237 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 278880 454720 ) N ;
- FILLER_54_247 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 290080 454720 ) N ;
- FILLER_54_255 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 299040 454720 ) N ;
- FILLER_54_259 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 303520 454720 ) N ;
- FILLER_54_263 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 308000 454720 ) N ;
- FILLER_54_271 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 316960 454720 ) N ;
- FILLER_54_279 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 325920 454720 ) N ;
- FILLER_54_282 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 329280 454720 ) N ;
- FILLER_54_287 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 334880 454720 ) N ;
- FILLER_54_303 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 352800 454720 ) N ;
- FILLER_54_311 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 361760 454720 ) N ;
- FILLER_54_317 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 368480 454720 ) N ;
- FILLER_54_329 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 381920 454720 ) N ;
- FILLER_54_337 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 390880 454720 ) N ;
- FILLER_54_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 454720 ) N ;
- FILLER_54_341 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 395360 454720 ) N ;
- FILLER_54_347 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 402080 454720 ) N ;
- FILLER_54_349 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 404320 454720 ) N ;
- FILLER_54_352 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 407680 454720 ) N ;
- FILLER_54_368 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 425600 454720 ) N ;
- FILLER_54_37 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 54880 454720 ) N ;
- FILLER_54_372 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 430080 454720 ) N ;
- FILLER_54_377 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 435680 454720 ) N ;
- FILLER_54_387 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 446880 454720 ) N ;
- FILLER_54_40 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 58240 454720 ) N ;
- FILLER_54_405 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 467040 454720 ) N ;
- FILLER_54_411 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 473760 454720 ) N ;
- FILLER_54_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 454720 ) N ;
- FILLER_54_48 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 67200 454720 ) N ;
- FILLER_54_52 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 71680 454720 ) N ;
- FILLER_54_54 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 73920 454720 ) N ;
- FILLER_54_59 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 79520 454720 ) N ;
- FILLER_54_67 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 88480 454720 ) N ;
- FILLER_54_69 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 90720 454720 ) N ;
- FILLER_54_72 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 94080 454720 ) N ;
- FILLER_54_77 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 99680 454720 ) N ;
- FILLER_54_85 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 108640 454720 ) N ;
- FILLER_54_89 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 113120 454720 ) N ;
- FILLER_54_9 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 23520 454720 ) N ;
- FILLER_54_97 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 122080 454720 ) N ;
- FILLER_5_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 70560 ) FS ;
- FILLER_5_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 70560 ) FS ;
- FILLER_5_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 70560 ) FS ;
- FILLER_5_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 70560 ) FS ;
- FILLER_5_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 70560 ) FS ;
- FILLER_5_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 70560 ) FS ;
- FILLER_5_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 70560 ) FS ;
- FILLER_5_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 70560 ) FS ;
- FILLER_5_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 70560 ) FS ;
- FILLER_5_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 70560 ) FS ;
- FILLER_5_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 70560 ) FS ;
- FILLER_5_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 70560 ) FS ;
- FILLER_5_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 70560 ) FS ;
- FILLER_5_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 70560 ) FS ;
- FILLER_5_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 70560 ) FS ;
- FILLER_5_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 70560 ) FS ;
- FILLER_5_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 70560 ) FS ;
- FILLER_5_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 70560 ) FS ;
- FILLER_5_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 70560 ) FS ;
- FILLER_5_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 70560 ) FS ;
- FILLER_6_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 78400 ) N ;
- FILLER_6_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 78400 ) N ;
- FILLER_6_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 78400 ) N ;
- FILLER_6_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 78400 ) N ;
- FILLER_6_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 78400 ) N ;
- FILLER_6_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 78400 ) N ;
- FILLER_6_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 78400 ) N ;
- FILLER_6_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 78400 ) N ;
- FILLER_6_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 78400 ) N ;
- FILLER_6_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 78400 ) N ;
- FILLER_6_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 78400 ) N ;
- FILLER_6_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 78400 ) N ;
- FILLER_6_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 78400 ) N ;
- FILLER_6_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 78400 ) N ;
- FILLER_6_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 78400 ) N ;
- FILLER_6_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 78400 ) N ;
- FILLER_6_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 78400 ) N ;
- FILLER_6_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 78400 ) N ;
- FILLER_6_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 78400 ) N ;
- FILLER_6_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 78400 ) N ;
- FILLER_6_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 78400 ) N ;
- FILLER_6_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 78400 ) N ;
- FILLER_7_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 86240 ) FS ;
- FILLER_7_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 86240 ) FS ;
- FILLER_7_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 86240 ) FS ;
- FILLER_7_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 86240 ) FS ;
- FILLER_7_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 86240 ) FS ;
- FILLER_7_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 86240 ) FS ;
- FILLER_7_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 86240 ) FS ;
- FILLER_7_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 86240 ) FS ;
- FILLER_7_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 86240 ) FS ;
- FILLER_7_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 86240 ) FS ;
- FILLER_7_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 86240 ) FS ;
- FILLER_7_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 86240 ) FS ;
- FILLER_7_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 86240 ) FS ;
- FILLER_7_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 86240 ) FS ;
- FILLER_7_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 86240 ) FS ;
- FILLER_7_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 86240 ) FS ;
- FILLER_7_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 86240 ) FS ;
- FILLER_7_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 86240 ) FS ;
- FILLER_7_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 86240 ) FS ;
- FILLER_7_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 86240 ) FS ;
- FILLER_8_101 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 126560 94080 ) N ;
- FILLER_8_105 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 131040 94080 ) N ;
- FILLER_8_108 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 134400 94080 ) N ;
- FILLER_8_172 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 206080 94080 ) N ;
- FILLER_8_176 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 210560 94080 ) N ;
- FILLER_8_179 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 213920 94080 ) N ;
- FILLER_8_2 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 15680 94080 ) N ;
- FILLER_8_243 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 285600 94080 ) N ;
- FILLER_8_247 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 290080 94080 ) N ;
- FILLER_8_250 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 293440 94080 ) N ;
- FILLER_8_314 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 365120 94080 ) N ;
- FILLER_8_318 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 369600 94080 ) N ;
- FILLER_8_321 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 372960 94080 ) N ;
- FILLER_8_34 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 51520 94080 ) N ;
- FILLER_8_37 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 54880 94080 ) N ;
- FILLER_8_385 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 444640 94080 ) N ;
- FILLER_8_389 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 449120 94080 ) N ;
- FILLER_8_392 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 452480 94080 ) N ;
- FILLER_8_408 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 470400 94080 ) N ;
- FILLER_8_412 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 474880 94080 ) N ;
- FILLER_8_414 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 477120 94080 ) N ;
- FILLER_8_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 94080 ) N ;
- FILLER_9_137 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 166880 101920 ) FS ;
- FILLER_9_141 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 171360 101920 ) FS ;
- FILLER_9_144 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 174720 101920 ) FS ;
- FILLER_9_2 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 15680 101920 ) FS ;
- FILLER_9_208 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 246400 101920 ) FS ;
- FILLER_9_212 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 250880 101920 ) FS ;
- FILLER_9_215 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 254240 101920 ) FS ;
- FILLER_9_279 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 325920 101920 ) FS ;
- FILLER_9_283 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 330400 101920 ) FS ;
- FILLER_9_286 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 333760 101920 ) FS ;
- FILLER_9_350 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 405440 101920 ) FS ;
- FILLER_9_354 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 409920 101920 ) FS ;
- FILLER_9_357 gf180mcu_fd_sc_mcu7t5v0__fillcap_32 + SOURCE DIST + PLACED ( 413280 101920 ) FS ;
- FILLER_9_389 gf180mcu_fd_sc_mcu7t5v0__fillcap_16 + SOURCE DIST + PLACED ( 449120 101920 ) FS ;
- FILLER_9_405 gf180mcu_fd_sc_mcu7t5v0__fillcap_8 + SOURCE DIST + PLACED ( 467040 101920 ) FS ;
- FILLER_9_413 gf180mcu_fd_sc_mcu7t5v0__fillcap_4 + SOURCE DIST + PLACED ( 476000 101920 ) FS ;
- FILLER_9_417 gf180mcu_fd_sc_mcu7t5v0__fill_2 + SOURCE DIST + PLACED ( 480480 101920 ) FS ;
- FILLER_9_419 gf180mcu_fd_sc_mcu7t5v0__fill_1 + SOURCE DIST + PLACED ( 482720 101920 ) FS ;
- FILLER_9_7 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 21280 101920 ) FS ;
- FILLER_9_73 gf180mcu_fd_sc_mcu7t5v0__fillcap_64 + SOURCE DIST + PLACED ( 95200 101920 ) FS ;
- PHY_0 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 31360 ) N ;
- PHY_1 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 31360 ) FN ;
- PHY_10 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 70560 ) FS ;
- PHY_100 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 423360 ) N ;
- PHY_101 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 423360 ) FN ;
- PHY_102 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 431200 ) FS ;
- PHY_103 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 431200 ) S ;
- PHY_104 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 439040 ) N ;
- PHY_105 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 439040 ) FN ;
- PHY_106 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 446880 ) FS ;
- PHY_107 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 446880 ) S ;
- PHY_108 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 454720 ) N ;
- PHY_109 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 454720 ) FN ;
- PHY_11 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 70560 ) S ;
- PHY_12 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 78400 ) N ;
- PHY_13 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 78400 ) FN ;
- PHY_14 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 86240 ) FS ;
- PHY_15 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 86240 ) S ;
- PHY_16 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 94080 ) N ;
- PHY_17 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 94080 ) FN ;
- PHY_18 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 101920 ) FS ;
- PHY_19 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 101920 ) S ;
- PHY_2 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 39200 ) FS ;
- PHY_20 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 109760 ) N ;
- PHY_21 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 109760 ) FN ;
- PHY_22 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 117600 ) FS ;
- PHY_23 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 117600 ) S ;
- PHY_24 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 125440 ) N ;
- PHY_25 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 125440 ) FN ;
- PHY_26 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 133280 ) FS ;
- PHY_27 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 133280 ) S ;
- PHY_28 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 141120 ) N ;
- PHY_29 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 141120 ) FN ;
- PHY_3 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 39200 ) S ;
- PHY_30 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 148960 ) FS ;
- PHY_31 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 148960 ) S ;
- PHY_32 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 156800 ) N ;
- PHY_33 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 156800 ) FN ;
- PHY_34 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 164640 ) FS ;
- PHY_35 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 164640 ) S ;
- PHY_36 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 172480 ) N ;
- PHY_37 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 172480 ) FN ;
- PHY_38 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 180320 ) FS ;
- PHY_39 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 180320 ) S ;
- PHY_4 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 47040 ) N ;
- PHY_40 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 188160 ) N ;
- PHY_41 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 188160 ) FN ;
- PHY_42 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 196000 ) FS ;
- PHY_43 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 196000 ) S ;
- PHY_44 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 203840 ) N ;
- PHY_45 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 203840 ) FN ;
- PHY_46 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 211680 ) FS ;
- PHY_47 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 211680 ) S ;
- PHY_48 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 219520 ) N ;
- PHY_49 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 219520 ) FN ;
- PHY_5 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 47040 ) FN ;
- PHY_50 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 227360 ) FS ;
- PHY_51 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 227360 ) S ;
- PHY_52 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 235200 ) N ;
- PHY_53 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 235200 ) FN ;
- PHY_54 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 243040 ) FS ;
- PHY_55 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 243040 ) S ;
- PHY_56 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 250880 ) N ;
- PHY_57 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 250880 ) FN ;
- PHY_58 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 258720 ) FS ;
- PHY_59 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 258720 ) S ;
- PHY_6 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 54880 ) FS ;
- PHY_60 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 266560 ) N ;
- PHY_61 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 266560 ) FN ;
- PHY_62 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 274400 ) FS ;
- PHY_63 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 274400 ) S ;
- PHY_64 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 282240 ) N ;
- PHY_65 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 282240 ) FN ;
- PHY_66 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 290080 ) FS ;
- PHY_67 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 290080 ) S ;
- PHY_68 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 297920 ) N ;
- PHY_69 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 297920 ) FN ;
- PHY_7 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 54880 ) S ;
- PHY_70 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 305760 ) FS ;
- PHY_71 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 305760 ) S ;
- PHY_72 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 313600 ) N ;
- PHY_73 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 313600 ) FN ;
- PHY_74 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 321440 ) FS ;
- PHY_75 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 321440 ) S ;
- PHY_76 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 329280 ) N ;
- PHY_77 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 329280 ) FN ;
- PHY_78 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 337120 ) FS ;
- PHY_79 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 337120 ) S ;
- PHY_8 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 62720 ) N ;
- PHY_80 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 344960 ) N ;
- PHY_81 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 344960 ) FN ;
- PHY_82 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 352800 ) FS ;
- PHY_83 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 352800 ) S ;
- PHY_84 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 360640 ) N ;
- PHY_85 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 360640 ) FN ;
- PHY_86 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 368480 ) FS ;
- PHY_87 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 368480 ) S ;
- PHY_88 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 376320 ) N ;
- PHY_89 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 376320 ) FN ;
- PHY_9 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 62720 ) FN ;
- PHY_90 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 384160 ) FS ;
- PHY_91 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 384160 ) S ;
- PHY_92 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 392000 ) N ;
- PHY_93 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 392000 ) FN ;
- PHY_94 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 399840 ) FS ;
- PHY_95 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 399840 ) S ;
- PHY_96 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 407680 ) N ;
- PHY_97 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 407680 ) FN ;
- PHY_98 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 13440 415520 ) FS ;
- PHY_99 gf180mcu_fd_sc_mcu7t5v0__endcap + SOURCE DIST + FIXED ( 483840 415520 ) S ;
- TAP_110 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 31360 ) N ;
- TAP_111 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 91840 31360 ) N ;
- TAP_112 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 131040 31360 ) N ;
- TAP_113 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 170240 31360 ) N ;
- TAP_114 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 209440 31360 ) N ;
- TAP_115 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 248640 31360 ) N ;
- TAP_116 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 287840 31360 ) N ;
- TAP_117 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 327040 31360 ) N ;
- TAP_118 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 366240 31360 ) N ;
- TAP_119 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 405440 31360 ) N ;
- TAP_120 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 444640 31360 ) N ;
- TAP_121 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 39200 ) FS ;
- TAP_122 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 39200 ) FS ;
- TAP_123 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 39200 ) FS ;
- TAP_124 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 39200 ) FS ;
- TAP_125 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 39200 ) FS ;
- TAP_126 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 47040 ) N ;
- TAP_127 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 47040 ) N ;
- TAP_128 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 47040 ) N ;
- TAP_129 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 47040 ) N ;
- TAP_130 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 47040 ) N ;
- TAP_131 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 47040 ) N ;
- TAP_132 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 54880 ) FS ;
- TAP_133 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 54880 ) FS ;
- TAP_134 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 54880 ) FS ;
- TAP_135 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 54880 ) FS ;
- TAP_136 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 54880 ) FS ;
- TAP_137 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 62720 ) N ;
- TAP_138 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 62720 ) N ;
- TAP_139 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 62720 ) N ;
- TAP_140 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 62720 ) N ;
- TAP_141 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 62720 ) N ;
- TAP_142 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 62720 ) N ;
- TAP_143 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 70560 ) FS ;
- TAP_144 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 70560 ) FS ;
- TAP_145 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 70560 ) FS ;
- TAP_146 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 70560 ) FS ;
- TAP_147 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 70560 ) FS ;
- TAP_148 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 78400 ) N ;
- TAP_149 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 78400 ) N ;
- TAP_150 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 78400 ) N ;
- TAP_151 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 78400 ) N ;
- TAP_152 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 78400 ) N ;
- TAP_153 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 78400 ) N ;
- TAP_154 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 86240 ) FS ;
- TAP_155 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 86240 ) FS ;
- TAP_156 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 86240 ) FS ;
- TAP_157 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 86240 ) FS ;
- TAP_158 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 86240 ) FS ;
- TAP_159 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 94080 ) N ;
- TAP_160 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 94080 ) N ;
- TAP_161 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 94080 ) N ;
- TAP_162 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 94080 ) N ;
- TAP_163 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 94080 ) N ;
- TAP_164 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 94080 ) N ;
- TAP_165 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 101920 ) FS ;
- TAP_166 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 101920 ) FS ;
- TAP_167 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 101920 ) FS ;
- TAP_168 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 101920 ) FS ;
- TAP_169 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 101920 ) FS ;
- TAP_170 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 109760 ) N ;
- TAP_171 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 109760 ) N ;
- TAP_172 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 109760 ) N ;
- TAP_173 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 109760 ) N ;
- TAP_174 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 109760 ) N ;
- TAP_175 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 109760 ) N ;
- TAP_176 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 117600 ) FS ;
- TAP_177 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 117600 ) FS ;
- TAP_178 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 117600 ) FS ;
- TAP_179 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 117600 ) FS ;
- TAP_180 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 117600 ) FS ;
- TAP_181 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 125440 ) N ;
- TAP_182 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 125440 ) N ;
- TAP_183 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 125440 ) N ;
- TAP_184 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 125440 ) N ;
- TAP_185 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 125440 ) N ;
- TAP_186 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 125440 ) N ;
- TAP_187 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 133280 ) FS ;
- TAP_188 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 133280 ) FS ;
- TAP_189 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 133280 ) FS ;
- TAP_190 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 133280 ) FS ;
- TAP_191 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 133280 ) FS ;
- TAP_192 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 141120 ) N ;
- TAP_193 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 141120 ) N ;
- TAP_194 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 141120 ) N ;
- TAP_195 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 141120 ) N ;
- TAP_196 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 141120 ) N ;
- TAP_197 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 141120 ) N ;
- TAP_198 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 148960 ) FS ;
- TAP_199 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 148960 ) FS ;
- TAP_200 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 148960 ) FS ;
- TAP_201 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 148960 ) FS ;
- TAP_202 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 148960 ) FS ;
- TAP_203 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 156800 ) N ;
- TAP_204 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 156800 ) N ;
- TAP_205 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 156800 ) N ;
- TAP_206 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 156800 ) N ;
- TAP_207 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 156800 ) N ;
- TAP_208 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 156800 ) N ;
- TAP_209 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 164640 ) FS ;
- TAP_210 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 164640 ) FS ;
- TAP_211 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 164640 ) FS ;
- TAP_212 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 164640 ) FS ;
- TAP_213 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 164640 ) FS ;
- TAP_214 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 172480 ) N ;
- TAP_215 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 172480 ) N ;
- TAP_216 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 172480 ) N ;
- TAP_217 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 172480 ) N ;
- TAP_218 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 172480 ) N ;
- TAP_219 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 172480 ) N ;
- TAP_220 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 180320 ) FS ;
- TAP_221 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 180320 ) FS ;
- TAP_222 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 180320 ) FS ;
- TAP_223 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 180320 ) FS ;
- TAP_224 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 180320 ) FS ;
- TAP_225 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 188160 ) N ;
- TAP_226 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 188160 ) N ;
- TAP_227 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 188160 ) N ;
- TAP_228 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 188160 ) N ;
- TAP_229 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 188160 ) N ;
- TAP_230 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 188160 ) N ;
- TAP_231 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 196000 ) FS ;
- TAP_232 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 196000 ) FS ;
- TAP_233 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 196000 ) FS ;
- TAP_234 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 196000 ) FS ;
- TAP_235 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 196000 ) FS ;
- TAP_236 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 203840 ) N ;
- TAP_237 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 203840 ) N ;
- TAP_238 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 203840 ) N ;
- TAP_239 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 203840 ) N ;
- TAP_240 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 203840 ) N ;
- TAP_241 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 203840 ) N ;
- TAP_242 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 211680 ) FS ;
- TAP_243 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 211680 ) FS ;
- TAP_244 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 211680 ) FS ;
- TAP_245 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 211680 ) FS ;
- TAP_246 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 211680 ) FS ;
- TAP_247 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 219520 ) N ;
- TAP_248 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 219520 ) N ;
- TAP_249 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 219520 ) N ;
- TAP_250 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 219520 ) N ;
- TAP_251 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 219520 ) N ;
- TAP_252 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 219520 ) N ;
- TAP_253 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 227360 ) FS ;
- TAP_254 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 227360 ) FS ;
- TAP_255 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 227360 ) FS ;
- TAP_256 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 227360 ) FS ;
- TAP_257 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 227360 ) FS ;
- TAP_258 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 235200 ) N ;
- TAP_259 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 235200 ) N ;
- TAP_260 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 235200 ) N ;
- TAP_261 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 235200 ) N ;
- TAP_262 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 235200 ) N ;
- TAP_263 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 235200 ) N ;
- TAP_264 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 243040 ) FS ;
- TAP_265 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 243040 ) FS ;
- TAP_266 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 243040 ) FS ;
- TAP_267 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 243040 ) FS ;
- TAP_268 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 243040 ) FS ;
- TAP_269 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 250880 ) N ;
- TAP_270 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 250880 ) N ;
- TAP_271 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 250880 ) N ;
- TAP_272 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 250880 ) N ;
- TAP_273 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 250880 ) N ;
- TAP_274 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 250880 ) N ;
- TAP_275 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 258720 ) FS ;
- TAP_276 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 258720 ) FS ;
- TAP_277 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 258720 ) FS ;
- TAP_278 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 258720 ) FS ;
- TAP_279 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 258720 ) FS ;
- TAP_280 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 266560 ) N ;
- TAP_281 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 266560 ) N ;
- TAP_282 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 266560 ) N ;
- TAP_283 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 266560 ) N ;
- TAP_284 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 266560 ) N ;
- TAP_285 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 266560 ) N ;
- TAP_286 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 274400 ) FS ;
- TAP_287 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 274400 ) FS ;
- TAP_288 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 274400 ) FS ;
- TAP_289 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 274400 ) FS ;
- TAP_290 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 274400 ) FS ;
- TAP_291 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 282240 ) N ;
- TAP_292 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 282240 ) N ;
- TAP_293 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 282240 ) N ;
- TAP_294 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 282240 ) N ;
- TAP_295 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 282240 ) N ;
- TAP_296 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 282240 ) N ;
- TAP_297 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 290080 ) FS ;
- TAP_298 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 290080 ) FS ;
- TAP_299 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 290080 ) FS ;
- TAP_300 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 290080 ) FS ;
- TAP_301 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 290080 ) FS ;
- TAP_302 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 297920 ) N ;
- TAP_303 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 297920 ) N ;
- TAP_304 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 297920 ) N ;
- TAP_305 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 297920 ) N ;
- TAP_306 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 297920 ) N ;
- TAP_307 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 297920 ) N ;
- TAP_308 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 305760 ) FS ;
- TAP_309 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 305760 ) FS ;
- TAP_310 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 305760 ) FS ;
- TAP_311 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 305760 ) FS ;
- TAP_312 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 305760 ) FS ;
- TAP_313 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 313600 ) N ;
- TAP_314 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 313600 ) N ;
- TAP_315 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 313600 ) N ;
- TAP_316 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 313600 ) N ;
- TAP_317 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 313600 ) N ;
- TAP_318 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 313600 ) N ;
- TAP_319 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 321440 ) FS ;
- TAP_320 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 321440 ) FS ;
- TAP_321 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 321440 ) FS ;
- TAP_322 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 321440 ) FS ;
- TAP_323 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 321440 ) FS ;
- TAP_324 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 329280 ) N ;
- TAP_325 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 329280 ) N ;
- TAP_326 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 329280 ) N ;
- TAP_327 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 329280 ) N ;
- TAP_328 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 329280 ) N ;
- TAP_329 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 329280 ) N ;
- TAP_330 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 337120 ) FS ;
- TAP_331 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 337120 ) FS ;
- TAP_332 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 337120 ) FS ;
- TAP_333 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 337120 ) FS ;
- TAP_334 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 337120 ) FS ;
- TAP_335 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 344960 ) N ;
- TAP_336 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 344960 ) N ;
- TAP_337 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 344960 ) N ;
- TAP_338 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 344960 ) N ;
- TAP_339 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 344960 ) N ;
- TAP_340 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 344960 ) N ;
- TAP_341 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 352800 ) FS ;
- TAP_342 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 352800 ) FS ;
- TAP_343 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 352800 ) FS ;
- TAP_344 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 352800 ) FS ;
- TAP_345 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 352800 ) FS ;
- TAP_346 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 360640 ) N ;
- TAP_347 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 360640 ) N ;
- TAP_348 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 360640 ) N ;
- TAP_349 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 360640 ) N ;
- TAP_350 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 360640 ) N ;
- TAP_351 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 360640 ) N ;
- TAP_352 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 368480 ) FS ;
- TAP_353 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 368480 ) FS ;
- TAP_354 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 368480 ) FS ;
- TAP_355 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 368480 ) FS ;
- TAP_356 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 368480 ) FS ;
- TAP_357 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 376320 ) N ;
- TAP_358 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 376320 ) N ;
- TAP_359 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 376320 ) N ;
- TAP_360 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 376320 ) N ;
- TAP_361 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 376320 ) N ;
- TAP_362 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 376320 ) N ;
- TAP_363 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 384160 ) FS ;
- TAP_364 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 384160 ) FS ;
- TAP_365 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 384160 ) FS ;
- TAP_366 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 384160 ) FS ;
- TAP_367 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 384160 ) FS ;
- TAP_368 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 392000 ) N ;
- TAP_369 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 392000 ) N ;
- TAP_370 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 392000 ) N ;
- TAP_371 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 392000 ) N ;
- TAP_372 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 392000 ) N ;
- TAP_373 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 392000 ) N ;
- TAP_374 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 399840 ) FS ;
- TAP_375 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 399840 ) FS ;
- TAP_376 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 399840 ) FS ;
- TAP_377 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 399840 ) FS ;
- TAP_378 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 399840 ) FS ;
- TAP_379 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 407680 ) N ;
- TAP_380 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 407680 ) N ;
- TAP_381 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 407680 ) N ;
- TAP_382 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 407680 ) N ;
- TAP_383 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 407680 ) N ;
- TAP_384 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 407680 ) N ;
- TAP_385 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 415520 ) FS ;
- TAP_386 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 415520 ) FS ;
- TAP_387 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 415520 ) FS ;
- TAP_388 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 415520 ) FS ;
- TAP_389 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 415520 ) FS ;
- TAP_390 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 423360 ) N ;
- TAP_391 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 423360 ) N ;
- TAP_392 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 423360 ) N ;
- TAP_393 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 423360 ) N ;
- TAP_394 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 423360 ) N ;
- TAP_395 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 423360 ) N ;
- TAP_396 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 431200 ) FS ;
- TAP_397 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 431200 ) FS ;
- TAP_398 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 431200 ) FS ;
- TAP_399 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 431200 ) FS ;
- TAP_400 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 431200 ) FS ;
- TAP_401 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 439040 ) N ;
- TAP_402 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 132160 439040 ) N ;
- TAP_403 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 211680 439040 ) N ;
- TAP_404 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 291200 439040 ) N ;
- TAP_405 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 370720 439040 ) N ;
- TAP_406 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 450240 439040 ) N ;
- TAP_407 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 92960 446880 ) FS ;
- TAP_408 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 172480 446880 ) FS ;
- TAP_409 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 252000 446880 ) FS ;
- TAP_410 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 331520 446880 ) FS ;
- TAP_411 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 411040 446880 ) FS ;
- TAP_412 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 52640 454720 ) N ;
- TAP_413 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 91840 454720 ) N ;
- TAP_414 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 131040 454720 ) N ;
- TAP_415 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 170240 454720 ) N ;
- TAP_416 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 209440 454720 ) N ;
- TAP_417 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 248640 454720 ) N ;
- TAP_418 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 287840 454720 ) N ;
- TAP_419 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 327040 454720 ) N ;
- TAP_420 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 366240 454720 ) N ;
- TAP_421 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 405440 454720 ) N ;
- TAP_422 gf180mcu_fd_sc_mcu7t5v0__filltie + SOURCE DIST + FIXED ( 444640 454720 ) N ;
- _027_ gf180mcu_fd_sc_mcu7t5v0__clkinv_1 + PLACED ( 284480 431200 ) S ;
- _028_ gf180mcu_fd_sc_mcu7t5v0__clkinv_1 + PLACED ( 199360 454720 ) N ;
- _029_ gf180mcu_fd_sc_mcu7t5v0__xor3_1 + PLACED ( 240800 439040 ) FN ;
- _030_ gf180mcu_fd_sc_mcu7t5v0__xor3_1 + PLACED ( 225120 415520 ) FS ;
- _031_ gf180mcu_fd_sc_mcu7t5v0__xor3_1 + PLACED ( 237440 407680 ) FN ;
- _032_ gf180mcu_fd_sc_mcu7t5v0__nand2_1 + PLACED ( 234080 399840 ) S ;
- _033_ gf180mcu_fd_sc_mcu7t5v0__xor2_1 + PLACED ( 255360 431200 ) S ;
- _034_ gf180mcu_fd_sc_mcu7t5v0__nand2_1 + PLACED ( 217280 415520 ) S ;
- _035_ gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + PLACED ( 252000 454720 ) FN ;
- _036_ gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + PLACED ( 267680 446880 ) S ;
- _037_ gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + PLACED ( 232960 446880 ) FS ;
- _038_ gf180mcu_fd_sc_mcu7t5v0__and4_1 + PLACED ( 220640 423360 ) N ;
- _039_ gf180mcu_fd_sc_mcu7t5v0__aoi22_1 + PLACED ( 255360 446880 ) FS ;
- _040_ gf180mcu_fd_sc_mcu7t5v0__xor2_1 + PLACED ( 264320 423360 ) FN ;
- _041_ gf180mcu_fd_sc_mcu7t5v0__nand2_1 + PLACED ( 257600 392000 ) FN ;
- _042_ gf180mcu_fd_sc_mcu7t5v0__oai21_1 + PLACED ( 280000 423360 ) N ;
- _043_ gf180mcu_fd_sc_mcu7t5v0__xor2_1 + PLACED ( 255360 415520 ) FS ;
- _044_ gf180mcu_fd_sc_mcu7t5v0__and2_1 + PLACED ( 265440 407680 ) FN ;
- _045_ gf180mcu_fd_sc_mcu7t5v0__and2_1 + PLACED ( 268800 439040 ) FN ;
- _046_ gf180mcu_fd_sc_mcu7t5v0__nor2_1 + PLACED ( 248640 392000 ) N ;
- _047_ gf180mcu_fd_sc_mcu7t5v0__xnor3_1 + PLACED ( 235200 423360 ) N ;
- _048_ gf180mcu_fd_sc_mcu7t5v0__nor3_1 + PLACED ( 241920 399840 ) FS ;
- _049_ gf180mcu_fd_sc_mcu7t5v0__oai21_1 + PLACED ( 241920 446880 ) S ;
- _050_ gf180mcu_fd_sc_mcu7t5v0__nand4_1 + PLACED ( 255360 399840 ) FS ;
- _051_ gf180mcu_fd_sc_mcu7t5v0__oai211_1 + PLACED ( 271040 415520 ) FS ;
- _052_ gf180mcu_fd_sc_mcu7t5v0__or2_1 + PLACED ( 226240 407680 ) FN ;
- _053_ gf180mcu_fd_sc_mcu7t5v0__aoi21_1 + PLACED ( 229600 439040 ) FN ;
- _054_ gf180mcu_fd_sc_mcu7t5v0__aoi211_1 + PLACED ( 271040 431200 ) FS ;
- _055_ gf180mcu_fd_sc_mcu7t5v0__nand2_1 + PLACED ( 218400 407680 ) N ;
- _056_ gf180mcu_fd_sc_mcu7t5v0__dffrsnq_1 + PLACED ( 204960 431200 ) S ;
- input1 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 476000 454720 ) FN ;
- input10 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 310240 454720 ) FN ;
- input11 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 476000 446880 ) S ;
- input2 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 252000 31360 ) N ;
- input3 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 115360 454720 ) N ;
- input4 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 476000 31360 ) FN ;
- input5 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 476000 431200 ) S ;
- input6 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 370720 31360 ) FN ;
- input7 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 122080 31360 ) N ;
- input8 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 16800 454720 ) N ;
- input9 gf180mcu_fd_sc_mcu7t5v0__clkbuf_1 + SOURCE TIMING + PLACED ( 189280 31360 ) N ;
- output12 gf180mcu_fd_sc_mcu7t5v0__clkbuf_4 + SOURCE TIMING + PLACED ( 35840 454720 ) FN ;
- output13 gf180mcu_fd_sc_mcu7t5v0__clkbuf_4 + SOURCE TIMING + PLACED ( 212800 454720 ) N ;
- tiny_user_project_14 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 368480 ) S ;
- tiny_user_project_15 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 316960 31360 ) FN ;
- tiny_user_project_16 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 78400 ) N ;
- tiny_user_project_17 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 377440 454720 ) FN ;
- tiny_user_project_18 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 25760 454720 ) FN ;
- tiny_user_project_19 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 95200 454720 ) FN ;
- tiny_user_project_20 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 350560 31360 ) FN ;
- tiny_user_project_21 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 141120 ) FN ;
- tiny_user_project_22 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 423360 ) FN ;
- tiny_user_project_23 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 235200 ) N ;
- tiny_user_project_24 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 282240 ) FN ;
- tiny_user_project_25 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 266560 ) FN ;
- tiny_user_project_26 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 219520 ) N ;
- tiny_user_project_27 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 360640 ) N ;
- tiny_user_project_28 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 424480 31360 ) FN ;
- tiny_user_project_29 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 399840 ) FS ;
- tiny_user_project_30 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 446880 ) S ;
- tiny_user_project_31 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 101920 ) S ;
- tiny_user_project_32 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 263200 31360 ) FN ;
- tiny_user_project_33 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 39200 ) S ;
- tiny_user_project_34 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 357280 454720 ) FN ;
- tiny_user_project_35 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 439040 ) FN ;
- tiny_user_project_36 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 227360 ) S ;
- tiny_user_project_37 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 23520 31360 ) FN ;
- tiny_user_project_38 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 431200 454720 ) FN ;
- tiny_user_project_39 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 330400 454720 ) FN ;
- tiny_user_project_40 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 229600 31360 ) FN ;
- tiny_user_project_41 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 469280 454720 ) N ;
- tiny_user_project_42 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 23520 446880 ) S ;
- tiny_user_project_43 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 172480 ) FN ;
- tiny_user_project_44 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 95200 31360 ) FN ;
- tiny_user_project_45 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 175840 31360 ) FN ;
- tiny_user_project_46 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 156800 ) FN ;
- tiny_user_project_47 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 313600 ) N ;
- tiny_user_project_48 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 291200 31360 ) FN ;
- tiny_user_project_49 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 397600 454720 ) FN ;
- tiny_user_project_50 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 155680 31360 ) FN ;
- tiny_user_project_51 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 269920 454720 ) FN ;
- tiny_user_project_52 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 408800 31360 ) FN ;
- tiny_user_project_53 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 70560 ) S ;
- tiny_user_project_54 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 56000 31360 ) FN ;
- tiny_user_project_55 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 211680 ) S ;
- tiny_user_project_56 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 39200 ) FS ;
- tiny_user_project_57 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 290080 ) FS ;
- tiny_user_project_58 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 180320 ) FS ;
- tiny_user_project_59 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 384160 31360 ) FN ;
- tiny_user_project_60 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 86240 ) S ;
- tiny_user_project_61 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 344960 ) N ;
- tiny_user_project_62 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 352800 ) S ;
- tiny_user_project_63 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 188160 ) FN ;
- tiny_user_project_64 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 117600 ) FS ;
- tiny_user_project_65 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 437920 31360 ) FN ;
- tiny_user_project_66 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 243040 ) S ;
- tiny_user_project_67 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 266560 ) N ;
- tiny_user_project_68 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 216160 446880 ) S ;
- tiny_user_project_69 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 134400 454720 ) FN ;
- tiny_user_project_70 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 182560 454720 ) FN ;
- tiny_user_project_71 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 142240 31360 ) FN ;
- tiny_user_project_72 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 125440 ) N ;
- tiny_user_project_73 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 62720 ) N ;
- tiny_user_project_74 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 321440 ) FS ;
- tiny_user_project_75 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 34720 31360 ) FN ;
- tiny_user_project_76 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 458080 31360 ) FN ;
- tiny_user_project_77 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 94080 ) N ;
- tiny_user_project_78 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 297920 ) FN ;
- tiny_user_project_79 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 31360 ) FN ;
- tiny_user_project_80 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 212800 31360 ) FN ;
- tiny_user_project_81 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 172480 ) N ;
- tiny_user_project_82 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 478240 376320 ) N ;
- tiny_user_project_83 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 384160 ) S ;
- tiny_user_project_84 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 469280 31360 ) N ;
- tiny_user_project_85 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 30240 446880 ) S ;
- tiny_user_project_86 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 75040 454720 ) FN ;
- tiny_user_project_87 gf180mcu_fd_sc_mcu7t5v0__tiel + SOURCE TIMING + PLACED ( 16800 329280 ) FN ;
END COMPONENTS
PINS 116 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 235760 495000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 497840 495000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 242480 5000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 114800 495000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 491120 5000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 430640 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 370160 5000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 121520 5000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 477680 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 188720 5000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 309680 495000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 14000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 336560 5000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 316400 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 202160 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 464240 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 47600 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 410480 495000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 403760 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 148400 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 410480 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 477680 5000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 296240 5000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 40880 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 148400 495000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 101360 5000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 255920 495000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 464240 495000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 121520 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 161840 495000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 450800 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 255920 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 289520 495000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 67760 5000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 450800 495000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 343280 495000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 61040 495000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 484400 ) N ;
- io_oeb[0] + NET net50 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 155120 5000 ) N ;
- io_oeb[10] + NET net60 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 87920 ) N ;
- io_oeb[11] + NET net61 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 343280 ) N ;
- io_oeb[12] + NET net62 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 350000 ) N ;
- io_oeb[13] + NET net63 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 188720 ) N ;
- io_oeb[14] + NET net64 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 114800 ) N ;
- io_oeb[15] + NET net65 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 437360 5000 ) N ;
- io_oeb[16] + NET net66 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 242480 ) N ;
- io_oeb[17] + NET net67 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 269360 ) N ;
- io_oeb[18] + NET net68 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 215600 495000 ) N ;
- io_oeb[19] + NET net69 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 128240 495000 ) N ;
- io_oeb[1] + NET net51 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 269360 495000 ) N ;
- io_oeb[20] + NET net70 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 182000 495000 ) N ;
- io_oeb[21] + NET net71 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 141680 5000 ) N ;
- io_oeb[22] + NET net72 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 128240 ) N ;
- io_oeb[23] + NET net73 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 61040 ) N ;
- io_oeb[24] + NET net74 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 323120 ) N ;
- io_oeb[25] + NET net75 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 34160 5000 ) N ;
- io_oeb[26] + NET net76 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 457520 5000 ) N ;
- io_oeb[27] + NET net77 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 94640 ) N ;
- io_oeb[28] + NET net78 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 296240 ) N ;
- io_oeb[29] + NET net79 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 34160 ) N ;
- io_oeb[2] + NET net52 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 403760 5000 ) N ;
- io_oeb[30] + NET net80 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 208880 5000 ) N ;
- io_oeb[31] + NET net81 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 168560 ) N ;
- io_oeb[32] + NET net82 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 376880 ) N ;
- io_oeb[33] + NET net83 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 383600 ) N ;
- io_oeb[34] + NET net84 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 20720 ) N ;
- io_oeb[35] + NET net85 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 457520 ) N ;
- io_oeb[36] + NET net86 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 74480 495000 ) N ;
- io_oeb[37] + NET net87 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 329840 ) N ;
- io_oeb[3] + NET net53 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 67760 ) N ;
- io_oeb[4] + NET net54 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 47600 5000 ) N ;
- io_oeb[5] + NET net55 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 208880 ) N ;
- io_oeb[6] + NET net56 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 7280 ) N ;
- io_oeb[7] + NET net57 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 289520 ) N ;
- io_oeb[8] + NET net58 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 182000 ) N ;
- io_oeb[9] + NET net59 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 383600 5000 ) N ;
- io_out[0] + NET net14 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 370160 ) N ;
- io_out[10] + NET net24 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 282800 ) N ;
- io_out[11] + NET net25 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 262640 ) N ;
- io_out[12] + NET net26 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 215600 ) N ;
- io_out[13] + NET net27 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 356720 ) N ;
- io_out[14] + NET net28 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 423920 5000 ) N ;
- io_out[15] + NET net29 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 397040 ) N ;
- io_out[16] + NET net30 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 7280 495000 ) N ;
- io_out[17] + NET net31 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 101360 ) N ;
- io_out[18] + NET net32 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 262640 5000 ) N ;
- io_out[19] + NET net33 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 560 5000 ) N ;
- io_out[1] + NET net15 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 316400 5000 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 40880 495000 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 202160 495000 ) N ;
- io_out[22] + NET net34 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 356720 495000 ) N ;
- io_out[23] + NET net35 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 437360 ) N ;
- io_out[24] + NET net36 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 229040 ) N ;
- io_out[25] + NET net37 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 14000 5000 ) N ;
- io_out[26] + NET net38 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 430640 495000 ) N ;
- io_out[27] + NET net39 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 323120 495000 ) N ;
- io_out[28] + NET net40 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 229040 5000 ) N ;
- io_out[29] + NET net41 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 484400 495000 ) N ;
- io_out[2] + NET net16 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 74480 ) N ;
- io_out[30] + NET net42 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 491120 ) N ;
- io_out[31] + NET net43 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 175280 ) N ;
- io_out[32] + NET net44 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 87920 5000 ) N ;
- io_out[33] + NET net45 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 175280 5000 ) N ;
- io_out[34] + NET net46 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 155120 ) N ;
- io_out[35] + NET net47 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 309680 ) N ;
- io_out[36] + NET net48 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 282800 5000 ) N ;
- io_out[37] + NET net49 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 397040 495000 ) N ;
- io_out[3] + NET net17 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 376880 495000 ) N ;
- io_out[4] + NET net18 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 20720 495000 ) N ;
- io_out[5] + NET net19 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 94640 495000 ) N ;
- io_out[6] + NET net20 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal2 ( -560 -3000 ) ( 560 3000 )
+ PLACED ( 350000 5000 ) N ;
- io_out[7] + NET net21 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 141680 ) N ;
- io_out[8] + NET net22 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 5000 423920 ) N ;
- io_out[9] + NET net23 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER Metal3 ( -3000 -560 ) ( 3000 560 )
+ PLACED ( 495000 235760 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER Metal4 ( -1600 -216200 ) ( 1600 216200 )
+ LAYER Metal4 ( -308800 -216200 ) ( -305600 216200 )
+ FIXED ( 353280 246960 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER Metal4 ( -1600 -216200 ) ( 1600 216200 )
+ FIXED ( 199680 246960 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VDD ) + USE POWER
+ ROUTED Metal1 1200 + SHAPE FOLLOWPIN ( 13440 462560 ) ( 486080 462560 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 446880 ) ( 486080 446880 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 431200 ) ( 486080 431200 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 415520 ) ( 486080 415520 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 399840 ) ( 486080 399840 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 384160 ) ( 486080 384160 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 368480 ) ( 486080 368480 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 352800 ) ( 486080 352800 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 337120 ) ( 486080 337120 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 321440 ) ( 486080 321440 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 305760 ) ( 486080 305760 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 290080 ) ( 486080 290080 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 274400 ) ( 486080 274400 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 258720 ) ( 486080 258720 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 243040 ) ( 486080 243040 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 227360 ) ( 486080 227360 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 211680 ) ( 486080 211680 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 196000 ) ( 486080 196000 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 180320 ) ( 486080 180320 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 164640 ) ( 486080 164640 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 148960 ) ( 486080 148960 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 133280 ) ( 486080 133280 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 117600 ) ( 486080 117600 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 101920 ) ( 486080 101920 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 86240 ) ( 486080 86240 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 70560 ) ( 486080 70560 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 54880 ) ( 486080 54880 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 39200 ) ( 486080 39200 )
NEW Metal4 3200 + SHAPE STRIPE ( 353280 30760 ) ( 353280 463160 )
NEW Metal4 3200 + SHAPE STRIPE ( 46080 30760 ) ( 46080 463160 )
NEW Metal3 0 + SHAPE STRIPE ( 353280 462560 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 462560 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 462560 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 446880 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 446880 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 446880 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 431200 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 431200 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 431200 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 415520 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 415520 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 415520 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 399840 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 399840 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 399840 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 384160 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 384160 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 384160 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 368480 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 368480 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 368480 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 352800 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 352800 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 352800 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 337120 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 337120 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 337120 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 321440 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 321440 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 321440 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 305760 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 305760 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 305760 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 290080 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 290080 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 290080 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 274400 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 274400 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 274400 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 258720 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 258720 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 258720 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 243040 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 243040 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 243040 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 227360 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 227360 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 227360 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 211680 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 211680 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 211680 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 196000 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 196000 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 196000 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 180320 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 180320 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 180320 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 164640 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 164640 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 164640 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 148960 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 148960 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 148960 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 133280 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 133280 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 133280 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 117600 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 117600 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 117600 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 101920 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 101920 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 101920 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 86240 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 86240 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 86240 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 70560 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 70560 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 70560 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 54880 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 54880 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 54880 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 353280 39200 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 353280 39200 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 353280 39200 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 462560 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 462560 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 462560 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 446880 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 446880 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 446880 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 431200 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 431200 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 431200 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 415520 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 415520 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 415520 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 399840 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 399840 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 399840 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 384160 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 384160 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 384160 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 368480 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 368480 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 368480 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 352800 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 352800 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 352800 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 337120 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 337120 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 337120 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 321440 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 321440 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 321440 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 305760 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 305760 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 305760 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 290080 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 290080 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 290080 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 274400 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 274400 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 274400 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 258720 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 258720 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 258720 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 243040 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 243040 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 243040 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 227360 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 227360 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 227360 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 211680 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 211680 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 211680 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 196000 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 196000 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 196000 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 180320 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 180320 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 180320 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 164640 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 164640 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 164640 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 148960 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 148960 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 148960 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 133280 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 133280 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 133280 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 117600 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 117600 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 117600 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 101920 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 101920 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 101920 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 86240 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 86240 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 86240 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 70560 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 70560 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 70560 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 54880 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 54880 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 54880 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 46080 39200 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 46080 39200 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 46080 39200 ) via1_2_3200_1200_1_3_1040_1040 ;
- vssd1 ( PIN vssd1 ) ( * VSS ) + USE GROUND
+ ROUTED Metal1 1200 + SHAPE FOLLOWPIN ( 13440 454720 ) ( 486080 454720 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 439040 ) ( 486080 439040 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 423360 ) ( 486080 423360 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 407680 ) ( 486080 407680 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 392000 ) ( 486080 392000 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 376320 ) ( 486080 376320 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 360640 ) ( 486080 360640 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 344960 ) ( 486080 344960 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 329280 ) ( 486080 329280 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 313600 ) ( 486080 313600 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 297920 ) ( 486080 297920 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 282240 ) ( 486080 282240 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 266560 ) ( 486080 266560 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 250880 ) ( 486080 250880 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 235200 ) ( 486080 235200 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 219520 ) ( 486080 219520 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 203840 ) ( 486080 203840 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 188160 ) ( 486080 188160 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 172480 ) ( 486080 172480 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 156800 ) ( 486080 156800 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 141120 ) ( 486080 141120 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 125440 ) ( 486080 125440 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 109760 ) ( 486080 109760 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 94080 ) ( 486080 94080 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 78400 ) ( 486080 78400 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 62720 ) ( 486080 62720 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 47040 ) ( 486080 47040 )
NEW Metal1 1200 + SHAPE FOLLOWPIN ( 13440 31360 ) ( 486080 31360 )
NEW Metal4 3200 + SHAPE STRIPE ( 199680 30760 ) ( 199680 463160 )
NEW Metal3 0 + SHAPE STRIPE ( 199680 454720 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 454720 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 454720 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 439040 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 439040 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 439040 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 423360 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 423360 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 423360 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 407680 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 407680 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 407680 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 392000 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 392000 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 392000 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 376320 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 376320 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 376320 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 360640 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 360640 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 360640 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 344960 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 344960 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 344960 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 329280 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 329280 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 329280 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 313600 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 313600 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 313600 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 297920 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 297920 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 297920 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 282240 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 282240 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 282240 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 266560 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 266560 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 266560 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 250880 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 250880 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 250880 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 235200 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 235200 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 235200 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 219520 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 219520 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 219520 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 203840 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 203840 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 203840 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 188160 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 188160 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 188160 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 172480 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 172480 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 172480 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 156800 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 156800 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 156800 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 141120 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 141120 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 141120 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 125440 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 125440 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 125440 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 109760 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 109760 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 109760 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 94080 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 94080 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 94080 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 78400 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 78400 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 78400 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 62720 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 62720 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 62720 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 47040 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 47040 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 47040 ) via1_2_3200_1200_1_3_1040_1040
NEW Metal3 0 + SHAPE STRIPE ( 199680 31360 ) via3_4_3200_1200_1_3_1040_1040
NEW Metal2 0 + SHAPE STRIPE ( 199680 31360 ) via2_3_3200_1200_1_3_1040_1040
NEW Metal1 0 + SHAPE STRIPE ( 199680 31360 ) via1_2_3200_1200_1_3_1040_1040 ;
END SPECIALNETS
NETS 155 ;
- _000_ ( ANTENNA__055__A1 I ) ( ANTENNA__056__RN I ) ( _056_ RN ) ( _055_ A1 ) ( _027_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 286160 434000 ) ( 291760 * )
NEW Metal2 ( 288400 417200 ) ( * 434000 )
NEW Metal2 ( 221200 410480 ) ( * 411600 )
NEW Metal3 ( 221200 411600 ) ( 223440 * )
NEW Metal2 ( 223440 411600 ) ( * 417200 )
NEW Metal2 ( 221200 406000 ) ( * 410480 )
NEW Metal2 ( 213360 417200 ) ( * 435120 )
NEW Metal3 ( 213360 417200 ) ( 223440 * )
NEW Metal3 ( 223440 417200 ) ( 288400 * )
NEW Metal1 ( 286160 434000 ) Via1_VV
NEW Metal1 ( 291760 434000 ) Via1_VV
NEW Metal2 ( 288400 417200 ) Via2_VH
NEW Metal1 ( 221200 410480 ) Via1_VV
NEW Metal2 ( 221200 411600 ) Via2_VH
NEW Metal2 ( 223440 411600 ) Via2_VH
NEW Metal2 ( 223440 417200 ) Via2_VH
NEW Metal1 ( 221200 406000 ) Via1_VV
NEW Metal1 ( 213360 435120 ) Via1_HV
NEW Metal2 ( 213360 417200 ) Via2_VH ;
- _001_ ( _056_ SETN ) ( _055_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 221200 413840 ) ( * 414960 )
NEW Metal2 ( 216720 414960 ) ( 221200 * )
NEW Metal2 ( 216720 414960 ) ( * 435120 )
NEW Metal2 ( 216720 435120 ) ( 217840 * )
NEW Metal1 ( 221200 413840 ) Via1_VV
NEW Metal1 ( 217840 435120 ) Via1_HV ;
- _002_ ( _043_ A2 ) ( _039_ B2 ) ( _030_ A3 ) ( _029_ Z ) + USE SIGNAL
+ ROUTED Metal3 ( 246960 420560 ) ( 258160 * )
NEW Metal2 ( 258160 419440 ) ( * 420560 )
NEW Metal2 ( 245840 440720 ) ( 246960 * )
NEW Metal2 ( 246960 420560 ) ( * 440720 )
NEW Metal2 ( 257040 440720 ) ( * 450800 )
NEW Metal3 ( 246960 440720 ) ( 257040 * )
NEW Metal1 ( 246960 420560 ) Via1_HV
NEW Metal2 ( 246960 420560 ) Via2_VH
NEW Metal2 ( 258160 420560 ) Via2_VH
NEW Metal1 ( 258160 419440 ) Via1_VV
NEW Metal1 ( 245840 440720 ) Via1_HV
NEW Metal1 ( 257040 450800 ) Via1_HV
NEW Metal2 ( 257040 440720 ) Via2_VH
NEW Metal2 ( 246960 440720 ) Via2_VH
NEW Metal2 ( 246960 420560 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 246960 440720 ) RECT ( -280 -1040 280 0 ) ;
- _003_ ( _045_ A2 ) ( _033_ A2 ) ( _031_ A3 ) ( _030_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 273840 432880 ) ( * 442960 )
NEW Metal2 ( 245840 411600 ) ( * 421680 )
NEW Metal2 ( 258160 422800 ) ( * 435120 )
NEW Metal3 ( 245840 422800 ) ( 258160 * )
NEW Metal2 ( 245840 421680 ) ( * 422800 )
NEW Metal3 ( 258160 432880 ) ( 273840 * )
NEW Metal1 ( 273840 442960 ) Via1_VV
NEW Metal2 ( 273840 432880 ) Via2_VH
NEW Metal1 ( 245840 421680 ) Via1_HV
NEW Metal1 ( 245840 411600 ) Via1_HV
NEW Metal1 ( 258160 435120 ) Via1_VV
NEW Metal2 ( 258160 422800 ) Via2_VH
NEW Metal2 ( 245840 422800 ) Via2_VH
NEW Metal2 ( 258160 432880 ) Via2_VH
NEW Metal2 ( 258160 432880 ) RECT ( -280 -1040 280 0 ) ;
- _004_ ( _052_ A2 ) ( _032_ A2 ) ( _031_ Z ) + USE SIGNAL
+ ROUTED Metal3 ( 231280 411600 ) ( 239120 * )
NEW Metal2 ( 238000 404880 ) ( 239120 * )
NEW Metal2 ( 239120 404880 ) ( * 411600 )
NEW Metal1 ( 239120 411600 ) Via1_VV
NEW Metal2 ( 239120 411600 ) Via2_VH
NEW Metal1 ( 231280 411600 ) Via1_VV
NEW Metal2 ( 231280 411600 ) Via2_VH
NEW Metal1 ( 238000 404880 ) Via1_VV
NEW Metal2 ( 239120 411600 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 231280 411600 ) RECT ( -280 -660 280 0 ) ;
- _005_ ( _054_ A1 ) ( _053_ A1 ) ( _051_ A1 ) ( _032_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 274960 421680 ) ( * 435120 )
NEW Metal2 ( 274960 435120 ) ( * 439600 )
NEW Metal2 ( 233520 439600 ) ( * 441840 )
NEW Metal2 ( 234640 406000 ) ( * 416080 )
NEW Metal2 ( 233520 416080 ) ( 234640 * )
NEW Metal2 ( 233520 416080 ) ( * 439600 )
NEW Metal3 ( 233520 439600 ) ( 274960 * )
NEW Metal2 ( 274960 439600 ) Via2_VH
NEW Metal1 ( 274960 435120 ) Via1_HV
NEW Metal1 ( 274960 421680 ) Via1_VV
NEW Metal1 ( 233520 441840 ) Via1_VV
NEW Metal2 ( 233520 439600 ) Via2_VH
NEW Metal1 ( 234640 406000 ) Via1_VV ;
- _006_ ( _034_ A2 ) ( _033_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 221200 420560 ) ( 222320 * )
NEW Metal2 ( 222320 420560 ) ( * 438480 )
NEW Metal3 ( 222320 438480 ) ( 257040 * )
NEW Metal2 ( 257040 436240 ) ( * 438480 )
NEW Metal1 ( 221200 420560 ) Via1_VV
NEW Metal2 ( 222320 438480 ) Via2_VH
NEW Metal2 ( 257040 438480 ) Via2_VH
NEW Metal1 ( 257040 436240 ) Via1_VV ;
- _007_ ( _047_ A1 ) ( _034_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 218960 417200 ) ( 220080 * )
NEW Metal2 ( 218960 417200 ) ( * 427280 )
NEW Metal3 ( 218960 427280 ) ( 239120 * )
NEW Metal1 ( 220080 417200 ) Via1_VV
NEW Metal2 ( 218960 427280 ) Via2_VH
NEW Metal1 ( 239120 427280 ) Via1_HV
NEW Metal2 ( 239120 427280 ) Via2_VH
NEW Metal2 ( 239120 427280 ) RECT ( -280 -660 280 0 ) ;
- _008_ ( ANTENNA__038__A1 I ) ( ANTENNA__039__A1 I ) ( ANTENNA__040__A1 I ) ( ANTENNA__050__A1 I ) ( _050_ A1 ) ( _040_ A1 ) ( _039_ A1 )
( _038_ A1 ) ( _035_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 272720 427280 ) ( * 428400 )
NEW Metal3 ( 272720 428400 ) ( 295120 * )
NEW Metal3 ( 272720 428400 ) ( * 429520 )
NEW Metal3 ( 244720 404880 ) ( 263760 * )
NEW Metal2 ( 244720 397040 ) ( * 404880 )
NEW Metal2 ( 244720 397040 ) ( 245840 * )
NEW Metal2 ( 267120 423920 ) ( * 429520 )
NEW Metal2 ( 264880 423920 ) ( 267120 * )
NEW Metal2 ( 264880 404880 ) ( * 423920 )
NEW Metal2 ( 263760 404880 ) ( 264880 * )
NEW Metal2 ( 223440 425040 ) ( * 427280 )
NEW Metal2 ( 223440 425040 ) ( 225680 * )
NEW Metal2 ( 225680 404880 ) ( * 425040 )
NEW Metal3 ( 225680 404880 ) ( 244720 * )
NEW Metal2 ( 225680 425040 ) ( * 440720 )
NEW Metal2 ( 261520 437360 ) ( * 450800 )
NEW Metal2 ( 261520 437360 ) ( 263760 * )
NEW Metal2 ( 263760 429520 ) ( * 437360 )
NEW Metal2 ( 263760 429520 ) ( 267120 * )
NEW Metal2 ( 261520 450800 ) ( * 456400 )
NEW Metal2 ( 253680 457520 ) ( * 459760 )
NEW Metal2 ( 253680 459760 ) ( 261520 * )
NEW Metal2 ( 261520 456400 ) ( * 459760 )
NEW Metal3 ( 267120 429520 ) ( 272720 * )
NEW Metal1 ( 272720 427280 ) Via1_HV
NEW Metal2 ( 272720 428400 ) Via2_VH
NEW Metal1 ( 295120 428400 ) Via1_VV
NEW Metal2 ( 295120 428400 ) Via2_VH
NEW Metal1 ( 263760 404880 ) Via1_VV
NEW Metal2 ( 263760 404880 ) Via2_VH
NEW Metal2 ( 244720 404880 ) Via2_VH
NEW Metal1 ( 245840 397040 ) Via1_VV
NEW Metal2 ( 267120 429520 ) Via2_VH
NEW Metal1 ( 223440 427280 ) Via1_VV
NEW Metal2 ( 225680 404880 ) Via2_VH
NEW Metal1 ( 225680 440720 ) Via1_VV
NEW Metal1 ( 261520 450800 ) Via1_VV
NEW Metal1 ( 261520 456400 ) Via1_VV
NEW Metal1 ( 253680 457520 ) Via1_VV
NEW Metal2 ( 295120 428400 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 263760 404880 ) RECT ( -280 -660 280 0 ) ;
- _009_ ( _050_ A2 ) ( _040_ A2 ) ( _039_ A2 ) ( _038_ A2 ) ( _036_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 269360 428400 ) ( * 451920 )
NEW Metal2 ( 224560 427280 ) ( * 428400 )
NEW Metal2 ( 261520 406000 ) ( * 421680 )
NEW Metal2 ( 260400 421680 ) ( 261520 * )
NEW Metal2 ( 260400 421680 ) ( * 426160 )
NEW Metal3 ( 259280 426160 ) ( 260400 * )
NEW Metal3 ( 259280 426160 ) ( * 428400 )
NEW Metal3 ( 224560 428400 ) ( 269360 * )
NEW Metal2 ( 263760 450800 ) ( 269360 * )
NEW Metal1 ( 269360 451920 ) Via1_VV
NEW Metal1 ( 269360 428400 ) Via1_HV
NEW Metal2 ( 269360 428400 ) Via2_VH
NEW Metal1 ( 263760 450800 ) Via1_HV
NEW Metal2 ( 224560 428400 ) Via2_VH
NEW Metal1 ( 224560 427280 ) Via1_VV
NEW Metal1 ( 261520 406000 ) Via1_VV
NEW Metal2 ( 260400 426160 ) Via2_VH
NEW Metal2 ( 269360 428400 ) RECT ( -280 -660 280 0 ) ;
- _010_ ( _050_ A4 ) ( _043_ A1 ) ( _039_ B1 ) ( _038_ A4 ) ( _037_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 260400 416080 ) ( * 419440 )
NEW Metal2 ( 257040 416080 ) ( 260400 * )
NEW Metal2 ( 257040 404880 ) ( * 416080 )
NEW Metal3 ( 255920 450800 ) ( 259280 * )
NEW Metal2 ( 255920 416080 ) ( * 450800 )
NEW Metal2 ( 255920 416080 ) ( 257040 * )
NEW Metal2 ( 238000 450800 ) ( * 451920 )
NEW Metal3 ( 238000 450800 ) ( 255920 * )
NEW Metal2 ( 230160 427280 ) ( * 450800 )
NEW Metal3 ( 230160 450800 ) ( 238000 * )
NEW Metal1 ( 260400 419440 ) Via1_HV
NEW Metal1 ( 257040 404880 ) Via1_VV
NEW Metal1 ( 259280 450800 ) Via1_VV
NEW Metal2 ( 259280 450800 ) Via2_VH
NEW Metal2 ( 255920 450800 ) Via2_VH
NEW Metal1 ( 238000 451920 ) Via1_VV
NEW Metal2 ( 238000 450800 ) Via2_VH
NEW Metal1 ( 230160 427280 ) Via1_VV
NEW Metal2 ( 230160 450800 ) Via2_VH
NEW Metal2 ( 259280 450800 ) RECT ( -280 -660 280 0 ) ;
- _011_ ( _042_ A1 ) ( _038_ Z ) + USE SIGNAL
+ ROUTED Metal3 ( 267120 421680 ) ( * 425040 )
NEW Metal3 ( 232400 421680 ) ( 267120 * )
NEW Metal2 ( 232400 421680 ) ( * 425040 )
NEW Metal3 ( 267120 425040 ) ( 283920 * )
NEW Metal1 ( 283920 425040 ) Via1_VV
NEW Metal2 ( 283920 425040 ) Via2_VH
NEW Metal2 ( 232400 421680 ) Via2_VH
NEW Metal1 ( 232400 425040 ) Via1_VV
NEW Metal2 ( 283920 425040 ) RECT ( -280 -660 280 0 ) ;
- _012_ ( _042_ A2 ) ( _039_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 261520 427280 ) ( * 432880 )
NEW Metal2 ( 260400 432880 ) ( 261520 * )
NEW Metal2 ( 260400 432880 ) ( * 449680 )
NEW Metal3 ( 261520 427280 ) ( 281680 * )
NEW Metal1 ( 281680 427280 ) Via1_VV
NEW Metal2 ( 281680 427280 ) Via2_VH
NEW Metal2 ( 261520 427280 ) Via2_VH
NEW Metal1 ( 260400 449680 ) Via1_VV
NEW Metal2 ( 281680 427280 ) RECT ( -280 -660 280 0 ) ;
- _013_ ( _041_ A2 ) ( _040_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 261520 395920 ) ( 262640 * )
NEW Metal2 ( 262640 395920 ) ( * 426160 )
NEW Metal2 ( 262640 426160 ) ( 266000 * )
NEW Metal1 ( 261520 395920 ) Via1_VV
NEW Metal1 ( 266000 426160 ) Via1_VV ;
- _014_ ( _042_ B ) ( _041_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 287280 403760 ) ( * 427280 )
NEW Metal2 ( 260400 398160 ) ( * 403760 )
NEW Metal3 ( 260400 403760 ) ( 287280 * )
NEW Metal2 ( 287280 403760 ) Via2_VH
NEW Metal1 ( 287280 427280 ) Via1_HV
NEW Metal1 ( 260400 398160 ) Via1_VV
NEW Metal2 ( 260400 403760 ) Via2_VH ;
- _015_ ( _049_ B ) ( _048_ A3 ) ( _047_ A2 ) ( _042_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 243600 428400 ) ( * 450800 )
NEW Metal2 ( 243600 403760 ) ( * 428400 )
NEW Metal3 ( 264880 422800 ) ( * 426160 )
NEW Metal3 ( 261520 422800 ) ( 264880 * )
NEW Metal3 ( 261520 422800 ) ( * 423920 )
NEW Metal3 ( 243600 423920 ) ( 261520 * )
NEW Metal3 ( 264880 426160 ) ( 282800 * )
NEW Metal1 ( 282800 426160 ) Via1_VV
NEW Metal2 ( 282800 426160 ) Via2_VH
NEW Metal1 ( 243600 428400 ) Via1_HV
NEW Metal1 ( 243600 450800 ) Via1_HV
NEW Metal1 ( 243600 403760 ) Via1_HV
NEW Metal2 ( 243600 423920 ) Via2_VH
NEW Metal2 ( 282800 426160 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 243600 423920 ) RECT ( -280 -1040 280 0 ) ;
- _016_ ( _044_ A2 ) ( _043_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 270480 413840 ) ( * 418320 )
NEW Metal2 ( 267120 418320 ) ( 270480 * )
NEW Metal1 ( 270480 413840 ) Via1_VV
NEW Metal1 ( 267120 418320 ) Via1_VV ;
- _017_ ( _049_ A1 ) ( _048_ A1 ) ( _046_ A1 ) ( _044_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 248080 402640 ) ( 250320 * )
NEW Metal2 ( 250320 402640 ) ( * 453040 )
NEW Metal2 ( 246960 453040 ) ( 250320 * )
NEW Metal2 ( 252560 394800 ) ( * 402640 )
NEW Metal2 ( 250320 402640 ) ( 252560 * )
NEW Metal2 ( 267120 401520 ) ( * 409360 )
NEW Metal3 ( 252560 401520 ) ( 267120 * )
NEW Metal1 ( 248080 402640 ) Via1_VV
NEW Metal1 ( 246960 453040 ) Via1_VV
NEW Metal1 ( 252560 394800 ) Via1_VV
NEW Metal1 ( 267120 409360 ) Via1_HV
NEW Metal2 ( 267120 401520 ) Via2_VH
NEW Metal2 ( 252560 401520 ) Via2_VH
NEW Metal2 ( 252560 401520 ) RECT ( -280 -1040 280 0 ) ;
- _018_ ( _049_ A2 ) ( _048_ A2 ) ( _046_ A2 ) ( _045_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 249200 445200 ) ( * 450800 )
NEW Metal2 ( 245840 402640 ) ( 246960 * )
NEW Metal2 ( 246960 402640 ) ( * 416080 )
NEW Metal2 ( 246960 416080 ) ( 249200 * )
NEW Metal2 ( 249200 416080 ) ( * 445200 )
NEW Metal2 ( 250320 398160 ) ( * 400400 )
NEW Metal2 ( 246960 400400 ) ( 250320 * )
NEW Metal2 ( 246960 400400 ) ( * 402640 )
NEW Metal3 ( 249200 445200 ) ( 270480 * )
NEW Metal1 ( 270480 445200 ) Via1_HV
NEW Metal2 ( 270480 445200 ) Via2_VH
NEW Metal1 ( 249200 450800 ) Via1_VV
NEW Metal2 ( 249200 445200 ) Via2_VH
NEW Metal1 ( 245840 402640 ) Via1_VV
NEW Metal1 ( 250320 398160 ) Via1_VV
NEW Metal2 ( 270480 445200 ) RECT ( -280 -660 280 0 ) ;
- _019_ ( _047_ A3 ) ( _046_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 253680 398160 ) ( * 427280 )
NEW Metal1 ( 253680 398160 ) Via1_VV
NEW Metal1 ( 253680 427280 ) Via1_HV ;
- _020_ ( _054_ A2 ) ( _047_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 272720 430640 ) ( * 435120 )
NEW Metal2 ( 260400 428400 ) ( * 430640 )
NEW Metal3 ( 260400 430640 ) ( 272720 * )
NEW Metal1 ( 272720 435120 ) Via1_VV
NEW Metal2 ( 272720 430640 ) Via2_VH
NEW Metal2 ( 260400 430640 ) Via2_VH
NEW Metal1 ( 260400 428400 ) Via1_HV ;
- _021_ ( _051_ A2 ) ( _048_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 272720 413840 ) ( * 419440 )
NEW Metal2 ( 249200 404880 ) ( * 413840 )
NEW Metal3 ( 249200 413840 ) ( 272720 * )
NEW Metal2 ( 272720 413840 ) Via2_VH
NEW Metal1 ( 272720 419440 ) Via1_VV
NEW Metal1 ( 249200 404880 ) Via1_VV
NEW Metal2 ( 249200 413840 ) Via2_VH ;
- _022_ ( _051_ B ) ( _049_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 276080 420560 ) ( * 431760 )
NEW Metal2 ( 248080 431760 ) ( * 449680 )
NEW Metal3 ( 248080 431760 ) ( 276080 * )
NEW Metal2 ( 276080 431760 ) Via2_VH
NEW Metal1 ( 276080 420560 ) Via1_VV
NEW Metal2 ( 248080 431760 ) Via2_VH
NEW Metal1 ( 248080 449680 ) Via1_VV ;
- _023_ ( _051_ C ) ( _050_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 278320 411600 ) ( * 420560 )
NEW Metal2 ( 277200 411600 ) ( 278320 * )
NEW Metal2 ( 277200 402640 ) ( * 411600 )
NEW Metal3 ( 264880 402640 ) ( 277200 * )
NEW Metal1 ( 278320 420560 ) Via1_HV
NEW Metal2 ( 277200 402640 ) Via2_VH
NEW Metal1 ( 264880 402640 ) Via1_VV
NEW Metal2 ( 264880 402640 ) Via2_VH
NEW Metal2 ( 264880 402640 ) RECT ( -280 -660 280 0 ) ;
- _024_ ( _054_ B ) ( _051_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 277200 418320 ) ( * 432880 )
NEW Metal2 ( 276080 418320 ) ( 277200 * )
NEW Metal1 ( 277200 432880 ) Via1_VV
NEW Metal1 ( 276080 418320 ) Via1_HV ;
- _025_ ( _053_ A2 ) ( _052_ Z ) + USE SIGNAL
+ ROUTED Metal2 ( 227920 413840 ) ( * 420560 )
NEW Metal3 ( 227920 420560 ) ( 236880 * )
NEW Metal2 ( 236880 420560 ) ( * 441840 )
NEW Metal1 ( 227920 413840 ) Via1_VV
NEW Metal2 ( 227920 420560 ) Via2_VH
NEW Metal2 ( 236880 420560 ) Via2_VH
NEW Metal1 ( 236880 441840 ) Via1_VV ;
- _026_ ( _054_ C ) ( _053_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 278320 435120 ) ( * 436240 )
NEW Metal2 ( 234640 436240 ) ( * 440720 )
NEW Metal3 ( 234640 436240 ) ( 278320 * )
NEW Metal2 ( 278320 436240 ) Via2_VH
NEW Metal1 ( 278320 435120 ) Via1_HV
NEW Metal2 ( 234640 436240 ) Via2_VH
NEW Metal1 ( 234640 440720 ) Via1_VV ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) ( ANTENNA_input1_I I ) ( input1 I ) + USE SIGNAL
+ ROUTED Metal3 ( 481040 458640 ) ( 497840 * )
NEW Metal2 ( 497840 458640 ) ( * 493360 0 )
NEW Metal3 ( 466480 458640 ) ( 481040 * )
NEW Metal1 ( 481040 458640 ) Via1_VV
NEW Metal2 ( 481040 458640 ) Via2_VH
NEW Metal2 ( 497840 458640 ) Via2_VH
NEW Metal1 ( 466480 458640 ) Via1_VV
NEW Metal2 ( 466480 458640 ) Via2_VH
NEW Metal2 ( 481040 458640 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 466480 458640 ) RECT ( -280 -660 280 0 ) ;
- io_in[11] ( PIN io_in[11] ) ( ANTENNA_input2_I I ) ( input2 I ) + USE SIGNAL
+ ROUTED Metal2 ( 246960 22960 ) ( * 34160 )
NEW Metal2 ( 242480 22960 ) ( 246960 * )
NEW Metal2 ( 242480 7280 0 ) ( * 22960 )
NEW Metal3 ( 246960 34160 ) ( 253680 * )
NEW Metal1 ( 246960 34160 ) Via1_VV
NEW Metal1 ( 253680 34160 ) Via1_VV
NEW Metal2 ( 253680 34160 ) Via2_VH
NEW Metal2 ( 246960 34160 ) Via2_VH
NEW Metal2 ( 253680 34160 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 246960 34160 ) RECT ( -280 -1040 280 0 ) ;
- io_in[12] ( PIN io_in[12] ) ( ANTENNA_input3_I I ) ( input3 I ) + USE SIGNAL
+ ROUTED Metal2 ( 112560 459760 ) ( 114800 * )
NEW Metal2 ( 114800 459760 ) ( * 493360 0 )
NEW Metal2 ( 117040 458640 ) ( * 459760 )
NEW Metal2 ( 114800 459760 ) ( 117040 * )
NEW Metal1 ( 112560 459760 ) Via1_VV
NEW Metal1 ( 117040 458640 ) Via1_VV ;
- io_in[13] ( PIN io_in[13] ) ( ANTENNA_input4_I I ) ( input4 I ) + USE SIGNAL
+ ROUTED Metal3 ( 481040 34160 ) ( 491120 * )
NEW Metal2 ( 491120 7280 0 ) ( * 34160 )
NEW Metal2 ( 475440 34160 ) ( * 42000 )
NEW Metal3 ( 475440 34160 ) ( 481040 * )
NEW Metal1 ( 481040 34160 ) Via1_VV
NEW Metal2 ( 481040 34160 ) Via2_VH
NEW Metal2 ( 491120 34160 ) Via2_VH
NEW Metal1 ( 475440 42000 ) Via1_VV
NEW Metal2 ( 475440 34160 ) Via2_VH
NEW Metal2 ( 481040 34160 ) RECT ( -280 -660 280 0 ) ;
- io_in[14] ( PIN io_in[14] ) ( ANTENNA_input5_I I ) ( input5 I ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 430640 ) ( * 435120 )
NEW Metal3 ( 481040 430640 ) ( 493360 * 0 )
NEW Metal2 ( 473200 430640 ) ( * 434000 )
NEW Metal3 ( 473200 430640 ) ( 481040 * )
NEW Metal1 ( 481040 435120 ) Via1_VV
NEW Metal2 ( 481040 430640 ) Via2_VH
NEW Metal1 ( 473200 434000 ) Via1_VV
NEW Metal2 ( 473200 430640 ) Via2_VH ;
- io_in[15] ( PIN io_in[15] ) ( ANTENNA_input6_I I ) ( input6 I ) + USE SIGNAL
+ ROUTED Metal3 ( 364560 34160 ) ( 370160 * )
NEW Metal2 ( 370160 7280 0 ) ( * 34160 )
NEW Metal3 ( 370160 34160 ) ( 375760 * )
NEW Metal1 ( 364560 34160 ) Via1_VV
NEW Metal2 ( 364560 34160 ) Via2_VH
NEW Metal2 ( 370160 34160 ) Via2_VH
NEW Metal1 ( 375760 34160 ) Via1_VV
NEW Metal2 ( 375760 34160 ) Via2_VH
NEW Metal2 ( 364560 34160 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 375760 34160 ) RECT ( -280 -660 280 0 ) ;
- io_in[16] ( PIN io_in[16] ) ( ANTENNA_input7_I I ) ( input7 I ) + USE SIGNAL
+ ROUTED Metal2 ( 119280 34160 ) ( 121520 * )
NEW Metal2 ( 121520 7280 0 ) ( * 34160 )
NEW Metal2 ( 121520 34160 ) ( 123760 * )
NEW Metal1 ( 119280 34160 ) Via1_VV
NEW Metal1 ( 123760 34160 ) Via1_VV ;
- io_in[17] ( PIN io_in[17] ) ( ANTENNA_input8_I I ) ( input8 I ) + USE SIGNAL
+ ROUTED Metal2 ( 18480 458640 ) ( * 477680 )
NEW Metal3 ( 7280 477680 0 ) ( 18480 * )
NEW Metal2 ( 24080 444080 ) ( * 455280 )
NEW Metal3 ( 18480 455280 ) ( 24080 * )
NEW Metal2 ( 18480 455280 ) ( * 458640 )
NEW Metal1 ( 18480 458640 ) Via1_VV
NEW Metal2 ( 18480 477680 ) Via2_VH
NEW Metal1 ( 24080 444080 ) Via1_VV
NEW Metal2 ( 24080 455280 ) Via2_VH
NEW Metal2 ( 18480 455280 ) Via2_VH ;
- io_in[18] ( PIN io_in[18] ) ( ANTENNA_input9_I I ) ( input9 I ) + USE SIGNAL
+ ROUTED Metal2 ( 186480 34160 ) ( 188720 * )
NEW Metal2 ( 188720 7280 0 ) ( * 34160 )
NEW Metal2 ( 188720 34160 ) ( 190960 * )
NEW Metal1 ( 186480 34160 ) Via1_VV
NEW Metal1 ( 190960 34160 ) Via1_VV ;
- io_in[19] ( PIN io_in[19] ) ( ANTENNA_input10_I I ) ( input10 I ) + USE SIGNAL
+ ROUTED Metal2 ( 307440 459760 ) ( 309680 * )
NEW Metal2 ( 309680 459760 ) ( * 493360 0 )
NEW Metal2 ( 314160 458640 ) ( * 459760 )
NEW Metal2 ( 309680 459760 ) ( 314160 * )
NEW Metal1 ( 307440 459760 ) Via1_VV
NEW Metal1 ( 314160 458640 ) Via1_HV ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) ( ANTENNA_input11_I I ) ( input11 I ) + USE SIGNAL
+ ROUTED Metal2 ( 479920 450800 ) ( * 484400 )
NEW Metal3 ( 479920 484400 ) ( 493360 * 0 )
NEW Metal3 ( 473200 453040 ) ( 479920 * )
NEW Metal1 ( 479920 450800 ) Via1_HV
NEW Metal2 ( 479920 484400 ) Via2_VH
NEW Metal1 ( 473200 453040 ) Via1_VV
NEW Metal2 ( 473200 453040 ) Via2_VH
NEW Metal2 ( 479920 453040 ) Via2_VH
NEW Metal2 ( 473200 453040 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 479920 453040 ) RECT ( -280 -1040 280 0 ) ;
- io_out[20] ( PIN io_out[20] ) ( output12 Z ) + USE SIGNAL
+ ROUTED Metal2 ( 40880 459760 ) ( * 493360 0 )
NEW Metal1 ( 40880 459760 ) Via1_HV ;
- io_out[21] ( PIN io_out[21] ) ( output13 Z ) + USE SIGNAL
+ ROUTED Metal2 ( 221200 459760 ) ( * 466480 )
NEW Metal3 ( 202160 466480 ) ( 221200 * )
NEW Metal2 ( 202160 466480 ) ( * 493360 0 )
NEW Metal1 ( 221200 459760 ) Via1_HV
NEW Metal2 ( 221200 466480 ) Via2_VH
NEW Metal2 ( 202160 466480 ) Via2_VH ;
- mod.flipflop1.d ( _056_ D ) ( _054_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 242480 435120 ) ( * 437360 )
NEW Metal3 ( 242480 437360 ) ( 277200 * )
NEW Metal1 ( 277200 437360 ) Via1_HV
NEW Metal2 ( 277200 437360 ) Via2_VH
NEW Metal2 ( 242480 437360 ) Via2_VH
NEW Metal1 ( 242480 435120 ) Via1_HV
NEW Metal2 ( 277200 437360 ) RECT ( -280 0 280 660 ) ;
- net1 ( ANTENNA__029__A1 I ) ( ANTENNA__035__I I ) ( input1 Z ) ( _035_ I ) ( _029_ A1 ) + USE SIGNAL
+ ROUTED Metal2 ( 277200 453040 ) ( * 457520 )
NEW Metal3 ( 277200 457520 ) ( 477680 * )
NEW Metal2 ( 257040 457520 ) ( 258160 * )
NEW Metal2 ( 258160 441840 ) ( * 457520 )
NEW Metal3 ( 258160 456400 ) ( 266000 * )
NEW Metal3 ( 266000 456400 ) ( * 457520 )
NEW Metal3 ( 266000 457520 ) ( 277200 * )
NEW Metal1 ( 277200 453040 ) Via1_VV
NEW Metal2 ( 277200 457520 ) Via2_VH
NEW Metal1 ( 477680 457520 ) Via1_VV
NEW Metal2 ( 477680 457520 ) Via2_VH
NEW Metal1 ( 257040 457520 ) Via1_VV
NEW Metal1 ( 258160 441840 ) Via1_HV
NEW Metal1 ( 266000 456400 ) Via1_VV
NEW Metal2 ( 266000 456400 ) Via2_VH
NEW Metal2 ( 258160 456400 ) Via2_VH
NEW Metal2 ( 477680 457520 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 266000 456400 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 258160 456400 ) RECT ( -280 -1040 280 0 ) ;
- net10 ( input10 Z ) ( _027_ I ) + USE SIGNAL
+ ROUTED Metal3 ( 287280 447440 ) ( 311920 * )
NEW Metal2 ( 311920 447440 ) ( * 456400 )
NEW Metal2 ( 287280 436240 ) ( * 447440 )
NEW Metal2 ( 287280 447440 ) Via2_VH
NEW Metal2 ( 311920 447440 ) Via2_VH
NEW Metal1 ( 311920 456400 ) Via1_VV
NEW Metal1 ( 287280 436240 ) Via1_VV ;
- net11 ( ANTENNA__029__A2 I ) ( ANTENNA__036__I I ) ( input11 Z ) ( _036_ I ) ( _029_ A2 ) + USE SIGNAL
+ ROUTED Metal2 ( 278320 451920 ) ( * 456400 )
NEW Metal3 ( 278320 451920 ) ( 281680 * )
NEW Metal3 ( 272720 451920 ) ( 278320 * )
NEW Metal3 ( 281680 451920 ) ( 477680 * )
NEW Metal2 ( 262640 444080 ) ( * 451920 )
NEW Metal3 ( 262640 451920 ) ( 272720 * )
NEW Metal1 ( 281680 451920 ) Via1_VV
NEW Metal2 ( 281680 451920 ) Via2_VH
NEW Metal1 ( 278320 456400 ) Via1_VV
NEW Metal2 ( 278320 451920 ) Via2_VH
NEW Metal1 ( 272720 451920 ) Via1_VV
NEW Metal2 ( 272720 451920 ) Via2_VH
NEW Metal1 ( 477680 451920 ) Via1_VV
NEW Metal2 ( 477680 451920 ) Via2_VH
NEW Metal1 ( 262640 444080 ) Via1_VV
NEW Metal2 ( 262640 451920 ) Via2_VH
NEW Metal2 ( 281680 451920 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 272720 451920 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 477680 451920 ) RECT ( -280 -660 280 0 ) ;
- net12 ( ANTENNA__028__I I ) ( ANTENNA__053__B I ) ( ANTENNA_output12_I I ) ( output12 I ) ( _056_ Q ) ( _053_ B ) ( _028_ I ) + USE SIGNAL
+ ROUTED Metal3 ( 49840 458640 ) ( 56560 * )
NEW Metal3 ( 201040 458640 ) ( 206640 * )
NEW Metal2 ( 206640 436240 ) ( * 458640 )
NEW Metal2 ( 220080 440720 ) ( 221200 * )
NEW Metal2 ( 220080 436240 ) ( * 440720 )
NEW Metal3 ( 206640 436240 ) ( 220080 * )
NEW Metal2 ( 231280 436240 ) ( * 441840 )
NEW Metal3 ( 220080 436240 ) ( 231280 * )
NEW Metal3 ( 56560 458640 ) ( 201040 * )
NEW Metal1 ( 56560 458640 ) Via1_VV
NEW Metal2 ( 56560 458640 ) Via2_VH
NEW Metal1 ( 49840 458640 ) Via1_HV
NEW Metal2 ( 49840 458640 ) Via2_VH
NEW Metal1 ( 201040 458640 ) Via1_VV
NEW Metal2 ( 201040 458640 ) Via2_VH
NEW Metal1 ( 206640 458640 ) Via1_VV
NEW Metal2 ( 206640 458640 ) Via2_VH
NEW Metal1 ( 206640 436240 ) Via1_VV
NEW Metal1 ( 221200 440720 ) Via1_VV
NEW Metal2 ( 220080 436240 ) Via2_VH
NEW Metal2 ( 206640 436240 ) Via2_VH
NEW Metal1 ( 231280 441840 ) Via1_VV
NEW Metal2 ( 231280 436240 ) Via2_VH
NEW Metal2 ( 56560 458640 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 49840 458640 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 201040 458640 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 206640 458640 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 206640 436240 ) RECT ( -280 -1040 280 0 ) ;
- net13 ( output13 I ) ( _028_ ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 202160 460880 ) ( * 464240 )
NEW Metal1 ( 202160 464240 ) ( 214480 * )
NEW Metal2 ( 214480 458640 ) ( * 464240 )
NEW Metal1 ( 202160 460880 ) Via1_VV
NEW Metal1 ( 202160 464240 ) Via1_HV
NEW Metal1 ( 214480 464240 ) Via1_HV
NEW Metal1 ( 214480 458640 ) Via1_HV ;
- net14 ( PIN io_out[0] ) ( tiny_user_project_14 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 370160 0 ) ( 18480 * )
NEW Metal2 ( 18480 370160 ) ( * 373520 )
NEW Metal2 ( 18480 370160 ) Via2_VH
NEW Metal1 ( 18480 373520 ) Via1_VV ;
- net15 ( PIN io_out[1] ) ( tiny_user_project_15 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 316400 7280 0 ) ( * 33040 )
NEW Metal2 ( 316400 33040 ) ( 318640 * )
NEW Metal1 ( 318640 33040 ) Via1_VV ;
- net16 ( PIN io_out[2] ) ( tiny_user_project_16 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 74480 ) ( * 80080 )
NEW Metal3 ( 481040 74480 ) ( 493360 * 0 )
NEW Metal1 ( 481040 80080 ) Via1_VV
NEW Metal2 ( 481040 74480 ) Via2_VH ;
- net17 ( PIN io_out[3] ) ( tiny_user_project_17 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 376880 457520 ) ( 379120 * )
NEW Metal2 ( 376880 457520 ) ( * 493360 0 )
NEW Metal1 ( 379120 457520 ) Via1_VV ;
- net18 ( PIN io_out[4] ) ( tiny_user_project_18 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 27440 457520 ) ( * 464240 )
NEW Metal1 ( 20720 464240 ) ( 27440 * )
NEW Metal2 ( 20720 464240 ) ( * 493360 0 )
NEW Metal1 ( 27440 457520 ) Via1_VV
NEW Metal1 ( 27440 464240 ) Via1_HV
NEW Metal1 ( 20720 464240 ) Via1_HV ;
- net19 ( PIN io_out[5] ) ( tiny_user_project_19 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 94640 457520 ) ( 96880 * )
NEW Metal2 ( 94640 457520 ) ( * 493360 0 )
NEW Metal1 ( 96880 457520 ) Via1_VV ;
- net2 ( ANTENNA__029__A3 I ) ( ANTENNA__038__A3 I ) ( ANTENNA__041__A1 I ) ( ANTENNA__050__A3 I ) ( input2 Z ) ( _050_ A3 ) ( _041_ A1 )
( _038_ A3 ) ( _029_ A3 ) + USE SIGNAL
+ ROUTED Metal2 ( 257040 33040 ) ( * 319200 )
NEW Metal2 ( 257040 319200 ) ( 260400 * )
NEW Metal3 ( 226800 426160 ) ( 244720 * )
NEW Metal2 ( 244720 426160 ) ( * 442960 )
NEW Metal2 ( 244720 442960 ) ( 246960 * )
NEW Metal3 ( 217840 426160 ) ( 226800 * )
NEW Metal3 ( 252560 406000 ) ( 259280 * )
NEW Metal2 ( 252560 406000 ) ( * 426160 )
NEW Metal3 ( 244720 426160 ) ( 252560 * )
NEW Metal3 ( 259280 406000 ) ( 268240 * )
NEW Metal2 ( 266000 397040 ) ( * 406000 )
NEW Metal2 ( 259280 394800 ) ( 260400 * )
NEW Metal2 ( 259280 394800 ) ( * 406000 )
NEW Metal2 ( 260400 319200 ) ( * 394800 )
NEW Metal3 ( 246960 442960 ) ( 285040 * )
NEW Metal1 ( 257040 33040 ) Via1_VV
NEW Metal1 ( 285040 442960 ) Via1_VV
NEW Metal2 ( 285040 442960 ) Via2_VH
NEW Metal1 ( 246960 442960 ) Via1_HV
NEW Metal2 ( 246960 442960 ) Via2_VH
NEW Metal1 ( 226800 426160 ) Via1_HV
NEW Metal2 ( 226800 426160 ) Via2_VH
NEW Metal2 ( 244720 426160 ) Via2_VH
NEW Metal1 ( 217840 426160 ) Via1_VV
NEW Metal2 ( 217840 426160 ) Via2_VH
NEW Metal1 ( 259280 406000 ) Via1_VV
NEW Metal2 ( 259280 406000 ) Via2_VH
NEW Metal2 ( 252560 406000 ) Via2_VH
NEW Metal2 ( 252560 426160 ) Via2_VH
NEW Metal1 ( 268240 406000 ) Via1_VV
NEW Metal2 ( 268240 406000 ) Via2_VH
NEW Metal1 ( 266000 397040 ) Via1_VV
NEW Metal2 ( 266000 406000 ) Via2_VH
NEW Metal1 ( 260400 394800 ) Via1_VV
NEW Metal2 ( 285040 442960 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 246960 442960 ) RECT ( -280 0 280 660 )
NEW Metal2 ( 226800 426160 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 217840 426160 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 259280 406000 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 268240 406000 ) RECT ( -280 -660 280 0 )
NEW Metal3 ( 266000 406000 ) RECT ( -1040 -280 0 280 ) ;
- net20 ( PIN io_out[6] ) ( tiny_user_project_20 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 350000 7280 0 ) ( * 33040 )
NEW Metal2 ( 350000 33040 ) ( 352240 * )
NEW Metal1 ( 352240 33040 ) Via1_VV ;
- net21 ( PIN io_out[7] ) ( tiny_user_project_21 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 141680 0 ) ( 18480 * )
NEW Metal2 ( 18480 141680 ) ( * 142800 )
NEW Metal2 ( 18480 141680 ) Via2_VH
NEW Metal1 ( 18480 142800 ) Via1_VV ;
- net22 ( PIN io_out[8] ) ( tiny_user_project_22 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 423920 0 ) ( 18480 * )
NEW Metal2 ( 18480 423920 ) ( * 425040 )
NEW Metal2 ( 18480 423920 ) Via2_VH
NEW Metal1 ( 18480 425040 ) Via1_VV ;
- net23 ( PIN io_out[9] ) ( tiny_user_project_23 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 235760 ) ( * 236880 )
NEW Metal3 ( 481040 235760 ) ( 493360 * 0 )
NEW Metal1 ( 481040 236880 ) Via1_VV
NEW Metal2 ( 481040 235760 ) Via2_VH ;
- net24 ( PIN io_out[10] ) ( tiny_user_project_24 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 282800 0 ) ( 18480 * )
NEW Metal2 ( 18480 282800 ) ( * 283920 )
NEW Metal2 ( 18480 282800 ) Via2_VH
NEW Metal1 ( 18480 283920 ) Via1_VV ;
- net25 ( PIN io_out[11] ) ( tiny_user_project_25 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 262640 0 ) ( 18480 * )
NEW Metal2 ( 18480 262640 ) ( * 268240 )
NEW Metal2 ( 18480 262640 ) Via2_VH
NEW Metal1 ( 18480 268240 ) Via1_VV ;
- net26 ( PIN io_out[12] ) ( tiny_user_project_26 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 215600 ) ( * 221200 )
NEW Metal3 ( 481040 215600 ) ( 493360 * 0 )
NEW Metal1 ( 481040 221200 ) Via1_VV
NEW Metal2 ( 481040 215600 ) Via2_VH ;
- net27 ( PIN io_out[13] ) ( tiny_user_project_27 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 356720 ) ( * 362320 )
NEW Metal3 ( 481040 356720 ) ( 493360 * 0 )
NEW Metal1 ( 481040 362320 ) Via1_VV
NEW Metal2 ( 481040 356720 ) Via2_VH ;
- net28 ( PIN io_out[14] ) ( tiny_user_project_28 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 423920 7280 0 ) ( * 33040 )
NEW Metal2 ( 423920 33040 ) ( 426160 * )
NEW Metal1 ( 426160 33040 ) Via1_VV ;
- net29 ( PIN io_out[15] ) ( tiny_user_project_29 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 397040 ) ( * 404880 )
NEW Metal3 ( 481040 397040 ) ( 493360 * 0 )
NEW Metal1 ( 481040 404880 ) Via1_VV
NEW Metal2 ( 481040 397040 ) Via2_VH ;
- net3 ( ANTENNA__030__A1 I ) ( ANTENNA__037__I I ) ( input3 Z ) ( _037_ I ) ( _030_ A1 ) + USE SIGNAL
+ ROUTED Metal2 ( 229040 453040 ) ( * 456400 )
NEW Metal2 ( 234640 451920 ) ( * 456400 )
NEW Metal3 ( 229040 456400 ) ( 234640 * )
NEW Metal2 ( 229040 420560 ) ( 230160 * )
NEW Metal2 ( 229040 420560 ) ( * 453040 )
NEW Metal3 ( 214480 419440 ) ( 229040 * )
NEW Metal2 ( 229040 419440 ) ( * 420560 )
NEW Metal3 ( 120400 456400 ) ( 229040 * )
NEW Metal1 ( 120400 456400 ) Via1_VV
NEW Metal2 ( 120400 456400 ) Via2_VH
NEW Metal1 ( 229040 453040 ) Via1_VV
NEW Metal2 ( 229040 456400 ) Via2_VH
NEW Metal1 ( 234640 451920 ) Via1_VV
NEW Metal2 ( 234640 456400 ) Via2_VH
NEW Metal1 ( 230160 420560 ) Via1_HV
NEW Metal1 ( 214480 419440 ) Via1_VV
NEW Metal2 ( 214480 419440 ) Via2_VH
NEW Metal2 ( 229040 419440 ) Via2_VH
NEW Metal2 ( 120400 456400 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 214480 419440 ) RECT ( -280 -660 280 0 ) ;
- net30 ( PIN io_out[16] ) ( tiny_user_project_30 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 17360 453040 ) ( 18480 * )
NEW Metal2 ( 17360 453040 ) ( * 493360 )
NEW Metal2 ( 10640 493360 ) ( 17360 * )
NEW Metal2 ( 10640 491120 ) ( * 493360 )
NEW Metal2 ( 7280 491120 ) ( 10640 * )
NEW Metal2 ( 7280 491120 ) ( * 493360 0 )
NEW Metal1 ( 18480 453040 ) Via1_VV ;
- net31 ( PIN io_out[17] ) ( tiny_user_project_31 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 101360 0 ) ( 18480 * )
NEW Metal2 ( 18480 101360 ) ( * 106960 )
NEW Metal2 ( 18480 101360 ) Via2_VH
NEW Metal1 ( 18480 106960 ) Via1_VV ;
- net32 ( PIN io_out[18] ) ( tiny_user_project_32 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 262640 7280 0 ) ( * 33040 )
NEW Metal2 ( 262640 33040 ) ( 264880 * )
NEW Metal1 ( 264880 33040 ) Via1_VV ;
- net33 ( PIN io_out[19] ) ( tiny_user_project_33 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 560 7280 0 ) ( * 22960 )
NEW Metal3 ( 560 22960 ) ( 17360 * )
NEW Metal2 ( 17360 22960 ) ( * 44240 )
NEW Metal2 ( 17360 44240 ) ( 18480 * )
NEW Metal2 ( 560 22960 ) Via2_VH
NEW Metal2 ( 17360 22960 ) Via2_VH
NEW Metal1 ( 18480 44240 ) Via1_VV ;
- net34 ( PIN io_out[22] ) ( tiny_user_project_34 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 356720 457520 ) ( 358960 * )
NEW Metal2 ( 356720 457520 ) ( * 493360 0 )
NEW Metal1 ( 358960 457520 ) Via1_VV ;
- net35 ( PIN io_out[23] ) ( tiny_user_project_35 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 437360 0 ) ( 18480 * )
NEW Metal2 ( 18480 437360 ) ( * 440720 )
NEW Metal2 ( 18480 437360 ) Via2_VH
NEW Metal1 ( 18480 440720 ) Via1_VV ;
- net36 ( PIN io_out[24] ) ( tiny_user_project_36 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 229040 0 ) ( 18480 * )
NEW Metal2 ( 18480 229040 ) ( * 232400 )
NEW Metal2 ( 18480 229040 ) Via2_VH
NEW Metal1 ( 18480 232400 ) Via1_VV ;
- net37 ( PIN io_out[25] ) ( tiny_user_project_37 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 14000 7280 0 ) ( * 33040 )
NEW Metal3 ( 14000 33040 ) ( 25200 * )
NEW Metal2 ( 14000 33040 ) Via2_VH
NEW Metal1 ( 25200 33040 ) Via1_VV
NEW Metal2 ( 25200 33040 ) Via2_VH
NEW Metal2 ( 25200 33040 ) RECT ( -280 -660 280 0 ) ;
- net38 ( PIN io_out[26] ) ( tiny_user_project_38 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 430640 457520 ) ( 432880 * )
NEW Metal2 ( 430640 457520 ) ( * 493360 0 )
NEW Metal1 ( 432880 457520 ) Via1_VV ;
- net39 ( PIN io_out[27] ) ( tiny_user_project_39 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 332080 457520 ) ( * 464240 )
NEW Metal1 ( 323120 464240 ) ( 332080 * )
NEW Metal2 ( 323120 464240 ) ( * 493360 0 )
NEW Metal1 ( 332080 457520 ) Via1_VV
NEW Metal1 ( 332080 464240 ) Via1_HV
NEW Metal1 ( 323120 464240 ) Via1_HV ;
- net4 ( ANTENNA__030__A2 I ) ( ANTENNA__044__A1 I ) ( input4 Z ) ( _044_ A1 ) ( _030_ A2 ) + USE SIGNAL
+ ROUTED Metal2 ( 477680 30800 ) ( * 33040 )
NEW Metal2 ( 287280 30800 ) ( * 319200 )
NEW Metal2 ( 281680 403760 ) ( * 409360 )
NEW Metal2 ( 281680 403760 ) ( 286160 * )
NEW Metal2 ( 286160 319200 ) ( * 403760 )
NEW Metal2 ( 286160 319200 ) ( 287280 * )
NEW Metal2 ( 286160 403760 ) ( * 418320 )
NEW Metal3 ( 272720 411600 ) ( 281680 * )
NEW Metal2 ( 281680 409360 ) ( * 411600 )
NEW Metal2 ( 271600 411600 ) ( * 418320 )
NEW Metal2 ( 271600 411600 ) ( 272720 * )
NEW Metal3 ( 287280 30800 ) ( 477680 * )
NEW Metal2 ( 234640 418320 ) ( * 419440 )
NEW Metal3 ( 234640 418320 ) ( 271600 * )
NEW Metal2 ( 287280 30800 ) Via2_VH
NEW Metal2 ( 477680 30800 ) Via2_VH
NEW Metal1 ( 477680 33040 ) Via1_VV
NEW Metal1 ( 281680 409360 ) Via1_VV
NEW Metal1 ( 286160 418320 ) Via1_VV
NEW Metal1 ( 272720 411600 ) Via1_VV
NEW Metal2 ( 272720 411600 ) Via2_VH
NEW Metal2 ( 281680 411600 ) Via2_VH
NEW Metal2 ( 271600 418320 ) Via2_VH
NEW Metal1 ( 234640 419440 ) Via1_HV
NEW Metal2 ( 234640 418320 ) Via2_VH
NEW Metal2 ( 272720 411600 ) RECT ( -280 -660 280 0 ) ;
- net40 ( PIN io_out[28] ) ( tiny_user_project_40 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 229040 7280 0 ) ( * 33040 )
NEW Metal2 ( 229040 33040 ) ( 231280 * )
NEW Metal1 ( 231280 33040 ) Via1_VV ;
- net41 ( PIN io_out[29] ) ( tiny_user_project_41 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 472080 457520 ) ( * 464240 )
NEW Metal1 ( 472080 464240 ) ( 484400 * )
NEW Metal2 ( 484400 464240 ) ( * 493360 0 )
NEW Metal1 ( 472080 457520 ) Via1_VV
NEW Metal1 ( 472080 464240 ) Via1_HV
NEW Metal1 ( 484400 464240 ) Via1_HV ;
- net42 ( PIN io_out[30] ) ( tiny_user_project_42 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 25200 453040 ) ( * 491120 )
NEW Metal3 ( 7280 491120 0 ) ( 25200 * )
NEW Metal1 ( 25200 453040 ) Via1_VV
NEW Metal2 ( 25200 491120 ) Via2_VH ;
- net43 ( PIN io_out[31] ) ( tiny_user_project_43 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 175280 0 ) ( 18480 * )
NEW Metal1 ( 18480 175280 ) Via1_VV
NEW Metal2 ( 18480 175280 ) Via2_VH
NEW Metal2 ( 18480 175280 ) RECT ( -280 -660 280 0 ) ;
- net44 ( PIN io_out[32] ) ( tiny_user_project_44 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 87920 7280 0 ) ( * 17360 )
NEW Metal1 ( 87920 17360 ) ( 96880 * )
NEW Metal2 ( 96880 17360 ) ( * 33040 )
NEW Metal1 ( 87920 17360 ) Via1_HV
NEW Metal1 ( 96880 17360 ) Via1_HV
NEW Metal1 ( 96880 33040 ) Via1_VV ;
- net45 ( PIN io_out[33] ) ( tiny_user_project_45 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 175280 7280 0 ) ( * 33040 )
NEW Metal2 ( 175280 33040 ) ( 177520 * )
NEW Metal1 ( 177520 33040 ) Via1_VV ;
- net46 ( PIN io_out[34] ) ( tiny_user_project_46 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 155120 0 ) ( 18480 * )
NEW Metal2 ( 18480 155120 ) ( * 158480 )
NEW Metal2 ( 18480 155120 ) Via2_VH
NEW Metal1 ( 18480 158480 ) Via1_VV ;
- net47 ( PIN io_out[35] ) ( tiny_user_project_47 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 309680 ) ( * 315280 )
NEW Metal3 ( 481040 309680 ) ( 493360 * 0 )
NEW Metal1 ( 481040 315280 ) Via1_VV
NEW Metal2 ( 481040 309680 ) Via2_VH ;
- net48 ( PIN io_out[36] ) ( tiny_user_project_48 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 282800 7280 0 ) ( * 33040 )
NEW Metal3 ( 282800 33040 ) ( 292880 * )
NEW Metal2 ( 282800 33040 ) Via2_VH
NEW Metal1 ( 292880 33040 ) Via1_VV
NEW Metal2 ( 292880 33040 ) Via2_VH
NEW Metal2 ( 292880 33040 ) RECT ( -280 -660 280 0 ) ;
- net49 ( PIN io_out[37] ) ( tiny_user_project_49 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 397040 457520 ) ( 399280 * )
NEW Metal2 ( 397040 457520 ) ( * 493360 0 )
NEW Metal1 ( 399280 457520 ) Via1_VV ;
- net5 ( ANTENNA__031__A2 I ) ( ANTENNA__033__A1 I ) ( ANTENNA__045__A1 I ) ( input5 Z ) ( _045_ A1 ) ( _033_ A1 ) ( _031_ A2 ) + USE SIGNAL
+ ROUTED Metal2 ( 280560 444080 ) ( * 449680 )
NEW Metal3 ( 280560 449680 ) ( 287280 * )
NEW Metal2 ( 276080 444080 ) ( 280560 * )
NEW Metal2 ( 477680 437360 ) ( * 449680 )
NEW Metal2 ( 276080 434000 ) ( * 444080 )
NEW Metal3 ( 287280 449680 ) ( 477680 * )
NEW Metal2 ( 260400 409360 ) ( * 412720 )
NEW Metal2 ( 268240 422800 ) ( * 434000 )
NEW Metal2 ( 266000 422800 ) ( 268240 * )
NEW Metal2 ( 266000 409360 ) ( * 422800 )
NEW Metal2 ( 262640 434000 ) ( * 435120 )
NEW Metal3 ( 262640 434000 ) ( 268240 * )
NEW Metal3 ( 260400 409360 ) ( 278320 * )
NEW Metal3 ( 268240 434000 ) ( 276080 * )
NEW Metal1 ( 287280 449680 ) Via1_VV
NEW Metal2 ( 287280 449680 ) Via2_VH
NEW Metal1 ( 280560 444080 ) Via1_VV
NEW Metal2 ( 280560 449680 ) Via2_VH
NEW Metal1 ( 276080 444080 ) Via1_VV
NEW Metal2 ( 477680 449680 ) Via2_VH
NEW Metal1 ( 477680 437360 ) Via1_VV
NEW Metal1 ( 278320 409360 ) Via1_VV
NEW Metal2 ( 278320 409360 ) Via2_VH
NEW Metal2 ( 276080 434000 ) Via2_VH
NEW Metal2 ( 260400 409360 ) Via2_VH
NEW Metal1 ( 260400 412720 ) Via1_HV
NEW Metal2 ( 268240 434000 ) Via2_VH
NEW Metal2 ( 266000 409360 ) Via2_VH
NEW Metal1 ( 262640 435120 ) Via1_HV
NEW Metal2 ( 262640 434000 ) Via2_VH
NEW Metal2 ( 287280 449680 ) RECT ( -280 0 280 660 )
NEW Metal2 ( 278320 409360 ) RECT ( -280 -660 280 0 )
NEW Metal3 ( 266000 409360 ) RECT ( -1040 -280 0 280 ) ;
- net50 ( PIN io_oeb[0] ) ( tiny_user_project_50 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 155120 7280 0 ) ( * 33040 )
NEW Metal2 ( 155120 33040 ) ( 157360 * )
NEW Metal1 ( 157360 33040 ) Via1_VV ;
- net51 ( PIN io_oeb[1] ) ( tiny_user_project_51 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 269360 457520 ) ( 271600 * )
NEW Metal2 ( 269360 457520 ) ( * 493360 0 )
NEW Metal1 ( 271600 457520 ) Via1_VV ;
- net52 ( PIN io_oeb[2] ) ( tiny_user_project_52 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 403760 7280 0 ) ( * 18480 )
NEW Metal1 ( 403760 18480 ) ( 410480 * )
NEW Metal2 ( 410480 18480 ) ( * 33040 )
NEW Metal1 ( 403760 18480 ) Via1_HV
NEW Metal1 ( 410480 18480 ) Via1_HV
NEW Metal1 ( 410480 33040 ) Via1_VV ;
- net53 ( PIN io_oeb[3] ) ( tiny_user_project_53 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 67760 0 ) ( 18480 * )
NEW Metal2 ( 18480 67760 ) ( * 75600 )
NEW Metal2 ( 18480 67760 ) Via2_VH
NEW Metal1 ( 18480 75600 ) Via1_VV ;
- net54 ( PIN io_oeb[4] ) ( tiny_user_project_54 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 47600 7280 0 ) ( * 33040 )
NEW Metal3 ( 47600 33040 ) ( 57680 * )
NEW Metal2 ( 47600 33040 ) Via2_VH
NEW Metal1 ( 57680 33040 ) Via1_VV
NEW Metal2 ( 57680 33040 ) Via2_VH
NEW Metal2 ( 57680 33040 ) RECT ( -280 -660 280 0 ) ;
- net55 ( PIN io_oeb[5] ) ( tiny_user_project_55 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 208880 0 ) ( 18480 * )
NEW Metal2 ( 18480 208880 ) ( * 216720 )
NEW Metal2 ( 18480 208880 ) Via2_VH
NEW Metal1 ( 18480 216720 ) Via1_VV ;
- net56 ( PIN io_oeb[6] ) ( tiny_user_project_56 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 44240 ) ( 482160 * )
NEW Metal2 ( 482160 7280 ) ( * 44240 )
NEW Metal3 ( 482160 7280 ) ( 493360 * 0 )
NEW Metal1 ( 481040 44240 ) Via1_VV
NEW Metal2 ( 482160 7280 ) Via2_VH ;
- net57 ( PIN io_oeb[7] ) ( tiny_user_project_57 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 289520 ) ( * 295120 )
NEW Metal3 ( 481040 289520 ) ( 493360 * 0 )
NEW Metal1 ( 481040 295120 ) Via1_VV
NEW Metal2 ( 481040 289520 ) Via2_VH ;
- net58 ( PIN io_oeb[8] ) ( tiny_user_project_58 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 481040 182000 ) ( * 185360 )
NEW Metal3 ( 481040 182000 ) ( 493360 * 0 )
NEW Metal1 ( 481040 185360 ) Via1_VV
NEW Metal2 ( 481040 185360 ) Via2_VH
NEW Metal2 ( 481040 185360 ) RECT ( -280 -660 280 0 ) ;
- net59 ( PIN io_oeb[9] ) ( tiny_user_project_59 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 383600 7280 0 ) ( * 33040 )
NEW Metal2 ( 383600 33040 ) ( 385840 * )
NEW Metal1 ( 385840 33040 ) Via1_VV ;
- net6 ( ANTENNA__031__A1 I ) ( ANTENNA__034__A1 I ) ( input6 Z ) ( _034_ A1 ) ( _031_ A1 ) + USE SIGNAL
+ ROUTED Metal2 ( 271600 403760 ) ( 272720 * )
NEW Metal2 ( 271600 403760 ) ( * 408240 )
NEW Metal2 ( 271600 31920 ) ( * 403760 )
NEW Metal2 ( 372400 31920 ) ( * 33040 )
NEW Metal3 ( 271600 31920 ) ( 372400 * )
NEW Metal2 ( 258160 408240 ) ( * 410480 )
NEW Metal3 ( 220080 420560 ) ( 224560 * )
NEW Metal2 ( 224560 408240 ) ( * 420560 )
NEW Metal3 ( 224560 408240 ) ( 258160 * )
NEW Metal3 ( 210000 418320 ) ( 224560 * )
NEW Metal3 ( 258160 408240 ) ( 271600 * )
NEW Metal2 ( 271600 31920 ) Via2_VH
NEW Metal1 ( 272720 403760 ) Via1_VV
NEW Metal2 ( 271600 408240 ) Via2_VH
NEW Metal2 ( 372400 31920 ) Via2_VH
NEW Metal1 ( 372400 33040 ) Via1_VV
NEW Metal1 ( 258160 410480 ) Via1_HV
NEW Metal2 ( 258160 408240 ) Via2_VH
NEW Metal1 ( 220080 420560 ) Via1_VV
NEW Metal2 ( 220080 420560 ) Via2_VH
NEW Metal2 ( 224560 420560 ) Via2_VH
NEW Metal2 ( 224560 408240 ) Via2_VH
NEW Metal1 ( 210000 418320 ) Via1_VV
NEW Metal2 ( 210000 418320 ) Via2_VH
NEW Metal2 ( 224560 418320 ) Via2_VH
NEW Metal2 ( 220080 420560 ) RECT ( -280 0 280 660 )
NEW Metal2 ( 210000 418320 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 224560 418320 ) RECT ( -280 -1040 280 0 ) ;
- net60 ( PIN io_oeb[10] ) ( tiny_user_project_60 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 87920 0 ) ( 18480 * )
NEW Metal2 ( 18480 87920 ) ( * 91280 )
NEW Metal2 ( 18480 87920 ) Via2_VH
NEW Metal1 ( 18480 91280 ) Via1_VV ;
- net61 ( PIN io_oeb[11] ) ( tiny_user_project_61 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 343280 ) ( * 346640 )
NEW Metal3 ( 481040 343280 ) ( 493360 * 0 )
NEW Metal1 ( 481040 346640 ) Via1_VV
NEW Metal2 ( 481040 343280 ) Via2_VH ;
- net62 ( PIN io_oeb[12] ) ( tiny_user_project_62 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 350000 0 ) ( 18480 * )
NEW Metal2 ( 18480 350000 ) ( * 357840 )
NEW Metal2 ( 18480 350000 ) Via2_VH
NEW Metal1 ( 18480 357840 ) Via1_VV ;
- net63 ( PIN io_oeb[13] ) ( tiny_user_project_63 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 188720 0 ) ( 18480 * )
NEW Metal2 ( 18480 188720 ) ( * 189840 )
NEW Metal2 ( 18480 188720 ) Via2_VH
NEW Metal1 ( 18480 189840 ) Via1_VV ;
- net64 ( PIN io_oeb[14] ) ( tiny_user_project_64 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 114800 ) ( * 122640 )
NEW Metal3 ( 481040 114800 ) ( 493360 * 0 )
NEW Metal1 ( 481040 122640 ) Via1_VV
NEW Metal2 ( 481040 114800 ) Via2_VH ;
- net65 ( PIN io_oeb[15] ) ( tiny_user_project_65 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 437360 7280 0 ) ( * 33040 )
NEW Metal2 ( 437360 33040 ) ( 439600 * )
NEW Metal1 ( 439600 33040 ) Via1_VV ;
- net66 ( PIN io_oeb[16] ) ( tiny_user_project_66 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 242480 0 ) ( 18480 * )
NEW Metal2 ( 18480 242480 ) ( * 248080 )
NEW Metal2 ( 18480 242480 ) Via2_VH
NEW Metal1 ( 18480 248080 ) Via1_VV ;
- net67 ( PIN io_oeb[17] ) ( tiny_user_project_67 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 481040 268240 ) ( * 269360 )
NEW Metal3 ( 481040 269360 ) ( 493360 * 0 )
NEW Metal1 ( 481040 268240 ) Via1_VV
NEW Metal2 ( 481040 268240 ) Via2_VH
NEW Metal2 ( 481040 268240 ) RECT ( -280 -660 280 0 ) ;
- net68 ( PIN io_oeb[18] ) ( tiny_user_project_68 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 215600 453040 ) ( 217840 * )
NEW Metal2 ( 215600 453040 ) ( * 493360 0 )
NEW Metal1 ( 217840 453040 ) Via1_VV ;
- net69 ( PIN io_oeb[19] ) ( tiny_user_project_69 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 128240 457520 ) ( 136080 * )
NEW Metal2 ( 128240 457520 ) ( * 493360 0 )
NEW Metal1 ( 136080 457520 ) Via1_VV
NEW Metal2 ( 136080 457520 ) Via2_VH
NEW Metal2 ( 128240 457520 ) Via2_VH
NEW Metal2 ( 136080 457520 ) RECT ( -280 -660 280 0 ) ;
- net7 ( ANTENNA__032__A1 I ) ( ANTENNA__052__A1 I ) ( input7 Z ) ( _052_ A1 ) ( _032_ A1 ) + USE SIGNAL
+ ROUTED Metal2 ( 127120 29680 ) ( * 33040 )
NEW Metal3 ( 127120 29680 ) ( 223440 * )
NEW Metal2 ( 223440 403760 ) ( 224560 * )
NEW Metal3 ( 224560 403760 ) ( 230160 * )
NEW Metal2 ( 236880 403760 ) ( * 404880 )
NEW Metal3 ( 230160 403760 ) ( 236880 * )
NEW Metal2 ( 233520 403760 ) ( * 410480 )
NEW Metal2 ( 223440 29680 ) ( * 403760 )
NEW Metal2 ( 223440 29680 ) Via2_VH
NEW Metal2 ( 127120 29680 ) Via2_VH
NEW Metal1 ( 127120 33040 ) Via1_VV
NEW Metal1 ( 224560 403760 ) Via1_VV
NEW Metal1 ( 230160 403760 ) Via1_VV
NEW Metal2 ( 230160 403760 ) Via2_VH
NEW Metal2 ( 224560 403760 ) Via2_VH
NEW Metal1 ( 236880 404880 ) Via1_VV
NEW Metal2 ( 236880 403760 ) Via2_VH
NEW Metal1 ( 233520 410480 ) Via1_VV
NEW Metal2 ( 233520 403760 ) Via2_VH
NEW Metal2 ( 230160 403760 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 224560 403760 ) RECT ( -280 -1040 280 0 )
NEW Metal3 ( 233520 403760 ) RECT ( -1040 -280 0 280 ) ;
- net70 ( PIN io_oeb[20] ) ( tiny_user_project_70 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 182000 457520 ) ( 184240 * )
NEW Metal2 ( 182000 457520 ) ( * 493360 0 )
NEW Metal1 ( 184240 457520 ) Via1_VV ;
- net71 ( PIN io_oeb[21] ) ( tiny_user_project_71 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 141680 7280 0 ) ( * 33040 )
NEW Metal2 ( 141680 33040 ) ( 143920 * )
NEW Metal1 ( 143920 33040 ) Via1_VV ;
- net72 ( PIN io_oeb[22] ) ( tiny_user_project_72 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 481040 128240 ) ( 493360 * 0 )
NEW Metal1 ( 481040 128240 ) Via1_VV
NEW Metal2 ( 481040 128240 ) Via2_VH
NEW Metal2 ( 481040 128240 ) RECT ( -280 -660 280 0 ) ;
- net73 ( PIN io_oeb[23] ) ( tiny_user_project_73 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 61040 ) ( * 64400 )
NEW Metal3 ( 481040 61040 ) ( 493360 * 0 )
NEW Metal1 ( 481040 64400 ) Via1_VV
NEW Metal2 ( 481040 61040 ) Via2_VH ;
- net74 ( PIN io_oeb[24] ) ( tiny_user_project_74 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 323120 ) ( * 326480 )
NEW Metal3 ( 481040 323120 ) ( 493360 * 0 )
NEW Metal1 ( 481040 326480 ) Via1_VV
NEW Metal2 ( 481040 323120 ) Via2_VH ;
- net75 ( PIN io_oeb[25] ) ( tiny_user_project_75 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 34160 7280 0 ) ( * 33040 )
NEW Metal2 ( 34160 33040 ) ( 36400 * )
NEW Metal1 ( 36400 33040 ) Via1_VV ;
- net76 ( PIN io_oeb[26] ) ( tiny_user_project_76 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 457520 7280 0 ) ( * 33040 )
NEW Metal2 ( 457520 33040 ) ( 459760 * )
NEW Metal1 ( 459760 33040 ) Via1_VV ;
- net77 ( PIN io_oeb[27] ) ( tiny_user_project_77 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 94640 ) ( * 95760 )
NEW Metal3 ( 481040 94640 ) ( 493360 * 0 )
NEW Metal1 ( 481040 95760 ) Via1_VV
NEW Metal2 ( 481040 94640 ) Via2_VH ;
- net78 ( PIN io_oeb[28] ) ( tiny_user_project_78 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 296240 0 ) ( 18480 * )
NEW Metal2 ( 18480 296240 ) ( * 299600 )
NEW Metal2 ( 18480 296240 ) Via2_VH
NEW Metal1 ( 18480 299600 ) Via1_VV ;
- net79 ( PIN io_oeb[29] ) ( tiny_user_project_79 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 18480 33040 ) ( * 34160 )
NEW Metal3 ( 7280 34160 0 ) ( 18480 * )
NEW Metal1 ( 18480 33040 ) Via1_VV
NEW Metal2 ( 18480 34160 ) Via2_VH ;
- net8 ( ANTENNA__056__CLK I ) ( input8 Z ) ( _056_ CLK ) + USE SIGNAL
+ ROUTED Metal2 ( 21840 434000 ) ( * 456400 )
NEW Metal2 ( 245840 434000 ) ( * 435120 )
NEW Metal3 ( 201040 434000 ) ( 245840 * )
NEW Metal3 ( 21840 434000 ) ( 201040 * )
NEW Metal1 ( 21840 456400 ) Via1_VV
NEW Metal2 ( 21840 434000 ) Via2_VH
NEW Metal1 ( 201040 434000 ) Via1_VV
NEW Metal2 ( 201040 434000 ) Via2_VH
NEW Metal1 ( 245840 435120 ) Via1_HV
NEW Metal2 ( 245840 434000 ) Via2_VH
NEW Metal2 ( 201040 434000 ) RECT ( -280 -660 280 0 ) ;
- net80 ( PIN io_oeb[30] ) ( tiny_user_project_80 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 208880 7280 0 ) ( * 17360 )
NEW Metal1 ( 208880 17360 ) ( 214480 * )
NEW Metal2 ( 214480 17360 ) ( * 33040 )
NEW Metal1 ( 208880 17360 ) Via1_HV
NEW Metal1 ( 214480 17360 ) Via1_HV
NEW Metal1 ( 214480 33040 ) Via1_VV ;
- net81 ( PIN io_oeb[31] ) ( tiny_user_project_81 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 168560 ) ( * 174160 )
NEW Metal3 ( 481040 168560 ) ( 493360 * 0 )
NEW Metal1 ( 481040 174160 ) Via1_VV
NEW Metal2 ( 481040 168560 ) Via2_VH ;
- net82 ( PIN io_oeb[32] ) ( tiny_user_project_82 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 481040 376880 ) ( * 378000 )
NEW Metal3 ( 481040 376880 ) ( 493360 * 0 )
NEW Metal1 ( 481040 378000 ) Via1_VV
NEW Metal2 ( 481040 376880 ) Via2_VH ;
- net83 ( PIN io_oeb[33] ) ( tiny_user_project_83 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 383600 0 ) ( 18480 * )
NEW Metal2 ( 18480 383600 ) ( * 389200 )
NEW Metal2 ( 18480 383600 ) Via2_VH
NEW Metal1 ( 18480 389200 ) Via1_VV ;
- net84 ( PIN io_oeb[34] ) ( tiny_user_project_84 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 472080 20720 ) ( * 33040 )
NEW Metal3 ( 472080 20720 ) ( 493360 * 0 )
NEW Metal1 ( 472080 33040 ) Via1_VV
NEW Metal2 ( 472080 20720 ) Via2_VH ;
- net85 ( PIN io_oeb[35] ) ( tiny_user_project_85 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 31920 453040 ) ( * 457520 )
NEW Metal3 ( 7280 457520 0 ) ( 31920 * )
NEW Metal1 ( 31920 453040 ) Via1_VV
NEW Metal2 ( 31920 457520 ) Via2_VH ;
- net86 ( PIN io_oeb[36] ) ( tiny_user_project_86 ZN ) + USE SIGNAL
+ ROUTED Metal2 ( 74480 457520 ) ( 76720 * )
NEW Metal2 ( 74480 457520 ) ( * 493360 0 )
NEW Metal1 ( 76720 457520 ) Via1_VV ;
- net87 ( PIN io_oeb[37] ) ( tiny_user_project_87 ZN ) + USE SIGNAL
+ ROUTED Metal3 ( 7280 329840 0 ) ( 18480 * )
NEW Metal2 ( 18480 329840 ) ( * 330960 )
NEW Metal2 ( 18480 329840 ) Via2_VH
NEW Metal1 ( 18480 330960 ) Via1_VV ;
- net9 ( ANTENNA__055__A2 I ) ( input9 Z ) ( _055_ A2 ) + USE SIGNAL
+ ROUTED Metal3 ( 194320 33040 ) ( 210000 * )
NEW Metal3 ( 210000 410480 ) ( 220080 * )
NEW Metal2 ( 210000 409360 ) ( * 410480 )
NEW Metal2 ( 210000 33040 ) ( * 409360 )
NEW Metal2 ( 210000 33040 ) Via2_VH
NEW Metal1 ( 194320 33040 ) Via1_VV
NEW Metal2 ( 194320 33040 ) Via2_VH
NEW Metal1 ( 210000 409360 ) Via1_VV
NEW Metal1 ( 220080 410480 ) Via1_VV
NEW Metal2 ( 220080 410480 ) Via2_VH
NEW Metal2 ( 210000 410480 ) Via2_VH
NEW Metal2 ( 194320 33040 ) RECT ( -280 -660 280 0 )
NEW Metal2 ( 220080 410480 ) RECT ( -280 -660 280 0 ) ;
END NETS
END DESIGN