A number of updates:
(1) GF:  Changed directory name "liberty" to "lib"
(2) Added new EF library I/O cell for the GPIO matching the sky130 I/O GPIO's ability
    to run analog signals directly to the pad with input and output buffers disabled.
(3) Fix for the GF SRAM abstract view (avoids obliterating ports with the via
    obstruction layers)
(4) Force nwell under n-tap in magic GDS output for GF, which avoid issues when
    reading the layout back from GDS.
(5) Fixed the MiM capacitor read-back from GDS, which resulted in a DRC error in magic.
(6) SkyWater:  Added the installation of the "combined" device models.  Primarily, this
    enables use of the continuous-binned models for most devices.
(7) SkyWater:  Fixed the magic tech file fill generation recipe to prevent placing
    metal4 fill under pads.
diff --git a/VERSION b/VERSION
index 23ad8f7..6482df6 100644
--- a/VERSION
+++ b/VERSION
@@ -1 +1 @@
-1.0.461
+1.0.462
diff --git a/gf180mcu/Makefile.in b/gf180mcu/Makefile.in
index cff8005..4dc92cb 100644
--- a/gf180mcu/Makefile.in
+++ b/gf180mcu/Makefile.in
@@ -954,63 +954,63 @@
 			filter=custom/scripts/fix_techlef.py \
 			rename=gf180mcu_fd_sc_mcu9t5v0__nom.tlef \
 		-cdl cells/*/*.cdl compile-only noconvert \
-		-liberty cells/*/*_ff_125C_1v98.lib compile-only \
+		-lib cells/*/*_ff_125C_1v98.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_125C_1v98.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_125C_1v98 \
-		-liberty cells/*/*_ff_n40C_1v98.lib compile-only \
+		-lib cells/*/*_ff_n40C_1v98.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_n40C_1v98.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_n40C_1v98 \
-		-liberty cells/*/*_ff_125C_3v60.lib compile-only \
+		-lib cells/*/*_ff_125C_3v60.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_125C_3v60.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_125C_3v60 \
-		-liberty cells/*/*_ff_n40C_3v60.lib compile-only \
+		-lib cells/*/*_ff_n40C_3v60.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_n40C_3v60.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_n40C_3v60 \
-		-liberty cells/*/*_ff_125C_5v50.lib compile-only \
+		-lib cells/*/*_ff_125C_5v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_125C_5v50.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_125C_5v50 \
-		-liberty cells/*/*_ff_n40C_5v50.lib compile-only \
+		-lib cells/*/*_ff_n40C_5v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ff_n40C_5v50.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ff_n40C_5v50 \
-		-liberty cells/*/*_ss_125C_1v62.lib compile-only \
+		-lib cells/*/*_ss_125C_1v62.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_125C_1v62.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_125C_1v62 \
-		-liberty cells/*/*_ss_n40C_1v62.lib compile-only \
+		-lib cells/*/*_ss_n40C_1v62.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_n40C_1v62.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_n40C_1v62 \
-		-liberty cells/*/*_ss_125C_3v00.lib compile-only \
+		-lib cells/*/*_ss_125C_3v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_125C_3v00.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_125C_3v00 \
-		-liberty cells/*/*_ss_n40C_3v00.lib compile-only \
+		-lib cells/*/*_ss_n40C_3v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_n40C_3v00.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_n40C_3v00 \
-		-liberty cells/*/*_ss_125C_4v50.lib compile-only \
+		-lib cells/*/*_ss_125C_4v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_125C_4v50.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_125C_4v50 \
-		-liberty cells/*/*_ss_n40C_4v50.lib compile-only \
+		-lib cells/*/*_ss_n40C_4v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__ss_n40C_4v50.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__ss_n40C_4v50 \
-		-liberty cells/*/*_tt_025C_1v80.lib compile-only \
+		-lib cells/*/*_tt_025C_1v80.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__tt_025C_1v80.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__tt_025C_1v80 \
-		-liberty cells/*/*_tt_025C_3v30.lib compile-only \
+		-lib cells/*/*_tt_025C_3v30.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__tt_025C_3v30.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__tt_025C_3v30 \
-		-liberty cells/*/*_tt_025C_5v00.lib compile-only \
+		-lib cells/*/*_tt_025C_5v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu9t5v0__tt_025C_5v00.lib \
 			rename=gf180mcu_fd_sc_mcu9t5v0__tt_025C_5v00 \
@@ -1038,63 +1038,63 @@
 			filter=custom/scripts/fix_techlef.py \
 			rename=gf180mcu_fd_sc_mcu7t5v0__nom.tlef \
 		-cdl cells/*/*.cdl compile-only noconvert \
-		-liberty cells/*/*_ff_125C_1v98.lib compile-only \
+		-lib cells/*/*_ff_125C_1v98.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
                         header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_125C_1v98.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_125C_1v98 \
-		-liberty cells/*/*_ff_n40C_1v98.lib compile-only \
+		-lib cells/*/*_ff_n40C_1v98.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_n40C_1v98.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_n40C_1v98 \
-		-liberty cells/*/*_ff_125C_3v60.lib compile-only \
+		-lib cells/*/*_ff_125C_3v60.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_125C_3v60.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_125C_3v60 \
-		-liberty cells/*/*_ff_n40C_3v60.lib compile-only \
+		-lib cells/*/*_ff_n40C_3v60.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_n40C_3v60.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_n40C_3v60 \
-		-liberty cells/*/*_ff_125C_5v50.lib compile-only \
+		-lib cells/*/*_ff_125C_5v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_125C_5v50.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_125C_5v50 \
-		-liberty cells/*/*_ff_n40C_5v50.lib compile-only \
+		-lib cells/*/*_ff_n40C_5v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ff_n40C_5v50.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ff_n40C_5v50 \
-		-liberty cells/*/*_ss_125C_1v62.lib compile-only \
+		-lib cells/*/*_ss_125C_1v62.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_125C_1v62.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_125C_1v62 \
-		-liberty cells/*/*_ss_n40C_1v62.lib compile-only \
+		-lib cells/*/*_ss_n40C_1v62.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_n40C_1v62.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_n40C_1v62 \
-		-liberty cells/*/*_ss_125C_3v00.lib compile-only \
+		-lib cells/*/*_ss_125C_3v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_125C_3v00.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_125C_3v00 \
-		-liberty cells/*/*_ss_n40C_3v00.lib compile-only \
+		-lib cells/*/*_ss_n40C_3v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_n40C_3v00.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_n40C_3v00 \
-		-liberty cells/*/*_ss_125C_4v50.lib compile-only \
+		-lib cells/*/*_ss_125C_4v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_125C_4v50.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_125C_4v50 \
-		-liberty cells/*/*_ss_n40C_4v50.lib compile-only \
+		-lib cells/*/*_ss_n40C_4v50.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__ss_n40C_4v50.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__ss_n40C_4v50 \
-		-liberty cells/*/*_tt_025C_1v80.lib compile-only \
+		-lib cells/*/*_tt_025C_1v80.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_1v80.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__tt_025C_1v80 \
-		-liberty cells/*/*_tt_025C_3v30.lib compile-only \
+		-lib cells/*/*_tt_025C_3v30.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30 \
-		-liberty cells/*/*_tt_025C_5v00.lib compile-only \
+		-lib cells/*/*_tt_025C_5v00.lib compile-only \
 			filter=custom/scripts/fix_related_bias_pins.py \
 			header=liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_5v00.lib \
 			rename=gf180mcu_fd_sc_mcu7t5v0__tt_025C_5v00 \
@@ -1121,7 +1121,7 @@
 		-target ${STAGING_PATH}/${GF180MCU$*} \
 		-techlef ../tlef/gf180mcu_5LM_1TM_9K_9t.tlef \
 			rename=gf180mcu_fd_sc_mcu7t5v0__nom.tlef \
-		-liberty lib/gf180mcu_osu_sc_gp9t3v3_TT_3P3_25C.ccs.lib \
+		-lib lib/gf180mcu_osu_sc_gp9t3v3_TT_3P3_25C.ccs.lib \
 			rename=gf180mcu_osu_sc_gp9t3v3__tt_025C_3v30 \
 		-verilog cells/*/*.v compile-only \
 		-lef cells/*/*.lef compile-only \
@@ -1135,7 +1135,7 @@
 		-target ${STAGING_PATH}/${GF180MCU$*} \
 		-techlef ../tlef/gf180mcu_5LM_1TM_9K_12t.tlef \
 			rename=gf180mcu_fd_sc_mcu7t5v0__nom.tlef \
-		-liberty lib/gf180mcu_osu_sc_gp12t3v3_TT_25C.ccs.lib \
+		-lib lib/gf180mcu_osu_sc_gp12t3v3_TT_25C.ccs.lib \
 			rename=gf180mcu_osu_sc_gp12t3v3__tt_025C_3v30 \
 		-verilog cells/*/*.v compile-only \
 		-lef cells/*/*.lef compile-only \
@@ -1146,6 +1146,19 @@
 		tee -a ${GF180MCU$*}_make.log
 
 io-%:
+	# Install custom additions to the I/O pad library
+	${STAGE} -source ./custom -target ${STAGING_PATH}/${GF180MCU$*} \
+		-verilog %l/verilog/*.v compile-only rename=gf180mcu_ef_io \
+		-spice %l/spice/*.spice compile-only rename=gf180mcu_ef_io \
+		-lib %l/lib/*.lib \
+		-gds %l/gds/*_${$*_STACK}.gds compile-only \
+			rename=gf180mcu_ef_io \
+			options=custom/scripts/gds_import_io.tcl \
+		-lef %l/lef/*_${$*_STACK}.lef \
+			annotate lefopts=-hide \
+		-library general gf180mcu_fd_io 2>&1 | \
+		tee -a ${GF180MCU$*}_make.log
+
         # Install I/O cells from vendor files
 	# Note:  Do not use GF LEF views.  Annotate only.  LEF is being renamed
 	# back to the original, although eventually all files will be changed
@@ -1154,40 +1167,52 @@
 	${STAGE} -source ${GF180MCU_IO_PATH} \
 		-target ${STAGING_PATH}/${GF180MCU$*} \
 		-cdl cells/*/*.cdl compile-only noconvert \
-		-liberty cells/*/*_ff_125C_2v75.lib compile-only \
+		-lib cells/*/*_ff_125C_2v75.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_125C_2v75.lib \
                         header=liberty/gf180mcu_fd_io__ff_125C_2v75.lib \
 			rename=gf180mcu_fd_io__ff_125C_2v75 \
-		-liberty cells/*/*_ff_n40C_2v75.lib compile-only \
+		-lib cells/*/*_ff_n40C_2v75.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_n40C_2v75.lib \
                         header=liberty/gf180mcu_fd_io__ff_n40C_2v75.lib \
 			rename=gf180mcu_fd_io__ff_n40C_2v75 \
-		-liberty cells/*/*_ff_125C_3v63.lib compile-only \
+		-lib cells/*/*_ff_125C_3v63.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_125C_3v63.lib \
                         header=liberty/gf180mcu_fd_io__ff_125C_3v63.lib \
 			rename=gf180mcu_fd_io__ff_125C_3v63 \
-		-liberty cells/*/*_ff_n40C_3v63.lib compile-only \
+		-lib cells/*/*_ff_n40C_3v63.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_n40C_3v63.lib \
                         header=liberty/gf180mcu_fd_io__ff_n40C_3v63.lib \
 			rename=gf180mcu_fd_io__ff_n40C_3v63 \
-		-liberty cells/*/*_ff_125C_5v50.lib compile-only \
+		-lib cells/*/*_ff_125C_5v50.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_125C_5v50.lib \
                         header=liberty/gf180mcu_fd_io__ff_125C_5v50.lib \
 			rename=gf180mcu_fd_io__ff_125C_5v50 \
-		-liberty cells/*/*_ff_n40C_5v50.lib compile-only \
+		-lib cells/*/*_ff_n40C_5v50.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ff_n40C_5v50.lib \
                         header=liberty/gf180mcu_fd_io__ff_n40C_5v50.lib \
 			rename=gf180mcu_fd_io__ff_n40C_5v50 \
-		-liberty cells/*/*_ss_125C_2v25.lib compile-only \
+		-lib cells/*/*_ss_125C_2v25.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ss_125C_2v20.lib \
                         header=liberty/gf180mcu_fd_io__ss_125C_2v25.lib \
 			rename=gf180mcu_fd_io__ss_125C_2v25 \
-		-liberty cells/*/*_ss_125C_2v97.lib compile-only \
+		-lib cells/*/*_ss_125C_2v97.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ss_125C_2v97.lib \
                         header=liberty/gf180mcu_fd_io__ss_125C_2v97.lib \
 			rename=gf180mcu_fd_io__ss_125C_2v97 \
-		-liberty cells/*/*_ss_125C_4v50.lib compile-only \
+		-lib cells/*/*_ss_125C_4v50.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_ss_125C_4v50.lib \
                         header=liberty/gf180mcu_fd_io__ss_125C_4v50.lib \
 			rename=gf180mcu_fd_io__ss_125C_4v50 \
-		-liberty cells/*/*_tt_025C_2v50.lib compile-only \
+		-lib cells/*/*_tt_025C_2v50.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_tt_025C_2v50.lib \
                         header=liberty/gf180mcu_fd_io__tt_025C_2v50.lib \
 			rename=gf180mcu_fd_io__tt_025C_2v50 \
-		-liberty cells/*/*_tt_025C_3v30.lib compile-only \
+		-lib cells/*/*_tt_025C_3v30.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_tt_025C_3v30.lib \
                         header=liberty/gf180mcu_fd_io__tt_025C_3v30.lib \
 			rename=gf180mcu_fd_io__tt_025C_3v30 \
-		-liberty cells/*/*_tt_025C_5v00.lib compile-only \
+		-lib cells/*/*_tt_025C_5v00.lib compile-only \
+			include=custom/gf180mcu_fd_io/lib/*_tt_025C_5v00.lib \
                         header=liberty/gf180mcu_fd_io__tt_025C_5v00.lib \
 			rename=gf180mcu_fd_io__tt_025C_5v00 \
 		-spice cells/*/*.cdl compile-only \
@@ -1196,7 +1221,7 @@
 			options=custom/scripts/gds_import_io.tcl \
 			filter=custom/scripts/fix_io_cor_gds.py \
 		-lef cells/*/*_${$*_STACK}.lef \
-			annotate lefopts=-hide compile-only \
+			annotate lefopts=-hide \
 			filter=custom/scripts/fix_io_lef.py \
 		-verilog cells/*/*.v compile-only \
 		-library general gf180mcu_fd_io 2>&1 | tee -a ${GF180MCU$*}_make.log
@@ -1206,14 +1231,14 @@
 	${STAGE} -source ${GF180MCU_SRAM_PATH} \
 		-target ${STAGING_PATH}/${GF180MCU$*} \
 		-cdl cells/*/*.cdl noconvert \
-		-liberty cells/*/*.lib \
+		-lib cells/*/*.lib \
 		-spice cells/*/*.cdl \
 			filter=custom/scripts/convert_sram_cdl.py \
 			rename=*.spice \
 		-gds cells/*/*.gds \
 			options=custom/scripts/gds_import_sram.tcl \
 			filter=custom/scripts/fix_sram_gds.py \
-		-lef cells/*/*.lef \
+		-lef cells/*/*.lef annotate lefopts="-hide 5um" \
 		-verilog cells/*/*.v \
 		-library general gf180mcu_fd_ip_sram 2>&1 | tee -a ${GF180MCU$*}_make.log
 	# Remove "BL" labels from the rarray4_* cells, otherwise extraction
diff --git a/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_3lm.gds b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_3lm.gds
new file mode 100644
index 0000000..66166f2
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_3lm.gds
Binary files differ
diff --git a/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_4lm.gds b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_4lm.gds
new file mode 100644
index 0000000..e08c7d0
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_4lm.gds
Binary files differ
diff --git a/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_5lm.gds b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_5lm.gds
new file mode 100644
index 0000000..d0da7f9
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/gds/gf180mcu_ef_io__bi_t_5lm.gds
Binary files differ
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_3lm.lef b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_3lm.lef
new file mode 100644
index 0000000..0387b5c
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_3lm.lef
@@ -0,0 +1,385 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+MACRO gf180mcu_ef_io__bi_t
+  CLASS PAD INOUT ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_ef_io__bi_t 0 0 ;
+  SIZE 75 BY 350 ;
+  SYMMETRY X Y R90 ;
+  SITE GF_IO_Site ;
+    PIN PAD
+        DIRECTION INOUT ;
+        USE SIGNAL ;
+        PORT
+        LAYER Metal3  ;
+        RECT 25.000 20.000 50.000 45.000 ;
+        END
+    END PAD
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 69.4 349.62 69.78 350 ;
+    END
+  END A
+  PIN ANA
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 8.480 349.62 8.860 350 ;
+    END
+  END ANA
+  PIN CS
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 3.36 349.62 3.74 350 ;
+    END
+  END CS
+  PIN IE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 11.385 349.62 11.765 350 ;
+    END
+  END IE
+  PIN OE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.776 LAYER Metal2 ;
+      ANTENNAGATEAREA 16.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.13 349.62 70.51 350 ;
+    END
+  END OE
+  PIN PD
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 10.5 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 10.33 349.62 10.71 350 ;
+    END
+  END PD
+  PIN PDRV0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.11 349.62 7.49 350 ;
+    END
+  END PDRV0
+  PIN PDRV1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.82 349.62 8.2 350 ;
+    END
+  END PDRV1
+  PIN PU
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.98 LAYER Metal2 ;
+      ANTENNAGATEAREA 7.35 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 5.965 349.62 6.345 350 ;
+    END
+  END PU
+  PIN SL
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 68.67 349.62 69.05 350 ;
+    END
+  END SL
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.86 349.62 71.24 350 ;
+    END
+  END Y
+  PIN DVDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 118 1 125 ;
+    END
+  END DVDD
+  PIN DVSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 102 1 117 ;
+    END
+  END DVSS
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 254 1 261 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246 1 253 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 75 350 ;
+    LAYER Metal2 ;
+      POLYGON 75 350 71.52 350 71.52 349.34 68.39 349.34 68.39 350 12.045 350 12.045 349.34 11.105 349.34 11.105 350 10.99 350 10.99 349.34 10.05 349.34 10.05 350 8.48 350 8.48 349.34 6.83 349.34 6.83 350 6.625 350 6.625 349.34 5.685 349.34 5.685 350 4.02 350 4.02 349.34 3.08 349.34 3.08 350 0 350 0 0 75 0 ;
+    LAYER Metal3 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Via1 ;
+      RECT 0 0 75 350 ;
+    LAYER Via2 ;
+      RECT 0 0 75 350 ;
+  END
+
+END gf180mcu_ef_io__bi_t
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_4lm.lef b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_4lm.lef
new file mode 100644
index 0000000..9354b32
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_4lm.lef
@@ -0,0 +1,597 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+MACRO gf180mcu_ef_io__bi_t
+  CLASS PAD INOUT ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_ef_io__bi_t 0 0 ;
+  SIZE 75 BY 350 ;
+  SYMMETRY X Y R90 ;
+  SITE GF_IO_Site ;
+    PIN PAD
+        DIRECTION INOUT ;
+        USE SIGNAL ;
+        PORT
+        LAYER Metal4  ;
+        RECT 25.000 20.000 50.000 45.000 ;
+        END
+    END PAD
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 69.4 349.62 69.78 350 ;
+    END
+  END A
+  PIN ANA
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.98 LAYER Metal2 ;
+      ANTENNAGATEAREA 7.35 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 8.480 349.62 8.860 350 ;
+    END
+  END ANA
+  PIN CS
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 3.36 349.62 3.74 350 ;
+    END
+  END CS
+  PIN IE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 11.385 349.62 11.765 350 ;
+    END
+  END IE
+  PIN OE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.776 LAYER Metal2 ;
+      ANTENNAGATEAREA 16.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.13 349.62 70.51 350 ;
+    END
+  END OE
+  PIN PD
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 10.5 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 10.33 349.62 10.71 350 ;
+    END
+  END PD
+  PIN PDRV0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.11 349.62 7.49 350 ;
+    END
+  END PDRV0
+  PIN PDRV1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.82 349.62 8.2 350 ;
+    END
+  END PDRV1
+  PIN PU
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.98 LAYER Metal2 ;
+      ANTENNAGATEAREA 7.35 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 5.965 349.62 6.345 350 ;
+    END
+  END PU
+  PIN SL
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 68.67 349.62 69.05 350 ;
+    END
+  END SL
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.86 349.62 71.24 350 ;
+    END
+  END Y
+  PIN DVDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal4 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 118 1 125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 118 1 125 ;
+    END
+  END DVDD
+  PIN DVSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal4 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 102 1 117 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 102 1 117 ;
+    END
+  END DVSS
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal4 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 254 1 261 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 254 1 261 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal4 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 246 1 253 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246 1 253 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 75 350 ;
+    LAYER Metal2 ;
+      POLYGON 75 350 71.52 350 71.52 349.34 68.39 349.34 68.39 350 12.045 350 12.045 349.34 11.105 349.34 11.105 350 10.99 350 10.99 349.34 10.05 349.34 10.05 350 8.48 350 8.48 349.34 6.83 349.34 6.83 350 6.625 350 6.625 349.34 5.685 349.34 5.685 350 4.02 350 4.02 349.34 3.08 349.34 3.08 350 0 350 0 0 75 0 ;
+    LAYER Metal3 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Metal4 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Via1 ;
+      RECT 0 0 75 350 ;
+    LAYER Via2 ;
+      RECT 0 0 75 350 ;
+    LAYER Via3 ;
+      RECT 0 0 75 350 ;
+  END
+
+END gf180mcu_ef_io__bi_t
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_5lm.lef b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_5lm.lef
new file mode 100644
index 0000000..f71fccc
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lef/gf180mcu_ef_io__bi_t_5lm.lef
@@ -0,0 +1,809 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+MACRO gf180mcu_ef_io__bi_t
+  CLASS PAD INOUT ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_ef_io__bi_t 0 0 ;
+  SIZE 75 BY 350 ;
+  SYMMETRY X Y R90 ;
+  SITE GF_IO_Site ;
+    PIN PAD
+        DIRECTION INOUT ;
+        USE SIGNAL ;
+        PORT
+        LAYER Metal5  ;
+        RECT 25.000 20.000 50.000 45.000 ;
+        END
+    END PAD
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 69.4 349.62 69.78 350 ;
+    END
+  END A
+  PIN ANA
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+	RECT 8.480 349.62 8.860 350 ;
+    END
+  END ANA
+  PIN CS
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 3.36 349.62 3.74 350 ;
+    END
+  END CS
+  PIN IE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 11.385 349.62 11.765 350 ;
+    END
+  END IE
+  PIN OE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.776 LAYER Metal2 ;
+      ANTENNAGATEAREA 16.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.13 349.62 70.51 350 ;
+    END
+  END OE
+  PIN PD
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 10.5 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 10.33 349.62 10.71 350 ;
+    END
+  END PD
+  PIN PDRV0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.11 349.62 7.49 350 ;
+    END
+  END PDRV0
+  PIN PDRV1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.592 LAYER Metal2 ;
+      ANTENNAGATEAREA 4.2 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 7.82 349.62 8.2 350 ;
+    END
+  END PDRV1
+  PIN PU
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.98 LAYER Metal2 ;
+      ANTENNAGATEAREA 7.35 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 5.965 349.62 6.345 350 ;
+    END
+  END PU
+  PIN SL
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1 LAYER Metal2 ;
+      ANTENNAGATEAREA 3.15 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 68.67 349.62 69.05 350 ;
+    END
+  END SL
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 7.8 LAYER Metal2 ;
+    PORT
+        CLASS CORE ;
+      LAYER Metal2 ;
+        RECT 70.86 349.62 71.24 350 ;
+    END
+  END Y
+  PIN DVDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal5 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 118 75 125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 182 75 197 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 166 75 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 150 75 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 134 75 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 214 75 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 206 75 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 278 75 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 270 75 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 262 75 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 294 75 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 334 75 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 334 1 341 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 294 1 301 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 262 1 269 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 270 1 277 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 278 1 285 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 206 1 213 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 214 1 229 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 134 1 149 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 150 1 165 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 166 1 181 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 182 1 197 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 118 1 125 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 118 1 125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 118 1 125 ;
+    END
+  END DVDD
+  PIN DVSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal5 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 102 75 117 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 86 75 101 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 70 75 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 126 75 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 198 75 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 230 75 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 286 75 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 302 75 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 326 75 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 342 75 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 342 1 348.39 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 326 1 333 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 302 1 309 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 286 1 293 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 230 1 245 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 198 1 205 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 126 1 133 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 70 1 85 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 86 1 101 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 102 1 117 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 102 1 117 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 102 1 117 ;
+    END
+  END DVSS
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal5 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 254 75 261 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 310 75 317 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 310 1 317 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 254 1 261 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 254 1 261 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 254 1 261 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal5 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 246 75 253 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74 318 75 325 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 318 1 325 ;
+    END
+    PORT
+      LAYER Metal5 ;
+        RECT 0 246 1 253 ;
+    END
+    PORT
+      LAYER Metal4 ;
+        RECT 0 246 1 253 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246 1 253 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 75 350 ;
+    LAYER Metal2 ;
+      POLYGON 75 350 71.52 350 71.52 349.34 68.39 349.34 68.39 350 12.045 350 12.045 349.34 11.105 349.34 11.105 350 10.99 350 10.99 349.34 10.05 349.34 10.05 350 8.48 350 8.48 349.34 6.83 349.34 6.83 350 6.625 350 6.625 349.34 5.685 349.34 5.685 350 4.02 350 4.02 349.34 3.08 349.34 3.08 350 0 350 0 0 75 0 ;
+    LAYER Metal3 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Metal4 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Metal5 ;
+      POLYGON 75 69.72 73.72 69.72 73.72 85.28 75 85.28 75 85.72 73.72 85.72 73.72 101.28 75 101.28 75 101.72 73.72 101.72 73.72 117.28 75 117.28 75 117.72 73.72 117.72 73.72 125.28 75 125.28 75 125.72 73.72 125.72 73.72 133.28 75 133.28 75 133.72 73.72 133.72 73.72 149.28 75 149.28 75 149.72 73.72 149.72 73.72 165.28 75 165.28 75 165.72 73.72 165.72 73.72 181.28 75 181.28 75 181.72 73.72 181.72 73.72 197.28 75 197.28 75 197.72 73.72 197.72 73.72 205.28 75 205.28 75 205.72 73.72 205.72 73.72 213.28 75 213.28 75 213.72 73.72 213.72 73.72 229.28 75 229.28 75 229.72 73.72 229.72 73.72 245.28 75 245.28 75 245.72 73.72 245.72 73.72 253.28 75 253.28 75 253.72 73.72 253.72 73.72 261.28 75 261.28 75 261.72 73.72 261.72 73.72 269.28 75 269.28 75 269.72 73.72 269.72 73.72 277.28 75 277.28 75 277.72 73.72 277.72 73.72 285.28 75 285.28 75 285.72 73.72 285.72 73.72 293.28 75 293.28 75 293.72 73.72 293.72 73.72 301.28 75 301.28 75 301.72 73.72 301.72 73.72 309.28 75 309.28 75 309.72 73.72 309.72 73.72 317.28 75 317.28 75 317.72 73.72 317.72 73.72 325.28 75 325.28 75 325.72 73.72 325.72 73.72 333.28 75 333.28 75 333.72 73.72 333.72 73.72 341.28 75 341.28 75 341.72 73.72 341.72 73.72 348.67 75 348.67 75 350 0 350 0 348.67 1.28 348.67 1.28 341.72 0 341.72 0 341.28 1.28 341.28 1.28 333.72 0 333.72 0 333.28 1.28 333.28 1.28 325.72 0 325.72 0 325.28 1.28 325.28 1.28 317.72 0 317.72 0 317.28 1.28 317.28 1.28 309.72 0 309.72 0 309.28 1.28 309.28 1.28 301.72 0 301.72 0 301.28 1.28 301.28 1.28 293.72 0 293.72 0 293.28 1.28 293.28 1.28 285.72 0 285.72 0 285.28 1.28 285.28 1.28 277.72 0 277.72 0 277.28 1.28 277.28 1.28 269.72 0 269.72 0 269.28 1.28 269.28 1.28 261.72 0 261.72 0 261.28 1.28 261.28 1.28 253.72 0 253.72 0 253.28 1.28 253.28 1.28 245.72 0 245.72 0 245.28 1.28 245.28 1.28 229.72 0 229.72 0 229.28 1.28 229.28 1.28 213.72 0 213.72 0 213.28 1.28 213.28 1.28 205.72 0 205.72 0 205.28 1.28 205.28 1.28 197.72 0 197.72 0 197.28 1.28 197.28 1.28 181.72 0 181.72 0 181.28 1.28 181.28 1.28 165.72 0 165.72 0 165.28 1.28 165.28 1.28 149.72 0 149.72 0 149.28 1.28 149.28 1.28 133.72 0 133.72 0 133.28 1.28 133.28 1.28 125.72 0 125.72 0 125.28 1.28 125.28 1.28 117.72 0 117.72 0 117.28 1.28 117.28 1.28 101.72 0 101.72 0 101.28 1.28 101.28 1.28 85.72 0 85.72 0 85.28 1.28 85.28 1.28 69.72 0 69.72 0 0 75 0 ;
+    LAYER Via1 ;
+      RECT 0 0 75 350 ;
+    LAYER Via2 ;
+      RECT 0 0 75 350 ;
+    LAYER Via3 ;
+      RECT 0 0 75 350 ;
+    LAYER Via4 ;
+      RECT 0 0 75 350 ;
+  END
+
+END gf180mcu_ef_io__bi_t
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_2v75.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_2v75.lib
new file mode 100644
index 0000000..9a3416f
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_2v75.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_fd_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 3.939400e-02;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.039394";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023238;
+			rise_capacitance_range(0.022653,0.024195);
+			capacitance : 0.022907;
+			fall_capacitance : 0.022575;
+			fall_capacitance_range(0.021836,0.023066);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.116303, 0.836292, 0.861308");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.209767, 0.743659, 0.746210");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031685;
+			rise_capacitance_range(0.031139,0.032595);
+			capacitance : 0.031317;
+			fall_capacitance : 0.030948;
+			fall_capacitance_range(0.030316,0.031724);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.109858, 0.957957, 0.999689");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.242139, 1.061836, 1.087569");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014701;
+			rise_capacitance_range(0.013905,0.015702);
+			capacitance : 0.014294;
+			fall_capacitance : 0.013886;
+			fall_capacitance_range(0.013259,0.014239);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.152479, 0.529057, 0.541025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.185115, 0.459010, 0.500074");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.087734;
+			rise_capacitance_range(0.082015,0.097429);
+			capacitance : 0.087607;
+			fall_capacitance : 0.086975;
+			fall_capacitance_range(0.082831,0.090532);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.078027;
+			rise_capacitance_range(0.063172,0.089536);
+			capacitance : 0.078067;
+			fall_capacitance : 0.078108;
+			fall_capacitance_range(0.059850,0.093477);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.194136, 0.132939, 0.130705");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.549991, 1.057534, 1.161460");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.048281;
+			rise_capacitance_range(0.047412,0.048808);
+			capacitance : 0.049496;
+			fall_capacitance : 0.050710;
+			fall_capacitance_range(0.048354,0.052809);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.021410, 0.587133, 0.622873");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.457221, 0.917720, 0.940976");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.036795;
+			rise_capacitance_range(0.035583,0.038533);
+			capacitance : 0.036766;
+			fall_capacitance : 0.036736;
+			fall_capacitance_range(0.035490,0.037709);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.090313, -0.085608, -0.087392");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.101659, 0.104680, 0.102922");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030420;
+			rise_capacitance_range(0.030249,0.030536);
+			capacitance : 0.030623;
+			fall_capacitance : 0.030825;
+			fall_capacitance_range(0.029911,0.031808);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.074257, -0.074593, -0.074271");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.079824, 0.074634, 0.073389");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032478;
+			rise_capacitance_range(0.032295,0.032604);
+			capacitance : 0.032702;
+			fall_capacitance : 0.032926;
+			fall_capacitance_range(0.031922,0.034002);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.086899, -0.087487, -0.087351");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.093391, 0.087473, 0.086104");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 3.155283;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.068333, 17.833063, 17.268043, 17.013003, 15.662033, 15.196613", \
+					  "18.114548, 17.847668, 17.444218, 16.632108, 15.946578, 15.110368", \
+					  "18.101532, 17.861992, 17.397812, 17.252182, 16.626202, 13.779732");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.817401, 19.994191, 20.043131, 20.066261, 20.043941, 20.024441", \
+					  "19.787250, 19.995340, 20.039720, 20.068270, 20.067050, 19.836030", \
+					  "19.814698, 20.007298, 20.048528, 20.055938, 20.058228, 20.040618");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.925133, 17.708673, 17.257193, 16.613683, 15.957663, 15.169663", \
+					  "18.015638, 17.678128, 17.130878, 16.953328, 16.201788, 15.278358", \
+					  "17.929152, 17.717932, 17.238672, 16.868312, 15.930492, 14.843162");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.766371, 19.494491, 19.749631, 19.805871, 19.825041, 19.769421", \
+					  "18.712800, 19.450850, 19.822310, 19.859790, 19.241350, 20.644520", \
+					  "18.650278, 19.561158, 19.729598, 19.739908, 19.697008, 19.994728");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.614363, 28.884573, 28.800763, 28.502013, 28.230403, 27.786053", \
+					  "28.604918, 28.864998, 28.749568, 28.477248, 28.133788, 27.626348", \
+					  "28.638492, 29.031692, 28.561462, 28.443642, 28.112192, 27.715742");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.428281, 30.427661, 30.692031, 30.814741, 30.912351, 30.940811", \
+					  "29.408250, 30.418930, 30.765680, 30.734370, 30.949930, 30.948860", \
+					  "29.448938, 30.445398, 30.660588, 30.872948, 30.864648, 30.930608");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.387113, 28.334503, 28.281183, 28.188233, 27.895803, 27.309293", \
+					  "27.512018, 28.413248, 28.588648, 27.959618, 27.616458, 27.472388", \
+					  "27.396702, 28.257262, 28.233572, 28.219932, 27.910312, 27.260322");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.619331, 27.509371, 28.166861, 29.176701, 29.305301, 29.599131", \
+					  "25.491320, 27.359070, 28.455730, 28.900100, 29.202210, 29.622560", \
+					  "25.541738, 26.787618, 28.030568, 29.078098, 29.500458, 29.667218");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.322723, 23.276013, 23.141943, 22.976033, 21.492363, 22.093803", \
+					  "23.411718, 23.287318, 23.080368, 22.582448, 22.060258, 21.450988", \
+					  "23.394442, 23.320842, 23.037432, 22.663672, 22.344662, 22.403692");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.801481, 25.315741, 25.434141, 25.505141, 25.524631, 25.531201", \
+					  "24.885250, 25.339160, 25.424890, 25.511050, 25.523590, 25.488820", \
+					  "24.867658, 25.319878, 25.453018, 25.524188, 25.546248, 25.550728");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.720623, 23.094053, 22.845433, 22.420433, 22.018403, 21.212763", \
+					  "22.826038, 23.078158, 22.785338, 22.296408, 22.647758, 20.788808", \
+					  "22.731942, 23.134822, 22.839882, 22.434562, 22.027472, 21.195082");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.126361, 23.430181, 24.434161, 24.615081, 24.950261, 24.995831", \
+					  "22.103180, 23.320980, 24.319200, 24.558380, 24.815820, 25.354800", \
+					  "22.116008, 23.639148, 24.383288, 24.703638, 24.844068, 24.946178");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("33.924903, 33.926483, 34.579253, 33.671443, 33.738313, 33.517883", \
+					  "33.530028, 34.103388, 34.218898, 34.004348, 33.730408, 33.398128", \
+					  "33.985062, 33.994542, 33.909512, 33.610962, 33.766202, 33.566872");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("33.797781, 35.433821, 35.862651, 36.067581, 36.179831, 36.256411", \
+					  "33.842610, 35.354730, 35.941560, 35.914620, 36.194840, 36.343100", \
+					  "33.861728, 35.417848, 35.886798, 36.058758, 36.210938, 36.255248");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.713193, 33.342893, 33.451723, 33.521023, 33.243713, 33.088223", \
+					  "31.531138, 33.522388, 33.150328, 33.822998, 33.043858, 32.858138", \
+					  "31.535882, 32.998652, 33.668522, 33.352922, 33.296662, 33.098642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.026741, 30.034261, 31.703861, 33.690971, 33.665301, 34.487211", \
+					  "28.791690, 30.382750, 31.461730, 33.379860, 33.642630, 33.881120", \
+					  "28.757088, 30.009218, 32.460238, 32.659608, 33.892838, 34.233048");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.288080, 16.953930, 16.390860, 16.119420, 15.388300, 13.591900", \
+					  "14.578305, 14.254155, 13.767045, 13.119255, 12.403325, 11.523205", \
+					  "13.737279, 13.406879, 12.913319, 12.472329, 11.757599, 10.336979");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.167442, 1.159495, 1.155588, 1.153522, 1.152244, 1.151377", \
+					  "0.824172, 1.146691, 1.228961, 1.265933, 1.286913, 1.300430", \
+					  "1.410448, 1.733010, 1.813810, 1.851453, 1.873253, 1.887445");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.186410, 16.864150, 16.408390, 15.644910, 15.093650, 14.024480", \
+					  "14.452665, 14.145775, 13.619955, 12.858375, 12.462815, 12.003015", \
+					  "13.606299, 13.301639, 12.755799, 12.184069, 11.219629, 10.756739");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.153809, 1.130548, 1.127706, 1.127400, 1.127167, 1.127002", \
+					  "0.828383, 1.173590, 1.253287, 1.288862, 1.309046, 1.322075", \
+					  "1.406422, 1.700996, 1.792795, 1.835658, 1.860317, 1.876316");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.639680, 25.522670, 25.212420, 24.808090, 24.484900, 23.921710", \
+					  "22.933585, 22.657745, 22.503485, 22.017305, 21.636345, 21.380265", \
+					  "22.021439, 21.910009, 21.622329, 21.209429, 20.809379, 20.381199");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.958918, 1.953394, 1.951626, 1.950915, 1.950256, 1.949668", \
+					  "4.556771, 5.422774, 5.702762, 5.841690, 5.925350, 5.981092", \
+					  "5.497557, 6.461519, 6.783509, 6.949160, 7.046820, 7.111306");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.391120, 24.967950, 24.800060, 24.414460, 23.949400, 23.461220", \
+					  "21.620005, 22.075735, 22.048405, 21.579575, 21.147265, 20.849165", \
+					  "20.773809, 21.452099, 21.203449, 20.929839, 20.361119, 19.856319");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.952360, 1.959960, 1.966557, 1.964779, 1.962714, 1.961016", \
+					  "4.541367, 5.386822, 5.669466, 5.822826, 5.917114, 5.981261", \
+					  "5.603326, 6.495991, 6.870838, 7.030429, 7.110933, 7.162618");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.103920, 21.767420, 21.435750, 20.988580, 20.449500, 19.938450", \
+					  "19.271305, 18.923345, 18.613965, 18.111225, 17.690765, 17.102985", \
+					  "18.338719, 18.153739, 17.717669, 17.306769, 17.085529, 15.732689");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.972575, 1.962689, 1.960017, 1.958520, 1.957461, 1.956645", \
+					  "3.003522, 3.655881, 3.835401, 3.919375, 3.968211, 4.000153", \
+					  "3.645011, 4.274739, 4.452738, 4.536236, 4.584438, 4.615869");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.433700, 21.519190, 21.160850, 20.758760, 19.980920, 19.673100", \
+					  "18.624045, 18.690695, 18.330305, 17.946565, 17.106185, 16.871855", \
+					  "17.700829, 17.817359, 17.474929, 16.986729, 16.565889, 15.798369");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.963303, 1.950571, 1.949651, 1.949836, 1.950385, 1.950283", \
+					  "3.032263, 3.657577, 3.832021, 3.915819, 3.964491, 3.995966", \
+					  "3.611220, 4.304506, 4.510591, 4.602481, 4.655022, 4.689287");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.253700, 30.188670, 29.895550, 29.595520, 29.190800, 28.794990", \
+					  "27.405625, 27.292935, 27.027815, 26.799505, 26.333045, 25.954655", \
+					  "26.469099, 26.461989, 26.186769, 25.861519, 25.541099, 25.077919");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.766193, 2.764497, 2.767252, 2.766475, 2.765214, 2.764150", \
+					  "6.613196, 7.698380, 8.111880, 8.336700, 8.476960, 8.572290", \
+					  "7.625159, 8.850866, 9.308236, 9.540806, 9.681536, 9.776656");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.125090, 29.094110, 29.201350, 28.981790, 28.779130, 28.333270", \
+					  "25.256185, 26.295745, 26.389505, 26.080175, 25.734695, 25.356985", \
+					  "24.399489, 25.375369, 25.564289, 25.249069, 24.902449, 24.544829");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.770241, 2.754120, 2.754754, 2.755944, 2.756824, 2.757828", \
+					  "6.636855, 7.787190, 8.082690, 8.288500, 8.433510, 8.535500", \
+					  "7.744636, 8.928206, 9.387306, 9.633116, 9.788116, 9.894486");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.276280, 17.392120, 17.348990, 17.566590, 17.098090, 17.329980", \
+					  "14.615535, 14.736005, 14.716205, 14.841095, 14.624655, 14.827045", \
+					  "13.848999, 13.944929, 13.977939, 13.952859, 13.995689, 13.946169");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.048267, -44.344933, -89.723863, -135.100453, -180.476253, -225.851753", \
+					  "-0.001212, -45.562890, -90.983540, -136.379410, -181.766410, -227.149210", \
+					  "0.228244, -45.435984, -90.882294, -136.290364, -181.684264, -227.071564");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.240260, 16.910160, 17.037080, 17.020300, 17.090370, 17.317720", \
+					  "13.570665, 14.247815, 14.451265, 14.435255, 14.319375, 14.659675", \
+					  "12.778419, 13.477629, 13.663589, 13.677669, 13.580289, 13.849019");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.994979, -44.403553, -89.785083, -135.163053, -180.539853, -225.915953", \
+					  "-0.008192, -45.531110, -90.962770, -136.354910, -181.739510, -227.120810", \
+					  "0.462088, -45.054314, -90.471334, -135.866364, -181.253164, -226.635864");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.653020, 24.250670, 24.356080, 24.373790, 24.414810, 24.418290", \
+					  "20.927465, 21.576215, 21.837225, 21.666645, 21.828255, 21.776895", \
+					  "20.158749, 20.792959, 20.753799, 21.019739, 20.879609, 20.943699");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.227103, -43.205143, -88.595653, -133.963253, -179.339553, -224.715353", \
+					  "3.822738, -41.759630, -87.222570, -132.650910, -178.054810, -223.450610", \
+					  "4.647476, -40.980474, -87.059934, -132.462064, -177.860964, -223.256364");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.118850, 21.056520, 21.916960, 22.543210, 22.896780, 23.156850", \
+					  "17.371685, 18.300545, 19.218615, 19.882265, 20.316255, 20.482645", \
+					  "16.521669, 17.521089, 18.431239, 19.091819, 19.523229, 19.737189");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.171577, -43.264673, -88.629683, -134.001053, -179.374153, -224.747553", \
+					  "3.816277, -41.770410, -87.210930, -132.620610, -178.016610, -223.405910", \
+					  "4.445142, -41.301554, -86.805984, -132.234164, -177.636464, -223.027864");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.762860, 20.987120, 21.018710, 21.098080, 21.135800, 20.984740", \
+					  "18.101915, 18.330915, 18.356275, 18.439125, 18.466745, 18.322145", \
+					  "17.336349, 17.564659, 17.615929, 17.665709, 17.686069, 17.624939");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.175739, -43.226663, -88.610253, -133.989553, -179.367253, -224.744053", \
+					  "2.029475, -43.609660, -89.064660, -134.477710, -179.874910, -225.264410", \
+					  "2.419884, -43.218054, -88.665624, -134.075164, -179.466464, -224.852264");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.316480, 19.287160, 19.921300, 20.238870, 20.437690, 20.415400", \
+					  "15.590015, 16.609405, 17.239395, 17.620435, 17.754225, 17.850555", \
+					  "14.769109, 15.855059, 16.512329, 16.822519, 17.013789, 16.969439");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.139977, -43.251983, -88.630313, -134.007753, -179.385253, -224.761853", \
+					  "2.066040, -43.558750, -89.011980, -134.424310, -179.820810, -225.209710", \
+					  "2.423813, -43.213474, -88.628354, -134.010164, -179.388664, -224.765864");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("26.607700, 27.715690, 27.819910, 27.888090, 27.951030, 28.034970", \
+					  "23.957435, 25.048595, 25.167015, 25.221305, 25.294485, 25.371105", \
+					  "23.229069, 24.314399, 24.385369, 24.546399, 24.509309, 24.631479");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.365378, -42.043843, -87.435683, -132.818553, -178.197853, -223.575553", \
+					  "5.829520, -39.808590, -85.291050, -130.727810, -176.141010, -221.542210", \
+					  "6.317906, -39.305544, -84.754524, -130.120064, -175.535664, -220.940064");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.276860, 23.144510, 24.107040, 24.835120, 25.487380, 25.950070", \
+					  "19.496155, 20.412125, 21.396445, 22.159145, 22.811215, 23.264225", \
+					  "18.634279, 19.598789, 20.604149, 21.411099, 22.025169, 22.456829");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.293164, -42.117623, -87.505893, -132.887253, -178.266053, -223.643553", \
+					  "5.886397, -39.769620, -85.263270, -130.698910, -176.111310, -221.511710", \
+					  "6.460126, -39.190784, -84.673334, -130.103464, -175.511964, -220.908964");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("50.919096, 51.600063, 51.406384, 50.726466, 49.559674, 48.843573", \
+					  "50.886511, 51.698823, 51.287061, 50.682126, 49.845224, 48.495881", \
+					  "50.928302, 51.579434, 51.221716, 50.711547, 50.003549, 48.465812");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("50.845937, 52.909930, 54.021617, 54.935587, 55.101665, 55.401115", \
+					  "50.755587, 52.905452, 54.057705, 54.731610, 54.909355, 55.529953", \
+					  "50.764533, 52.796891, 54.163156, 54.673271, 55.153608, 55.404593");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.479736, -19.872541, -65.551399, -111.327424, -157.203703, -203.277063", \
+					  "23.447845, -22.129316, -67.838786, -113.727825, -159.626368, -205.451556", \
+					  "23.056455, -22.477638, -68.312854, -114.120258, -160.011865, -206.121721");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.619644, 23.297403, 23.644903, 23.898930, 24.016777, 24.153249", \
+					  "21.708425, 23.148816, 23.762363, 24.118122, 24.337101, 24.530382", \
+					  "21.727553, 23.227418, 23.858021, 24.270894, 24.476698, 24.646593");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.826341, 5.202250, 6.568114, 7.938193, 9.302573, 10.672090", \
+					  "3.902467, 5.278804, 6.647107, 8.010112, 9.376007, 10.743750", \
+					  "3.940940, 5.317916, 6.686064, 8.048199, 9.417795, 10.777520");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.750145, 4.567924, 7.443877, 10.334520, 13.229360, 16.114320", \
+					  "1.744989, 4.571548, 7.457706, 10.322250, 13.190710, 16.112160", \
+					  "1.750153, 4.578141, 7.448169, 10.309440, 13.192500, 16.107960");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("4.007156, 5.104201, 6.151329, 7.192851, 8.234471, 9.276738", \
+					  "4.138212, 5.236273, 6.280636, 7.321621, 8.362650, 9.399755", \
+					  "4.308971, 5.406875, 6.451903, 7.492044, 8.534381, 9.574328");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.446968, 3.403444, 5.426994, 7.501071, 9.539209, 11.591640", \
+					  "1.445539, 3.398951, 5.424397, 7.482310, 9.518347, 11.618270", \
+					  "1.445376, 3.402532, 5.421233, 7.480727, 9.522625, 11.613710");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("4.559165, 6.215383, 7.611457, 8.982610, 10.356330, 11.724700", \
+					  "4.634113, 6.290886, 7.686594, 9.056369, 10.431780, 11.799370", \
+					  "4.672489, 6.329302, 7.724497, 9.095052, 10.470500, 11.838300");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.713406, 5.227201, 7.897340, 10.630940, 13.457950, 16.287900", \
+					  "2.714417, 5.231215, 7.884770, 10.629380, 13.463930, 16.304130", \
+					  "2.714332, 5.226561, 7.888321, 10.617190, 13.463350, 16.300130");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("4.241470, 6.200347, 7.767757, 9.106035, 10.325230, 11.473170", \
+					  "4.372004, 6.330906, 7.898064, 9.236634, 10.455570, 11.604840", \
+					  "4.542312, 6.501851, 8.070012, 9.409552, 10.625700, 11.774610");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.331608, 5.206037, 7.424940, 9.455660, 11.398260, 13.376820", \
+					  "2.328377, 5.202661, 7.424110, 9.451667, 11.409580, 13.351990", \
+					  "2.332901, 5.201560, 7.429224, 9.442044, 11.419730, 13.336450");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.263209, 3.770129, 4.233133, 4.691455, 5.146743, 5.603423", \
+					  "3.338707, 3.845781, 4.308750, 4.767340, 5.222898, 5.678015", \
+					  "3.377059, 3.884121, 4.347153, 4.805772, 5.261524, 5.716823");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.804191, 1.661901, 2.584841, 3.513881, 4.475788, 5.432196", \
+					  "0.801931, 1.660443, 2.584562, 3.524999, 4.462425, 5.416033", \
+					  "0.802928, 1.660801, 2.584459, 3.526021, 4.466953, 5.415962");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.497347, 3.998600, 4.389758, 4.753977, 5.108855, 5.459348", \
+					  "3.627598, 4.129496, 4.520384, 4.885056, 5.239010, 5.589061", \
+					  "3.798581, 4.300265, 4.691170, 5.055836, 5.409812, 5.759853");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.757744, 1.408749, 2.040477, 2.690862, 3.345556, 4.024165", \
+					  "0.758006, 1.411335, 2.043479, 2.686150, 3.350884, 4.018391", \
+					  "0.757477, 1.410605, 2.043303, 2.686737, 3.350951, 4.018390");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.425627, 4.466657, 5.117319, 5.656277, 6.151347, 6.625442", \
+					  "3.500512, 4.542229, 5.192357, 5.731258, 6.226410, 6.700493", \
+					  "3.539263, 4.580290, 5.230944, 5.769868, 6.264931, 6.739062");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.500836, 2.627187, 3.482126, 4.308410, 5.143634, 6.006940", \
+					  "1.501674, 2.630280, 3.481783, 4.308035, 5.142698, 6.009121", \
+					  "1.500672, 2.627115, 3.482047, 4.308355, 5.143714, 6.007363");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.451907, 4.189454, 4.886229, 5.536337, 6.136870, 6.693569", \
+					  "3.582802, 4.319864, 5.017039, 5.666926, 6.267876, 6.824237", \
+					  "3.753430, 4.490083, 5.188351, 5.836804, 6.437032, 6.994685");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.878134, 2.191928, 3.289191, 4.232287, 5.078852, 5.866864", \
+					  "0.877807, 2.190058, 3.287638, 4.234189, 5.079818, 5.854936", \
+					  "0.877694, 2.188391, 3.291382, 4.230947, 5.073391, 5.862518");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.424747, 4.137335, 4.824126, 5.509061, 6.192374, 6.875193", \
+					  "3.500046, 4.212260, 4.899841, 5.583997, 6.268065, 6.951152", \
+					  "3.538581, 4.251104, 4.937315, 5.620875, 6.306496, 6.990327");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.015438, 2.372558, 3.774164, 5.214845, 6.654844, 8.096554", \
+					  "1.017343, 2.366909, 3.783417, 5.212508, 6.657639, 8.098644", \
+					  "1.016027, 2.371637, 3.787058, 5.212021, 6.655317, 8.096442");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.643111, 4.280413, 4.826289, 5.352714, 5.876720, 6.397773", \
+					  "3.774340, 4.411514, 4.955902, 5.484110, 6.005229, 6.526531", \
+					  "3.945172, 4.582273, 5.126620, 5.654789, 6.176303, 6.697584");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.933802, 1.885653, 2.861103, 3.850466, 4.870753, 5.880996", \
+					  "0.934906, 1.883643, 2.855052, 3.859874, 4.867481, 5.886350", \
+					  "0.934633, 1.883262, 2.853593, 3.860077, 4.866378, 5.885293");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.801950, 4.993427, 5.806596, 6.528586, 7.228066, 7.917430", \
+					  "3.876812, 5.069096, 5.881245, 6.604037, 7.302827, 7.992666", \
+					  "3.915646, 5.111682, 5.920227, 6.642209, 7.341728, 8.031060");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.879203, 3.290950, 4.530568, 5.821507, 7.135222, 8.498065", \
+					  "1.880694, 3.292181, 4.529880, 5.823409, 7.143316, 8.502363", \
+					  "1.879151, 3.290326, 4.530026, 5.821172, 7.134450, 8.497692");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.643566, 4.722053, 5.703228, 6.573607, 7.352013, 8.065607", \
+					  "3.774276, 4.853213, 5.833558, 6.704142, 7.484394, 8.198919", \
+					  "3.944320, 5.023490, 6.003557, 6.875126, 7.653931, 8.367115");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.254857, 3.079519, 4.488117, 5.704120, 6.803800, 7.844880", \
+					  "1.254003, 3.079254, 4.493211, 5.698361, 6.813986, 7.852005", \
+					  "1.252780, 3.074295, 4.485727, 5.699853, 6.804843, 7.847766");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.176152, 3.588983, 3.943207, 4.288555, 4.632657, 4.975365", \
+					  "3.251690, 3.664226, 4.019003, 4.363643, 4.708292, 5.051012", \
+					  "3.289907, 3.702785, 4.056883, 4.402441, 4.746323, 5.089037");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.716239, 1.321177, 1.995497, 2.691065, 3.392202, 4.101139", \
+					  "0.713420, 1.321836, 1.995276, 2.689465, 3.393527, 4.105164", \
+					  "0.716208, 1.321027, 1.994754, 2.691333, 3.393642, 4.102649");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.395251, 3.834505, 4.152451, 4.438846, 4.711861, 4.979587", \
+					  "3.526250, 3.965433, 4.283122, 4.569778, 4.842954, 5.110129", \
+					  "3.697081, 4.136148, 4.454342, 4.740173, 5.014302, 5.281757");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.654797, 1.175644, 1.643566, 2.121430, 2.600822, 3.091241", \
+					  "0.654598, 1.175745, 1.646799, 2.119403, 2.603904, 3.095598", \
+					  "0.654860, 1.175857, 1.644779, 2.118287, 2.600542, 3.096493");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.217192, 4.140087, 4.722697, 5.179841, 5.583439, 5.960667", \
+					  "3.292134, 4.214597, 4.797949, 5.254894, 5.658100, 6.035715", \
+					  "3.329277, 4.252879, 4.836278, 5.293131, 5.696390, 6.073965");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.253503, 2.259010, 2.946412, 3.572592, 4.193756, 4.821230", \
+					  "1.249634, 2.258802, 2.946948, 3.573277, 4.192324, 4.820138", \
+					  "1.251484, 2.258804, 2.946982, 3.573243, 4.192157, 4.820953");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.345681, 3.913911, 4.450758, 4.962202, 5.445720, 5.901946", \
+					  "3.476516, 4.044926, 4.580402, 5.092915, 5.576950, 6.033218", \
+					  "3.647109, 4.214756, 4.750226, 5.263817, 5.746957, 6.203028");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.692103, 1.702814, 2.599617, 3.379779, 4.078533, 4.729444", \
+					  "0.692165, 1.699107, 2.599810, 3.382067, 4.080885, 4.732125", \
+					  "0.691541, 1.698934, 2.595884, 3.381531, 4.078690, 4.729060");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.317221, 4.687297, 6.055396, 7.418743, 8.787121, 10.150120", \
+					  "3.356188, 4.727292, 6.094343, 7.455610, 8.823466, 10.187040", \
+					  "3.375131, 4.745470, 6.113360, 7.475778, 8.844638, 10.205600");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.765215, 4.579670, 7.458028, 10.323980, 13.208310, 16.074230", \
+					  "1.760408, 4.571951, 7.455675, 10.313640, 13.176910, 16.117910", \
+					  "1.764001, 4.575575, 7.453460, 10.317350, 13.203280, 16.091090");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("4.038012, 5.702841, 7.102870, 8.475989, 9.837228, 11.200290", \
+					  "4.078415, 5.743801, 7.139051, 8.508900, 9.884178, 11.251670", \
+					  "4.096988, 5.761075, 7.160865, 8.533738, 9.895141, 11.265970");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.772600, 5.286651, 7.905856, 10.657050, 13.481370, 16.314650", \
+					  "2.770839, 5.274011, 7.910061, 10.638040, 13.472090, 16.308120", \
+					  "2.771219, 5.285731, 7.914894, 10.674190, 13.459450, 16.290010");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.834271, 3.338613, 3.799208, 4.255776, 4.710619, 5.165187", \
+					  "2.889574, 3.394527, 3.854384, 4.310925, 4.766437, 5.222061", \
+					  "2.917377, 3.423580, 3.884096, 4.340643, 4.795015, 5.249276");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.864990, 1.684216, 2.591928, 3.531952, 4.473401, 5.421528", \
+					  "0.865673, 1.686829, 2.597235, 3.528177, 4.481355, 5.434795", \
+					  "0.866591, 1.688486, 2.595285, 3.532702, 4.466143, 5.421337");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.977536, 4.024562, 4.678751, 5.221578, 5.717602, 6.191387", \
+					  "3.034567, 4.080681, 4.737191, 5.278897, 5.774822, 6.249414", \
+					  "3.063670, 4.109515, 4.764069, 5.307078, 5.802263, 6.277103");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.522871, 2.679531, 3.536900, 4.360521, 5.186922, 6.044677", \
+					  "1.526422, 2.677436, 3.536695, 4.357963, 5.186954, 6.054149", \
+					  "1.523882, 2.677544, 3.532084, 4.357671, 5.195670, 6.049381");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.903675, 3.610881, 4.295142, 4.978923, 5.661251, 6.343475", \
+					  "2.942176, 3.649482, 4.333042, 5.017409, 5.698929, 6.381370", \
+					  "2.960700, 3.668265, 4.352192, 5.034947, 5.716875, 6.399590");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.056819, 2.383122, 3.778788, 5.219059, 6.654782, 8.095908", \
+					  "1.056772, 2.385070, 3.787954, 5.221566, 6.645541, 8.089254", \
+					  "1.058591, 2.373503, 3.788271, 5.206142, 6.653810, 8.091074");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("3.253365, 4.461668, 5.277354, 6.001418, 6.699933, 7.389584", \
+					  "3.292694, 4.500465, 5.316446, 6.040240, 6.739135, 7.428527", \
+					  "3.312025, 4.519630, 5.335097, 6.059340, 6.757379, 7.447320");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.916650, 3.353439, 4.578860, 5.860609, 7.167266, 8.520301", \
+					  "1.917131, 3.353224, 4.577986, 5.860084, 7.162552, 8.518534", \
+					  "1.916065, 3.352340, 4.579314, 5.859502, 7.170152, 8.522068");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.710978, 3.127287, 3.479399, 3.823550, 4.164553, 4.505810", \
+					  "2.761529, 3.179712, 3.531935, 3.876134, 4.217005, 4.558780", \
+					  "2.787323, 3.206755, 3.559113, 3.903365, 4.244860, 4.586279");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.786857, 1.361900, 2.018243, 2.699904, 3.405796, 4.113644", \
+					  "0.787956, 1.365367, 2.018470, 2.702648, 3.407160, 4.114978", \
+					  "0.787246, 1.366317, 2.017688, 2.707980, 3.404915, 4.110309");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.740216, 3.657254, 4.248281, 4.707549, 5.113714, 5.492474", \
+					  "2.793176, 3.711110, 4.300338, 4.760876, 5.168235, 5.545683", \
+					  "2.821040, 3.738332, 4.327531, 4.788018, 5.195803, 5.573486");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.269858, 2.300755, 3.001984, 3.629368, 4.242946, 4.868262", \
+					  "1.273353, 2.301140, 3.000903, 3.632003, 4.245817, 4.870754", \
+					  "1.273662, 2.300669, 3.000854, 3.630848, 4.245703, 4.867866");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.635492, 3.732288, 4.778495, 5.819762, 6.860605, 7.903052", \
+					  "2.709640, 3.806822, 4.852788, 5.892956, 6.935072, 7.976957", \
+					  "2.748503, 3.845347, 4.891541, 5.932757, 6.973705, 8.016054");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.478288, 3.412752, 5.429558, 7.463199, 9.547485, 11.613520", \
+					  "1.477674, 3.416572, 5.436672, 7.468327, 9.542429, 11.609660", \
+					  "1.477711, 3.412907, 5.430438, 7.463304, 9.547414, 11.613440");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.849108, 4.807111, 6.381179, 7.726958, 8.944971, 10.094270", \
+					  "2.923491, 4.882329, 6.458512, 7.799093, 9.021183, 10.170250", \
+					  "2.962016, 4.920854, 6.495466, 7.837377, 9.058794, 10.208500");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.343368, 5.218652, 7.440418, 9.473053, 11.414340, 13.338850", \
+					  "2.340391, 5.223515, 7.450559, 9.475940, 11.425510, 13.374590", \
+					  "2.343316, 5.224621, 7.445147, 9.479238, 11.429170, 13.375220");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.227306, 2.742265, 3.135786, 3.500893, 3.855179, 4.206112", \
+					  "2.300689, 2.815745, 3.209287, 3.574429, 3.928371, 4.279404", \
+					  "2.339514, 2.854836, 3.248600, 3.613768, 3.967408, 4.318172");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.799868, 1.456370, 2.076092, 2.713189, 3.370724, 4.033972", \
+					  "0.799321, 1.456414, 2.073715, 2.715521, 3.369158, 4.031688", \
+					  "0.798352, 1.456032, 2.077639, 2.718749, 3.363448, 4.025557");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.191141, 2.916897, 3.608796, 4.257306, 4.859136, 5.415873", \
+					  "2.264350, 2.989401, 3.682369, 4.330397, 4.931666, 5.489681", \
+					  "2.303777, 3.028956, 3.720841, 4.370029, 4.971328, 5.529702");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.912482, 2.201284, 3.298050, 4.238755, 5.096302, 5.875151", \
+					  "0.914349, 2.204514, 3.299307, 4.238772, 5.089283, 5.875597", \
+					  "0.914228, 2.198189, 3.297597, 4.244096, 5.093206, 5.867556");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.245815, 2.885927, 3.430947, 3.958609, 4.479757, 4.999818", \
+					  "2.319645, 2.959789, 3.504791, 4.032453, 4.553582, 5.073642", \
+					  "2.358720, 2.998608, 3.543867, 4.071511, 4.592949, 5.113019");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.977010, 1.912123, 2.876646, 3.862568, 4.878301, 5.895179", \
+					  "0.977055, 1.912205, 2.876572, 3.862255, 4.878285, 5.895135", \
+					  "0.977480, 1.910686, 2.877293, 3.866265, 4.877784, 5.895120");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.234165, 3.303439, 4.284894, 5.158397, 5.941008, 6.658619", \
+					  "2.308444, 3.376521, 4.359282, 5.232051, 6.014710, 6.730435", \
+					  "2.346637, 3.415236, 4.397081, 5.272266, 6.052777, 6.768496");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.266413, 3.089784, 4.509864, 5.727054, 6.834005, 7.876110", \
+					  "1.265790, 3.090688, 4.506129, 5.724647, 6.813415, 7.876497", \
+					  "1.264214, 3.091400, 4.506485, 5.721051, 6.831104, 7.867890");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.074937, 2.525080, 2.847935, 3.135742, 3.409999, 3.677349", \
+					  "2.148609, 2.598708, 2.921543, 3.209320, 3.483611, 3.750969", \
+					  "2.188218, 2.638139, 2.960721, 3.248519, 3.522971, 3.790661");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.700125, 1.219511, 1.689123, 2.156042, 2.628719, 3.112050", \
+					  "0.700135, 1.219424, 1.689014, 2.155574, 2.629452, 3.110616", \
+					  "0.701682, 1.219155, 1.687511, 2.156804, 2.635689, 3.121847");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("2.035791, 2.594864, 3.125469, 3.637296, 4.121702, 4.579586", \
+					  "2.109644, 2.668691, 3.199082, 3.711141, 4.195556, 4.653396", \
+					  "2.148469, 2.707476, 3.238475, 3.750096, 4.235033, 4.692912");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("0.743102, 1.722253, 2.618427, 3.395114, 4.097586, 4.751604", \
+					  "0.742690, 1.722518, 2.618029, 3.395719, 4.098658, 4.751556", \
+					  "0.740613, 1.716505, 2.616144, 3.400326, 4.103295, 4.749951");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.466649, 1.466650, 1.466651, 1.466652, 1.466653, 1.466654", \
+					  "1.594768, 1.594769, 1.594770, 1.594771, 1.594772, 1.594773", \
+					  "1.759007, 1.759008, 1.759009, 1.759010, 1.759011, 1.759012");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.447119, 1.447120, 1.447121, 1.447122, 1.447123, 1.447124", \
+					  "1.574796, 1.574797, 1.574798, 1.574799, 1.574800, 1.574801", \
+					  "1.743992, 1.743993, 1.743994, 1.743995, 1.743996, 1.743997");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.591298, 1.591299, 1.591300, 1.591301, 1.591302, 1.591303", \
+					  "1.719254, 1.719255, 1.719256, 1.719257, 1.719258, 1.719259", \
+					  "1.892857, 1.892858, 1.892859, 1.892860, 1.892861, 1.892862");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.582937, 1.582938, 1.582939, 1.582940, 1.582941, 1.582942", \
+					  "1.710839, 1.710840, 1.710841, 1.710842, 1.710843, 1.710844", \
+					  "1.882493, 1.882494, 1.882495, 1.882496, 1.882497, 1.882498");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.452572, 1.452573, 1.452574, 1.452575, 1.452576, 1.452577", \
+					  "1.582381, 1.582382, 1.582383, 1.582384, 1.582385, 1.582386", \
+					  "1.752668, 1.752669, 1.752670, 1.752671, 1.752672, 1.752673");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.442286, 1.442287, 1.442288, 1.442289, 1.442290, 1.442291", \
+					  "1.566600, 1.566601, 1.566602, 1.566603, 1.566604, 1.566605", \
+					  "1.739068, 1.739069, 1.739070, 1.739071, 1.739072, 1.739073");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.564963, 1.564964, 1.564965, 1.564966, 1.564967, 1.564968", \
+					  "1.691573, 1.691888, 1.691889, 1.691890, 1.691891, 1.691892", \
+					  "1.863729, 1.863730, 1.863731, 1.863732, 1.863733, 1.863734");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.556847, 1.556848, 1.556849, 1.556850, 1.556851, 1.556852", \
+					  "1.686132, 1.686133, 1.686134, 1.686135, 1.686136, 1.686137", \
+					  "1.853929, 1.854331, 1.854332, 1.854333, 1.854334, 1.854335");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.736908, 1.736909, 1.736910, 1.736911, 1.736912, 1.736913", \
+					  "1.868391, 1.868392, 1.868393, 1.868394, 1.868395, 1.868396", \
+					  "2.044705, 2.044706, 2.044707, 2.044708, 2.044709, 2.044710");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.739954, 1.739955, 1.739956, 1.739957, 1.739958, 1.739959", \
+					  "1.868447, 1.868448, 1.868449, 1.868450, 1.868451, 1.868452", \
+					  "2.040899, 2.040900, 2.040901, 2.040902, 2.040903, 2.040904");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.981277, 1.981278, 1.981279, 1.981280, 1.981281, 1.981282", \
+					  "2.112660, 2.114696, 2.114697, 2.114698, 2.114699, 2.114700", \
+					  "2.289247, 2.289248, 2.289249, 2.289250, 2.289251, 2.289252");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.981624, 1.981625, 1.981626, 1.981627, 1.981628, 1.981629", \
+					  "2.113437, 2.113438, 2.113439, 2.113440, 2.113441, 2.113442", \
+					  "2.285973, 2.285974, 2.285975, 2.285976, 2.285977, 2.285978");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.720519, 1.720520, 1.720521, 1.720522, 1.720523, 1.720524", \
+					  "1.851197, 1.851198, 1.851199, 1.851200, 1.851201, 1.851202", \
+					  "2.026987, 2.026988, 2.026989, 2.026990, 2.026991, 2.026992");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.725614, 1.725615, 1.725616, 1.725617, 1.725618, 1.725619", \
+					  "1.854537, 1.854538, 1.854539, 1.854540, 1.854541, 1.854542", \
+					  "2.027964, 2.027965, 2.027966, 2.027967, 2.027968, 2.027969");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.962836, 1.962837, 1.962838, 1.962839, 1.962840, 1.962841", \
+					  "2.095242, 2.095243, 2.095244, 2.095245, 2.095246, 2.095247", \
+					  "2.268713, 2.268876, 2.268877, 2.268878, 2.268879, 2.268880");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.155283, 9.155283, 15.155283, 21.155283, 27.155283, 33.155283");
+					values("1.962716, 1.962717, 1.962718, 1.962719, 1.962720, 1.962721", \
+					  "2.093449, 2.093450, 2.093451, 2.093452, 2.093453, 2.093454", \
+					  "2.266746, 2.266747, 2.266748, 2.266749, 2.266750, 2.266751");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-13.464930, -13.327360, -13.605860");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("13.518850, 13.734830, 13.726240");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.458991, 1.436755, 1.431329, 1.403857, 1.395240, 1.390498", \
+					  "1.088945, 1.055107, 1.063444, 1.021769, 1.001947, 1.015531", \
+					  "1.082796, 1.054331, 1.039275, 1.020382, 0.992868, 0.995000");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.708237, 1.720812, 1.744672, 1.767846, 1.773012, 1.777449", \
+					  "1.435048, 1.488367, 1.428817, 1.476256, 1.520967, 1.562101", \
+					  "1.401664, 1.396807, 1.512943, 1.470331, 1.472152, 1.446484");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.644403, 1.620327, 1.608954, 1.591189, 1.578550, 1.566529", \
+					  "1.268816, 1.244807, 1.233939, 1.209078, 1.207729, 1.198164", \
+					  "1.270920, 1.242555, 1.226823, 1.205940, 1.207915, 1.202643");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.803732, 1.793758, 1.921584, 1.906366, 1.902573, 1.948930", \
+					  "1.506396, 1.535337, 1.630061, 1.632219, 1.611307, 1.691906", \
+					  "1.509879, 1.508475, 1.597047, 1.552502, 1.555229, 1.500839");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.858670, 0.834530, 0.814540, 0.773100, 0.757050, 0.746420", \
+					  "0.774640, 0.749660, 0.729730, 0.685560, 0.668410, 0.652680", \
+					  "1.082370, 1.069160, 1.039130, 0.995410, 0.977060, 0.963730");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.944310, 0.957240, 0.990560, 1.054980, 1.074330, 1.086140", \
+					  "0.921160, 0.932880, 0.966910, 1.032390, 1.050680, 1.063250", \
+					  "0.848460, 0.859950, 0.893620, 0.956760, 0.966820, 0.981350");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.104130, 1.079440, 1.060240, 1.015190, 0.999270, 0.986710", \
+					  "0.943010, 0.917420, 0.899530, 0.853850, 0.837460, 0.826470", \
+					  "1.400440, 1.371820, 1.348040, 1.312170, 1.295610, 1.286520");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.476450, 1.494660, 1.544180, 1.661260, 1.700650, 1.733770", \
+					  "1.323660, 1.343720, 1.394440, 1.513100, 1.553350, 1.587000", \
+					  "1.112500, 1.127020, 1.180280, 1.300460, 1.342470, 1.376810");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.551697, 1.528541, 1.520142, 1.497523, 1.486895, 1.478514", \
+					  "1.178880, 1.149957, 1.148691, 1.115423, 1.104838, 1.106847", \
+					  "1.176858, 1.148443, 1.133049, 1.113161, 1.100391, 1.098821");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.755984, 1.757285, 1.833128, 1.837106, 1.837792, 1.863190", \
+					  "1.470722, 1.511852, 1.529439, 1.554238, 1.566137, 1.627003", \
+					  "1.455771, 1.452641, 1.554995, 1.511417, 1.513691, 1.473661");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.981400, 0.956985, 0.937390, 0.894145, 0.878160, 0.866565", \
+					  "0.858825, 0.833540, 0.814630, 0.769705, 0.752935, 0.739575", \
+					  "1.241405, 1.220490, 1.193585, 1.153790, 1.136335, 1.125125");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.210380, 1.225950, 1.267370, 1.358120, 1.387490, 1.409955", \
+					  "1.122410, 1.138300, 1.180675, 1.272745, 1.302015, 1.325125", \
+					  "0.980480, 0.993485, 1.036950, 1.128610, 1.154645, 1.179080");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.266661, 2.341704, 2.437125, 2.708667, 2.838748, 2.968792", \
+					  "2.363532, 2.439447, 2.534083, 2.805892, 2.935095, 3.064799", \
+					  "2.430461, 2.506689, 2.601098, 2.872919, 3.003260, 3.133394");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.273705, 0.371752, 0.522387, 1.023533, 1.280337, 1.547218", \
+					  "0.276635, 0.372703, 0.522489, 1.024439, 1.282831, 1.547022", \
+					  "0.269561, 0.370409, 0.523245, 1.023041, 1.283185, 1.541462");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.897850, 1.958758, 2.032366, 2.229374, 2.318516, 2.405335", \
+					  "2.032466, 2.093376, 2.166956, 2.364003, 2.453149, 2.539980", \
+					  "2.196035, 2.256925, 2.330827, 2.527774, 2.616572, 2.703771");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.139717, 0.219489, 0.320607, 0.635844, 0.793613, 0.954453", \
+					  "0.139708, 0.219269, 0.320505, 0.635807, 0.793614, 0.954123", \
+					  "0.140053, 0.220733, 0.320715, 0.634506, 0.793982, 0.952204");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.363510, 2.439406, 2.533824, 2.804943, 2.935963, 3.065125", \
+					  "2.460386, 2.536265, 2.630693, 2.901869, 3.032823, 3.163061", \
+					  "2.527820, 2.603808, 2.698708, 2.970247, 3.100384, 3.230471");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.273772, 0.374071, 0.522359, 1.024722, 1.279350, 1.546120", \
+					  "0.273774, 0.374126, 0.522388, 1.021266, 1.282433, 1.541650", \
+					  "0.268117, 0.370111, 0.524815, 1.023286, 1.282278, 1.547330");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.002404, 2.063323, 2.136872, 2.333947, 2.423111, 2.510302", \
+					  "2.136899, 2.197820, 2.271448, 2.468425, 2.557516, 2.644749", \
+					  "2.300770, 2.361574, 2.435264, 2.632526, 2.721641, 2.808819");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.140382, 0.219802, 0.320845, 0.635810, 0.793572, 0.954344", \
+					  "0.140436, 0.220249, 0.321078, 0.636054, 0.794246, 0.953575", \
+					  "0.140775, 0.218821, 0.321550, 0.636364, 0.794343, 0.954789");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.606665, 1.681808, 1.776025, 2.048950, 2.179763, 2.309414", \
+					  "1.698406, 1.773337, 1.867579, 2.140669, 2.271128, 2.400740", \
+					  "1.738693, 1.813014, 1.907516, 2.180442, 2.311036, 2.441129");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.267643, 0.368157, 0.516651, 1.026459, 1.285808, 1.548109", \
+					  "0.267581, 0.369164, 0.517980, 1.025308, 1.283404, 1.546995", \
+					  "0.267449, 0.367956, 0.515551, 1.023089, 1.282740, 1.545890");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.574828, 1.635783, 1.709164, 1.905468, 1.995095, 2.082417", \
+					  "1.577955, 1.638812, 1.712321, 1.908859, 1.998273, 2.085564", \
+					  "1.745706, 1.806557, 1.879383, 2.076511, 2.165967, 2.253469");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.142088, 0.217673, 0.319584, 0.634517, 0.794084, 0.953404", \
+					  "0.140782, 0.217860, 0.319511, 0.636022, 0.793502, 0.953167", \
+					  "0.140063, 0.217799, 0.318699, 0.636303, 0.794191, 0.954213");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.735510, 1.810554, 1.904779, 2.178052, 2.308770, 2.438748", \
+					  "1.856058, 1.931496, 2.025930, 2.298575, 2.429266, 2.558970", \
+					  "1.965394, 2.039784, 2.134884, 2.408029, 2.538040, 2.667471");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.267234, 0.367816, 0.516854, 1.022936, 1.280095, 1.542282", \
+					  "0.267554, 0.368259, 0.518255, 1.022600, 1.280497, 1.541838", \
+					  "0.267050, 0.368411, 0.516939, 1.024013, 1.281313, 1.547158");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.542679, 2.603328, 2.676823, 2.873916, 2.963265, 3.050615", \
+					  "2.370825, 2.431558, 2.505622, 2.702825, 2.792015, 2.879710", \
+					  "2.512148, 2.572794, 2.646613, 2.844384, 2.933616, 3.020546");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.143707, 0.220109, 0.322035, 0.637159, 0.795372, 0.956134", \
+					  "0.143885, 0.220400, 0.321326, 0.637268, 0.795040, 0.955201", \
+					  "0.143856, 0.219745, 0.321903, 0.637462, 0.795408, 0.954580");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_3v63.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_3v63.lib
new file mode 100644
index 0000000..1f98e96
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_3v63.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 6.338670e-02;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.063387";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023721;
+			rise_capacitance_range(0.022740,0.024655);
+			capacitance : 0.023318;
+			fall_capacitance : 0.022915;
+			fall_capacitance_range(0.022275,0.023495);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.391627, 1.579929, 1.639340");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.537638, 1.373148, 1.470225");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032154;
+			rise_capacitance_range(0.031322,0.033336);
+			capacitance : 0.031808;
+			fall_capacitance : 0.031463;
+			fall_capacitance_range(0.030857,0.031767);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.315460, 1.750534, 1.808584");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.576946, 1.885353, 1.900845");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.015050;
+			rise_capacitance_range(0.014057,0.015869);
+			capacitance : 0.014628;
+			fall_capacitance : 0.014206;
+			fall_capacitance_range(0.013594,0.014768);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.432446, 0.983394, 1.028252");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.428806, 0.905770, 0.962078");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.089117;
+			rise_capacitance_range(0.082943,0.098898);
+			capacitance : 0.088830;
+			fall_capacitance : 0.087375;
+			fall_capacitance_range(0.083146,0.092732);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.082374;
+			rise_capacitance_range(0.065179,0.095108);
+			capacitance : 0.082939;
+			fall_capacitance : 0.083504;
+			fall_capacitance_range(0.062687,0.099456);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.283142, 0.352909, 0.396528");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.130386, 1.905790, 2.133750");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049158;
+			rise_capacitance_range(0.048804,0.049585);
+			capacitance : 0.050319;
+			fall_capacitance : 0.051480;
+			fall_capacitance_range(0.049502,0.053411);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.062001, 1.110955, 1.171820");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.011227, 1.721478, 1.798039");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037712;
+			rise_capacitance_range(0.035717,0.038867);
+			capacitance : 0.037540;
+			fall_capacitance : 0.037368;
+			fall_capacitance_range(0.036114,0.038441);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.156179, -0.149773, -0.140732");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.182216, 0.188418, 0.178517");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030554;
+			rise_capacitance_range(0.029963,0.030941);
+			capacitance : 0.030769;
+			fall_capacitance : 0.030983;
+			fall_capacitance_range(0.030506,0.031278);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.130338, -0.131934, -0.131275");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.140254, 0.132416, 0.131438");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032598;
+			rise_capacitance_range(0.031968,0.033015);
+			capacitance : 0.032835;
+			fall_capacitance : 0.033072;
+			fall_capacitance_range(0.032552,0.033404);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.152017, -0.154369, -0.153720");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.163424, 0.154871, 0.154168");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 3.015346;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.474849, 30.904529, 30.448809, 29.384889, 28.274469, 27.232579", \
+					  "31.489803, 31.133463, 30.464243, 29.514793, 28.701523, 27.348843", \
+					  "31.541142, 31.122762, 30.504892, 29.557562, 28.518672, 27.477912");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("34.333594, 34.497924, 34.753204, 34.777294, 34.542034, 35.876664", \
+					  "34.336712, 34.541502, 34.773572, 34.818882, 34.473742, 35.610472", \
+					  "34.394303, 34.705283, 34.815693, 34.871463, 34.774173, 34.819403");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.136369, 30.818529, 29.982449, 29.194779, 28.160679, 27.369149", \
+					  "31.054453, 30.909233, 29.744793, 30.263273, 26.508663, 28.153853", \
+					  "31.137782, 30.858122, 30.004182, 29.759672, 27.539642, 27.328702");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.415534, 33.878094, 34.050734, 34.286904, 34.625454, 33.442604", \
+					  "32.367232, 34.006072, 33.995112, 34.268592, 35.164722, 32.882682", \
+					  "32.515493, 33.916463, 34.271003, 34.337173, 34.082773, 34.689593");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("51.569699, 51.876259, 51.576009, 51.151529, 50.666089, 50.180619", \
+					  "51.520943, 52.404773, 51.443573, 51.148823, 50.750373, 50.234663", \
+					  "51.178252, 51.438612, 51.689872, 50.921362, 50.954392, 50.283022");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("52.309294, 54.143964, 54.676944, 54.871864, 55.038024, 55.130414", \
+					  "52.307472, 54.180072, 54.688122, 54.903202, 55.041302, 55.158162", \
+					  "52.366173, 54.238193, 54.741283, 54.966743, 55.092503, 55.296103");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("48.489569, 50.547589, 50.731099, 50.250009, 49.670759, 49.099779", \
+					  "48.534973, 51.046313, 50.542953, 50.292183, 49.714603, 49.179883", \
+					  "48.510782, 50.929912, 50.633682, 50.248672, 49.753862, 49.065972");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("45.902864, 48.691914, 49.905614, 52.572204, 52.715094, 52.908114", \
+					  "45.732322, 48.731382, 49.903452, 52.644782, 52.698002, 52.901262", \
+					  "45.569923, 48.453653, 50.445373, 52.150163, 52.741183, 53.204953");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("41.156659, 41.343019, 40.981629, 40.481539, 39.926699, 39.146129", \
+					  "41.279453, 41.624313, 41.087923, 40.439363, 40.192173, 39.057343", \
+					  "41.382912, 41.390402, 40.827822, 40.476232, 40.021872, 38.990522");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("43.677384, 44.553004, 44.590404, 45.048684, 45.037154, 45.004984", \
+					  "43.678562, 44.585862, 44.608192, 45.068232, 45.053362, 45.017432", \
+					  "43.812273, 44.460413, 44.838643, 44.995423, 45.185393, 45.180283");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("40.163839, 41.009829, 40.493489, 39.775269, 39.050879, 38.079069", \
+					  "39.987823, 40.729203, 40.465053, 39.798423, 39.244773, 38.422793", \
+					  "40.254812, 40.901142, 40.354132, 39.894142, 39.105072, 38.250732");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("39.349574, 41.523534, 43.300434, 43.524624, 43.650974, 43.802144", \
+					  "39.256822, 41.466652, 43.346192, 43.503372, 43.666372, 43.866982", \
+					  "39.152983, 41.812903, 43.034843, 43.613623, 43.979453, 44.133713");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("60.418629, 61.796459, 61.820419, 61.579969, 60.938329, 60.552629", \
+					  "60.453593, 61.775953, 61.803743, 61.586883, 60.974593, 60.832533", \
+					  "60.450532, 61.628652, 61.958382, 61.486802, 61.220052, 60.714602");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("60.614694, 63.477824, 64.577884, 64.602104, 65.233794, 65.119574", \
+					  "60.634392, 63.468792, 64.600132, 64.630602, 65.273742, 65.114442", \
+					  "60.625583, 63.529803, 64.631753, 64.779513, 65.146193, 65.241543");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("56.495129, 60.032419, 60.411019, 60.253769, 59.974659, 59.344399", \
+					  "56.627763, 59.830323, 60.486383, 60.077753, 59.987653, 59.533183", \
+					  "56.298402, 60.041932, 60.763112, 60.618852, 60.026472, 59.117822");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("52.527644, 55.673904, 59.011074, 58.679634, 61.961174, 61.885694", \
+					  "52.307382, 55.470122, 58.921692, 58.723392, 62.052892, 61.848592", \
+					  "52.057023, 55.747453, 57.744583, 59.456693, 61.197303, 61.960763");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.212104, 29.643564, 28.902224, 27.959934, 27.131644, 25.840844", \
+					  "24.384112, 23.775142, 23.050672, 22.256482, 20.910552, 20.211952", \
+					  "23.835727, 23.324047, 22.343167, 22.077797, 20.028437, 19.995617");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.721079, 3.892511, 3.931095, 3.948670, 3.958576, 3.964899", \
+					  "1.507174, 2.100138, 2.249846, 2.295363, 2.336783, 2.363471", \
+					  "2.339450, 3.011109, 3.203008, 3.061439, 3.094342, 3.115927");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.917534, 29.347984, 28.612164, 27.598564, 26.829424, 25.410244", \
+					  "24.093412, 23.534902, 22.729362, 21.682052, 20.851472, 19.790812", \
+					  "23.556837, 23.006887, 22.218987, 21.365587, 20.295167, 19.191347");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.680127, 3.850910, 3.911564, 3.934623, 3.946558, 3.953827", \
+					  "1.522116, 2.143435, 2.258282, 2.312889, 2.345561, 2.368055", \
+					  "2.467509, 2.734164, 2.907215, 3.009740, 3.076549, 3.120023");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.274834, 45.991274, 45.518324, 44.845694, 44.244654, 43.499214", \
+					  "40.272392, 39.948642, 39.568182, 38.790752, 38.165962, 37.455172", \
+					  "40.003467, 39.551507, 38.796067, 38.442257, 37.608247, 36.875197");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.739039, 6.876973, 6.916711, 6.936756, 6.948209, 6.955916", \
+					  "9.028018, 10.796208, 11.408518, 11.703708, 11.872508, 11.981138", \
+					  "10.057485, 11.792675, 12.344345, 12.543125, 12.674375, 12.764785");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("43.391534, 45.007234, 44.542874, 43.875304, 43.259154, 42.471564", \
+					  "37.495812, 39.050642, 38.605052, 37.855902, 37.338822, 36.518592", \
+					  "37.066087, 38.088477, 37.932577, 37.299747, 36.756427, 36.096437");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.729617, 6.991660, 7.077899, 7.072852, 7.050627, 7.034296", \
+					  "8.956328, 10.517698, 11.099458, 11.431398, 11.657488, 11.822588", \
+					  "9.869435, 11.788195, 12.738825, 13.234635, 13.551115, 13.697295");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("39.371444, 38.906694, 38.544754, 37.818554, 37.213864, 36.130574", \
+					  "33.431082, 33.071562, 32.412492, 31.793142, 30.887322, 30.002172", \
+					  "32.734927, 32.389527, 31.848237, 31.156917, 30.392337, 29.671777");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.750757, 7.146049, 7.268109, 7.324501, 7.355984, 7.376228", \
+					  "5.448158, 6.618238, 6.954248, 7.121508, 7.213818, 7.273568", \
+					  "6.358415, 7.572395, 7.943925, 8.117495, 8.214245, 8.275755");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.131354, 38.281814, 37.870054, 37.201334, 36.325034, 35.524374", \
+					  "32.097902, 32.266362, 31.870162, 31.069422, 30.412302, 29.499442", \
+					  "31.589227, 31.833057, 31.147187, 30.552497, 29.680297, 28.757547");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.745893, 7.133075, 7.241994, 7.290862, 7.318464, 7.336353", \
+					  "5.446008, 6.694638, 6.978558, 7.198458, 7.301558, 7.369148", \
+					  "6.398755, 7.512515, 7.969085, 8.154755, 8.255405, 8.317045");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("54.704004, 54.930124, 54.749954, 53.824304, 53.624864, 52.773464", \
+					  "48.566272, 48.838682, 48.389982, 47.931072, 47.274402, 46.626942", \
+					  "47.946067, 48.339227, 47.667127, 47.502457, 46.660357, 45.955527");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.715382, 10.111792, 10.269422, 10.345032, 10.387752, 10.414842", \
+					  "12.793958, 14.918558, 15.722238, 16.147018, 16.411798, 16.590868", \
+					  "13.827015, 15.954485, 16.792205, 17.211595, 17.468215, 17.638145");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("50.849434, 53.598654, 53.222984, 52.560724, 52.245744, 51.652034", \
+					  "44.747312, 47.338232, 47.121352, 46.568032, 46.036262, 45.550742", \
+					  "44.114467, 46.589097, 46.524457, 46.048757, 45.532037, 44.675957");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.682592, 10.127092, 10.300502, 10.363692, 10.386902, 10.395542", \
+					  "12.957458, 15.046588, 15.798878, 16.237128, 16.509768, 16.712338", \
+					  "13.884515, 15.573295, 16.725885, 17.411055, 17.796565, 18.001255");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.136604, 29.276944, 29.322794, 29.411454, 29.133754, 29.645994", \
+					  "23.539702, 23.664222, 23.713582, 23.830522, 23.427872, 24.333422", \
+					  "23.051337, 23.248227, 23.277087, 23.364257, 23.219297, 23.194177");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.271765, -76.000958, -155.132948, -234.227248, -313.307748, -392.381748", \
+					  "-0.001546, -79.598572, -158.806402, -237.925902, -317.018402, -396.099302", \
+					  "0.563811, -79.219785, -158.422685, -237.537685, -316.625385, -395.701685");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.349134, 28.491364, 28.769584, 28.854534, 28.869624, 28.703854", \
+					  "21.767972, 22.888912, 23.151692, 23.224602, 23.216152, 23.200862", \
+					  "21.306937, 22.458307, 22.720057, 22.753947, 22.835787, 22.770947");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.177385, -76.122818, -155.248948, -234.340548, -313.419448, -392.492248", \
+					  "-0.220265, -79.453022, -158.572302, -237.663502, -316.743302, -395.817102", \
+					  "0.312013, -79.059745, -158.204985, -237.315485, -316.403185, -395.481285");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("40.613484, 41.732254, 41.857864, 42.158124, 42.012684, 42.025024", \
+					  "35.055432, 36.195242, 36.578092, 36.202652, 36.615962, 36.508902", \
+					  "34.711187, 35.834627, 36.022057, 35.982267, 36.108807, 36.131407");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.346017, -70.908378, -150.121148, -229.269948, -308.376448, -387.466048", \
+					  "6.817608, -72.653552, -151.869302, -231.012502, -310.123602, -389.245902", \
+					  "7.664685, -71.908945, -150.333285, -229.318585, -308.400785, -387.491385");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("34.750774, 36.523324, 38.035974, 39.016864, 39.652334, 40.013404", \
+					  "29.046712, 30.964662, 32.539562, 33.322522, 34.011812, 34.433322", \
+					  "28.521647, 30.459357, 32.128707, 32.914927, 33.577837, 34.067557");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.241660, -71.038878, -150.175248, -229.287348, -308.385348, -387.474248", \
+					  "6.978288, -72.538032, -151.832502, -231.017302, -310.150202, -389.256102", \
+					  "7.862985, -71.954165, -151.319085, -230.499985, -309.624885, -388.743685");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("35.355884, 35.823904, 35.921564, 35.959744, 35.996574, 36.015264", \
+					  "29.832032, 30.243892, 30.302732, 30.374762, 30.400172, 30.412222", \
+					  "29.392207, 29.838047, 29.932057, 29.996037, 30.033077, 30.048987");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.718862, -72.672238, -151.841548, -230.956548, -310.049848, -389.132548", \
+					  "3.388617, -76.010212, -155.168902, -234.281502, -313.374002, -392.456402", \
+					  "4.313127, -75.431015, -154.645585, -233.765485, -312.856885, -391.936085");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.276674, 33.051854, 34.091664, 34.543054, 34.807884, 34.905404", \
+					  "25.695962, 27.405542, 28.578092, 29.020602, 29.210232, 29.243212", \
+					  "25.161617, 27.052927, 28.107767, 28.602847, 28.849617, 28.952437");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.604665, -72.787718, -151.961248, -231.079648, -310.175248, -389.259448", \
+					  "3.621885, -76.030342, -155.259602, -234.391002, -313.490102, -392.575002", \
+					  "4.265661, -75.297685, -154.375185, -233.438285, -312.505285, -391.574485");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.101704, 48.014744, 48.353604, 48.456624, 48.537554, 48.568934", \
+					  "40.534672, 42.429922, 42.780462, 42.887622, 42.961502, 42.989362", \
+					  "40.194547, 42.135947, 42.475027, 42.456517, 42.715247, 42.681397");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.694822, -67.645718, -146.819548, -226.004348, -305.104548, -384.192048", \
+					  "10.380428, -69.106902, -148.337602, -227.498002, -306.617302, -385.717202", \
+					  "11.287525, -68.195835, -147.475785, -226.543785, -305.743785, -384.907885");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.713454, 40.446844, 42.117004, 43.588514, 44.392124, 45.109024", \
+					  "32.997342, 34.789422, 36.412452, 38.099102, 38.602382, 39.538232", \
+					  "32.378527, 34.280827, 35.981167, 37.735447, 38.354477, 39.165347");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.548732, -67.748968, -146.931948, -226.082648, -305.207948, -384.316448", \
+					  "10.508598, -69.027292, -148.183702, -227.314802, -306.423102, -385.520802", \
+					  "11.336495, -67.773995, -146.960985, -226.175785, -305.333185, -384.455485");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("90.226185, 92.082158, 91.611231, 90.517938, 89.165640, 87.751088", \
+					  "90.237201, 92.363394, 91.509666, 90.780373, 89.018589, 88.190774", \
+					  "90.188654, 92.077884, 91.684019, 90.740824, 89.285009, 87.807322");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("90.282646, 94.110040, 96.216573, 97.090828, 98.200926, 98.292548", \
+					  "90.155224, 94.112614, 96.209116, 97.140264, 98.356034, 98.100007", \
+					  "90.123439, 94.216041, 96.130793, 97.292699, 98.049743, 98.631588");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("49.057019, -29.902292, -109.533656, -189.445484, -269.144025, -349.176559", \
+					  "40.820239, -38.324220, -118.035382, -197.894707, -277.757865, -357.628999", \
+					  "41.056639, -38.214918, -117.907472, -197.518633, -277.567509, -357.384072");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.132775, 43.686412, 44.423419, 44.900737, 45.094451, 45.302351", \
+					  "37.016131, 39.677165, 40.815837, 41.426232, 41.761921, 42.142589", \
+					  "37.490073, 40.155887, 41.408552, 42.068761, 42.478120, 42.742811");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.897863, 3.936657, 4.968327, 6.003347, 7.031725, 8.064563", \
+					  "2.955119, 3.992125, 5.026894, 6.049830, 7.086898, 8.111924", \
+					  "2.971514, 4.010726, 5.036915, 6.073595, 7.098686, 8.135030");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.298806, 3.457749, 5.657774, 7.859103, 10.069890, 12.290270", \
+					  "1.300229, 3.453851, 5.662634, 7.871769, 10.054880, 12.260660", \
+					  "1.302642, 3.454825, 5.653719, 7.865166, 10.086830, 12.293380");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("3.037671, 3.918710, 4.758531, 5.597884, 6.434471, 7.278902", \
+					  "3.171406, 4.052455, 4.891252, 5.732581, 6.569811, 7.413034", \
+					  "3.332076, 4.212007, 5.054237, 5.897244, 6.737807, 7.571706");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.101389, 2.691147, 4.332157, 5.989803, 7.646635, 9.324869", \
+					  "1.101654, 2.692562, 4.327815, 5.989024, 7.642697, 9.327098", \
+					  "1.102976, 2.687330, 4.331693, 5.992918, 7.641810, 9.306383");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("3.442781, 4.752831, 5.813486, 6.847352, 7.882821, 8.914761", \
+					  "3.502112, 4.810829, 5.871356, 6.905427, 7.940780, 8.973716", \
+					  "3.517953, 4.827238, 5.887440, 6.921686, 7.956156, 8.980366");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.084689, 4.029062, 6.054577, 8.147290, 10.286250, 12.447170", \
+					  "2.083326, 4.026265, 6.054813, 8.146165, 10.286090, 12.452050", \
+					  "2.083412, 4.026294, 6.054731, 8.144197, 10.289710, 12.426810");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("3.234620, 4.754353, 5.962518, 7.005755, 7.964743, 8.871741", \
+					  "3.367540, 4.887175, 6.098306, 7.139420, 8.096793, 9.002934", \
+					  "3.528876, 5.048885, 6.259261, 7.302097, 8.256746, 9.165071");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.758855, 3.982068, 5.741874, 7.363399, 8.941628, 10.517490", \
+					  "1.760640, 3.988639, 5.743696, 7.366512, 8.948244, 10.516160", \
+					  "1.765848, 3.987874, 5.738627, 7.364790, 8.936855, 10.515810");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.478462, 2.868519, 3.218111, 3.563712, 3.906857, 4.249368", \
+					  "2.536055, 2.926217, 3.275889, 3.621344, 3.964714, 4.307366", \
+					  "2.551836, 2.942390, 3.292073, 3.637671, 3.980931, 4.323542");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.622827, 1.269175, 1.969519, 2.688497, 3.403577, 4.138231", \
+					  "0.622042, 1.269264, 1.969508, 2.688484, 3.402132, 4.137001", \
+					  "0.623531, 1.269223, 1.969314, 2.688573, 3.402453, 4.137461");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.647331, 3.042778, 3.354451, 3.646459, 3.930593, 4.211872", \
+					  "2.781104, 3.176479, 3.488102, 3.780148, 4.064196, 4.345438", \
+					  "2.941893, 3.337562, 3.649055, 3.941146, 4.224898, 4.505961");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.569362, 1.096200, 1.610614, 2.133500, 2.672955, 3.214302", \
+					  "0.569230, 1.096327, 1.610806, 2.133488, 2.672329, 3.214076", \
+					  "0.569583, 1.096508, 1.609715, 2.137557, 2.667067, 3.209637");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.568651, 3.395001, 3.915282, 4.338003, 4.720407, 5.082371", \
+					  "2.626288, 3.452480, 3.972459, 4.395630, 4.777604, 5.140238", \
+					  "2.642281, 3.468540, 3.988270, 4.411379, 4.793340, 5.155722");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.128833, 2.050953, 2.721975, 3.360143, 4.002909, 4.664833", \
+					  "1.129946, 2.050061, 2.722406, 3.362925, 4.001630, 4.664560", \
+					  "1.129501, 2.050766, 2.722533, 3.362805, 4.000706, 4.663639");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.622117, 3.213698, 3.760037, 4.263450, 4.726285, 5.153379", \
+					  "2.755844, 3.347445, 3.893745, 4.397159, 4.859997, 5.287074", \
+					  "2.916921, 3.508419, 4.054640, 4.557467, 5.019790, 5.447614");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.678622, 1.700477, 2.543329, 3.274076, 3.932204, 4.539391", \
+					  "0.678689, 1.700007, 2.543333, 3.274072, 3.932197, 4.539262", \
+					  "0.677762, 1.702000, 2.541085, 3.271686, 3.925047, 4.539519");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.601101, 3.143168, 3.661392, 4.174542, 4.692620, 5.208400", \
+					  "2.659000, 3.201097, 3.719445, 4.235186, 4.751208, 5.267312", \
+					  "2.675031, 3.216673, 3.735267, 4.250735, 4.767163, 5.283241");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.772952, 1.801033, 2.880909, 3.965517, 5.070246, 6.171850", \
+					  "0.772770, 1.801151, 2.878739, 3.970402, 5.074787, 6.178156", \
+					  "0.774854, 1.793802, 2.875278, 3.966689, 5.074465, 6.177992");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.758316, 3.265345, 3.702391, 4.124933, 4.547168, 4.967116", \
+					  "2.892024, 3.399073, 3.835999, 4.258772, 4.680779, 5.100778", \
+					  "3.052511, 3.559997, 3.996880, 4.421226, 4.840630, 5.259529");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.704211, 1.478137, 2.269000, 3.076579, 3.888688, 4.715432", \
+					  "0.704219, 1.477924, 2.268035, 3.077809, 3.891120, 4.717881", \
+					  "0.703330, 1.475826, 2.270683, 3.079132, 3.894131, 4.724188");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.850913, 3.812417, 4.448764, 5.006069, 5.534673, 6.055749", \
+					  "2.908851, 3.870611, 4.506687, 5.064029, 5.592431, 6.113610", \
+					  "2.924444, 3.886106, 4.522319, 5.080111, 5.606714, 6.129088");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.432065, 2.566287, 3.516619, 4.499179, 5.507929, 6.534937", \
+					  "1.432255, 2.565101, 3.517437, 4.500326, 5.506455, 6.533568", \
+					  "1.431215, 2.565566, 3.524200, 4.506183, 5.498968, 6.522483");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.772280, 3.628272, 4.387393, 5.054366, 5.656282, 6.208167", \
+					  "2.905985, 3.761982, 4.521104, 5.188079, 5.789997, 6.341875", \
+					  "3.066099, 3.922515, 4.681294, 5.350175, 5.949662, 6.500639");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.964074, 2.363751, 3.460993, 4.402835, 5.270180, 6.107340", \
+					  "0.963916, 2.363748, 3.460995, 4.402842, 5.270159, 6.107312", \
+					  "0.966011, 2.368409, 3.456473, 4.405336, 5.269283, 6.098816");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.409653, 2.731511, 3.000308, 3.261198, 3.520831, 3.779189", \
+					  "2.467274, 2.789207, 3.058015, 3.318927, 3.578523, 3.835916", \
+					  "2.483368, 2.804982, 3.074083, 3.335228, 3.592818, 3.851588");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.560027, 1.017685, 1.526937, 2.055415, 2.595903, 3.136256", \
+					  "0.559926, 1.017654, 1.526920, 2.055273, 2.595846, 3.133882", \
+					  "0.559998, 1.015439, 1.527181, 2.055875, 2.592270, 3.138791");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.572119, 2.915599, 3.167712, 3.396478, 3.615265, 3.829635", \
+					  "2.705788, 3.049303, 3.301419, 3.530185, 3.748978, 3.963354", \
+					  "2.867155, 3.210757, 3.462839, 3.691314, 3.910497, 4.125003");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.492547, 0.908090, 1.291347, 1.674633, 2.068345, 2.467235", \
+					  "0.492474, 0.908124, 1.291344, 1.674571, 2.068441, 2.467415", \
+					  "0.492684, 0.906861, 1.289354, 1.677241, 2.070818, 2.471005");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.415413, 3.134744, 3.601947, 3.966154, 4.281716, 4.573499", \
+					  "2.473166, 3.192689, 3.659824, 4.024252, 4.339757, 4.631239", \
+					  "2.489520, 3.208752, 3.677099, 4.039801, 4.356268, 4.648398");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.933503, 1.756697, 2.307994, 2.797512, 3.277705, 3.756631", \
+					  "0.932975, 1.756988, 2.308013, 2.796668, 3.278589, 3.755069", \
+					  "0.934437, 1.758000, 2.304684, 2.798648, 3.271282, 3.750743");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.540106, 2.996755, 3.421626, 3.820702, 4.195789, 4.546928", \
+					  "2.673825, 3.130429, 3.555352, 3.954409, 4.329499, 4.680637", \
+					  "2.834840, 3.290459, 3.715897, 4.115317, 4.489976, 4.841730");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.533987, 1.330298, 2.016089, 2.621040, 3.161762, 3.658488", \
+					  "0.533771, 1.330596, 2.016610, 2.621063, 3.161779, 3.658472", \
+					  "0.533322, 1.327422, 2.019971, 2.616460, 3.155523, 3.659778");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.528574, 3.562368, 4.593828, 5.618974, 6.644749, 7.670589", \
+					  "2.548016, 3.582783, 4.607930, 5.644205, 6.669209, 7.705411", \
+					  "2.546111, 3.580878, 4.609424, 5.645278, 6.675029, 7.704844");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.316811, 3.462176, 5.660824, 7.872531, 10.071690, 12.281490", \
+					  "1.317640, 3.458650, 5.657049, 7.865588, 10.087100, 12.287240", \
+					  "1.315812, 3.464074, 5.659512, 7.868957, 10.079180, 12.291850");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("3.059862, 4.379320, 5.439788, 6.473189, 7.508680, 8.541334", \
+					  "3.080336, 4.397157, 5.460988, 6.496263, 7.520424, 8.549095", \
+					  "3.078048, 4.397097, 5.457620, 6.491325, 7.526318, 8.558898");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.122884, 4.063791, 6.074336, 8.162242, 10.292730, 12.461590", \
+					  "2.123607, 4.077784, 6.077563, 8.152558, 10.279430, 12.452510", \
+					  "2.122014, 4.062084, 6.074758, 8.160861, 10.294500, 12.460180");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.154456, 2.542941, 2.890724, 3.234267, 3.578169, 3.921014", \
+					  "2.190826, 2.580451, 2.928286, 3.272074, 3.615557, 3.958373", \
+					  "2.198450, 2.588245, 2.936069, 3.280226, 3.622589, 3.965345");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.668243, 1.284242, 1.976753, 2.695088, 3.412771, 4.141292", \
+					  "0.668308, 1.289223, 1.979897, 2.695685, 3.413510, 4.142170", \
+					  "0.669894, 1.290450, 1.981902, 2.692380, 3.419210, 4.149019");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.232340, 3.056132, 3.580085, 4.004151, 4.386854, 4.751668", \
+					  "2.270448, 3.093485, 3.617384, 4.041716, 4.424104, 4.788862", \
+					  "2.278740, 3.103096, 3.625250, 4.050033, 4.432779, 4.796459");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.144389, 2.082967, 2.761787, 3.395507, 4.041210, 4.688505", \
+					  "1.144622, 2.082436, 2.760415, 3.397678, 4.039853, 4.690284", \
+					  "1.142056, 2.082330, 2.756778, 3.398887, 4.035885, 4.689253");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.222126, 2.760731, 3.275845, 3.791816, 4.305559, 4.820809", \
+					  "2.241985, 2.779962, 3.296192, 3.809557, 4.325773, 4.841206", \
+					  "2.239556, 2.778045, 3.293608, 3.807202, 4.320353, 4.833450");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.809104, 1.808464, 2.876953, 3.973887, 5.071953, 6.173650", \
+					  "0.806899, 1.809111, 2.882250, 3.964181, 5.074543, 6.177010", \
+					  "0.809419, 1.810218, 2.882977, 3.975539, 5.061398, 6.171020");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.450300, 3.421132, 4.060686, 4.618409, 5.149001, 5.669222", \
+					  "2.470247, 3.440441, 4.080174, 4.638200, 5.168103, 5.688466", \
+					  "2.468566, 3.439401, 4.078895, 4.637447, 5.164386, 5.686394");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.457915, 2.606763, 3.567778, 4.536863, 5.535840, 6.560678", \
+					  "1.457999, 2.609238, 3.564768, 4.538507, 5.535964, 6.558277", \
+					  "1.456201, 2.607544, 3.561843, 4.533425, 5.524502, 6.542807");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.060584, 2.384722, 2.651669, 2.911143, 3.169252, 3.426843", \
+					  "2.092992, 2.418725, 2.685799, 2.945465, 3.202076, 3.460336", \
+					  "2.097853, 2.424602, 2.691495, 2.951392, 3.209107, 3.465534");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.612933, 1.046007, 1.542450, 2.060995, 2.599257, 3.136487", \
+					  "0.612017, 1.047836, 1.546512, 2.065223, 2.602810, 3.142370", \
+					  "0.611984, 1.050454, 1.545467, 2.070838, 2.597083, 3.136096");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.060869, 2.771588, 3.241823, 3.608070, 3.925317, 4.218479", \
+					  "2.095750, 2.805500, 3.275576, 3.641618, 3.959268, 4.252652", \
+					  "2.102546, 2.811524, 3.283560, 3.648354, 3.966398, 4.258554");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.949705, 1.783628, 2.344359, 2.836920, 3.310431, 3.790131", \
+					  "0.951606, 1.782374, 2.343350, 2.836005, 3.313181, 3.790759", \
+					  "0.952094, 1.783418, 2.340660, 2.836989, 3.308679, 3.791941");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.992116, 2.872255, 3.710615, 4.551291, 5.388042, 6.231014", \
+					  "2.048465, 2.928623, 3.766926, 4.607590, 5.444148, 6.287305", \
+					  "2.068279, 2.947343, 3.788878, 4.629984, 5.470651, 6.302793");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.123547, 2.699715, 4.330667, 5.990682, 7.642280, 9.327115", \
+					  "1.123113, 2.699829, 4.331524, 5.990808, 7.640121, 9.326787", \
+					  "1.122320, 2.696311, 4.330552, 5.992884, 7.648012, 9.306810");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("2.172166, 3.692469, 4.902784, 5.946052, 6.904336, 7.814388", \
+					  "2.228931, 3.748363, 4.960938, 6.005409, 6.959951, 7.870000", \
+					  "2.248666, 3.767893, 4.980846, 6.026263, 6.979856, 7.889762");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.767436, 4.000625, 5.752712, 7.383764, 8.962838, 10.518870", \
+					  "1.771208, 3.994964, 5.754569, 7.389348, 8.953076, 10.505970", \
+					  "1.771549, 3.995495, 5.755221, 7.390736, 8.947426, 10.512970");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.681455, 2.084297, 2.397045, 2.688896, 2.973719, 3.255312", \
+					  "1.736859, 2.140065, 2.453047, 2.744453, 3.029632, 3.310824", \
+					  "1.757397, 2.160030, 2.472761, 2.764537, 3.049516, 3.330987");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.597866, 1.126725, 1.635978, 2.152431, 2.681913, 3.218386", \
+					  "0.597838, 1.128199, 1.634056, 2.155271, 2.686492, 3.224341", \
+					  "0.598784, 1.127543, 1.636145, 2.154072, 2.681220, 3.218656");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.662854, 2.241913, 2.783408, 3.283532, 3.744773, 4.172870", \
+					  "1.718616, 2.298142, 2.839053, 3.339849, 3.801349, 4.228281", \
+					  "1.738616, 2.317917, 2.858801, 3.359880, 3.821705, 4.248549");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.703101, 1.706060, 2.543729, 3.266193, 3.930053, 4.544152", \
+					  "0.701153, 1.702173, 2.541218, 3.272484, 3.930407, 4.537135", \
+					  "0.700894, 1.703616, 2.544369, 3.273721, 3.932478, 4.541860");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.694962, 2.202305, 2.639054, 3.062568, 3.482096, 3.900932", \
+					  "1.750651, 2.258850, 2.694694, 3.117399, 3.538920, 3.958730", \
+					  "1.770641, 2.278248, 2.714548, 3.138187, 3.556690, 3.974981");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.732496, 1.491219, 2.281295, 3.085229, 3.901284, 4.725810", \
+					  "0.732320, 1.493296, 2.278034, 3.083487, 3.892496, 4.721028", \
+					  "0.732388, 1.495101, 2.278306, 3.080545, 3.902104, 4.718005");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.699863, 2.546590, 3.305357, 3.974834, 4.575911, 5.126179", \
+					  "1.756437, 2.603414, 3.361680, 4.029805, 4.632827, 5.184760", \
+					  "1.775705, 2.622836, 3.380581, 4.049582, 4.650012, 5.205664");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.971614, 2.375417, 3.467877, 4.416353, 5.280566, 6.111966", \
+					  "0.974287, 2.372944, 3.469710, 4.413624, 5.281045, 6.116368", \
+					  "0.975173, 2.373552, 3.462427, 4.412120, 5.285156, 6.120172");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.567914, 1.917698, 2.172022, 2.401342, 2.620438, 2.835259", \
+					  "1.624032, 1.973549, 2.227939, 2.457184, 2.676534, 2.891172", \
+					  "1.643736, 1.993297, 2.247698, 2.476939, 2.696309, 2.910927");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.523844, 0.939407, 1.318947, 1.700716, 2.089657, 2.486381", \
+					  "0.524482, 0.938651, 1.319358, 1.701173, 2.090992, 2.485598", \
+					  "0.524874, 0.938644, 1.319381, 1.701294, 2.091094, 2.485419");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.544205, 1.991596, 2.411772, 2.808877, 3.183358, 3.534407", \
+					  "1.599954, 2.047253, 2.467365, 2.865089, 3.239416, 3.590914", \
+					  "1.619917, 2.067314, 2.487487, 2.884586, 3.259021, 3.610099");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("0.568979, 1.344208, 2.024501, 2.627986, 3.169391, 3.665341", \
+					  "0.570618, 1.341390, 2.026482, 2.627852, 3.170446, 3.670625", \
+					  "0.568813, 1.344174, 2.025351, 2.627918, 3.169206, 3.666984");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.136430, 1.136431, 1.136432, 1.136433, 1.136434, 1.136435", \
+					  "1.267316, 1.267317, 1.267318, 1.267319, 1.267320, 1.267321", \
+					  "1.428718, 1.428719, 1.428720, 1.428721, 1.428722, 1.428723");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.121495, 1.121496, 1.121497, 1.121498, 1.121499, 1.121500", \
+					  "1.252004, 1.252005, 1.252006, 1.252007, 1.252008, 1.252009", \
+					  "1.412455, 1.412456, 1.412457, 1.412458, 1.412459, 1.412460");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.239080, 1.239081, 1.239082, 1.239083, 1.239084, 1.239085", \
+					  "1.370404, 1.370405, 1.370406, 1.370407, 1.370408, 1.370409", \
+					  "1.533310, 1.533311, 1.533312, 1.533313, 1.533314, 1.533315");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.229749, 1.229750, 1.229751, 1.229752, 1.229753, 1.229754", \
+					  "1.361131, 1.361132, 1.361133, 1.361134, 1.361135, 1.361136", \
+					  "1.522822, 1.522823, 1.522824, 1.522825, 1.522826, 1.522827");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.125551, 1.125552, 1.125553, 1.125554, 1.125555, 1.125556", \
+					  "1.256063, 1.256064, 1.256065, 1.256066, 1.256067, 1.256068", \
+					  "1.415538, 1.415539, 1.416390, 1.416391, 1.416392, 1.416393");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.114939, 1.114940, 1.114941, 1.114942, 1.114943, 1.114944", \
+					  "1.244981, 1.244982, 1.244983, 1.244984, 1.244985, 1.244986", \
+					  "1.405981, 1.405982, 1.405983, 1.405984, 1.405985, 1.405986");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.218930, 1.218931, 1.218932, 1.218933, 1.218934, 1.218935", \
+					  "1.350315, 1.350316, 1.350317, 1.350318, 1.350319, 1.350320", \
+					  "1.513909, 1.514301, 1.514302, 1.514303, 1.514304, 1.514305");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.209494, 1.209495, 1.209496, 1.209497, 1.209498, 1.209499", \
+					  "1.340959, 1.340960, 1.340961, 1.340962, 1.340963, 1.340964", \
+					  "1.503516, 1.503894, 1.503895, 1.503896, 1.503897, 1.503898");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.334137, 1.334138, 1.334139, 1.334140, 1.334141, 1.334142", \
+					  "1.469118, 1.469119, 1.469120, 1.469121, 1.469122, 1.469123", \
+					  "1.636149, 1.636150, 1.636151, 1.636152, 1.636153, 1.636154");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.336498, 1.336499, 1.336500, 1.336501, 1.336502, 1.336503", \
+					  "1.469166, 1.469167, 1.469168, 1.469169, 1.469170, 1.469171", \
+					  "1.634923, 1.634924, 1.634925, 1.634926, 1.634927, 1.634928");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.514945, 1.514946, 1.514947, 1.514948, 1.514949, 1.514950", \
+					  "1.651286, 1.651287, 1.651288, 1.651289, 1.651290, 1.651291", \
+					  "1.818775, 1.818776, 1.818777, 1.818778, 1.818779, 1.818780");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.516015, 1.516016, 1.516017, 1.516018, 1.516019, 1.516020", \
+					  "1.649901, 1.649902, 1.649903, 1.649904, 1.649905, 1.649906", \
+					  "1.817864, 1.817865, 1.817866, 1.817867, 1.817868, 1.817869");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.323066, 1.323067, 1.323068, 1.323069, 1.323070, 1.323071", \
+					  "1.457878, 1.457879, 1.457880, 1.457881, 1.457882, 1.457883", \
+					  "1.623783, 1.623784, 1.623785, 1.623786, 1.623787, 1.623788");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.326053, 1.326054, 1.326055, 1.326056, 1.326057, 1.326058", \
+					  "1.458299, 1.458300, 1.458301, 1.458302, 1.458303, 1.458304", \
+					  "1.624801, 1.624802, 1.624803, 1.624804, 1.624805, 1.624806");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.501553, 1.501554, 1.501555, 1.501556, 1.501557, 1.501558", \
+					  "1.636723, 1.636724, 1.636725, 1.636726, 1.636727, 1.636728", \
+					  "1.805149, 1.805150, 1.805151, 1.805152, 1.805153, 1.805154");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.015346, 9.015346, 15.015346, 21.015346, 27.015346, 33.015346");
+					values("1.498789, 1.498790, 1.498791, 1.498792, 1.498793, 1.498794", \
+					  "1.632941, 1.632942, 1.632943, 1.632944, 1.632945, 1.632946", \
+					  "1.801708, 1.801709, 1.801710, 1.801711, 1.801712, 1.801713");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-22.569770, -22.734470, -22.735760");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("23.001600, 22.839470, 22.892230");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.615614, 2.448652, 2.469969, 2.417179, 2.447668, 2.428243", \
+					  "2.097473, 2.016577, 1.894912, 1.964499, 1.859466, 1.814141", \
+					  "2.090382, 2.031203, 1.984501, 1.875029, 1.879908, 1.930986");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.047467, 3.071803, 3.047587, 3.094217, 3.051721, 3.119983", \
+					  "2.581299, 2.648401, 2.654942, 2.615067, 2.868560, 2.740634", \
+					  "2.593454, 2.545694, 2.574566, 2.535090, 2.549226, 2.723338");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.946585, 2.883047, 2.835339, 2.867678, 2.713263, 2.623512", \
+					  "2.408063, 2.360994, 2.254244, 2.266086, 2.212355, 2.176879", \
+					  "2.409472, 2.325767, 2.289336, 2.302009, 2.210200, 2.149284");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.270094, 3.219127, 3.323945, 3.382269, 3.372301, 3.309608", \
+					  "2.753058, 2.775972, 2.723171, 2.927880, 2.877738, 2.799129", \
+					  "2.751372, 2.844134, 2.782404, 3.046047, 3.115372, 2.738150");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.743450, 1.675720, 1.624860, 1.523740, 1.490260, 1.471940", \
+					  "2.215470, 2.145730, 2.096010, 1.998640, 1.966820, 1.936760", \
+					  "2.039830, 1.970510, 1.918630, 1.814990, 1.775160, 1.739210");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.616740, 1.623410, 1.672630, 1.770900, 1.798690, 1.817980", \
+					  "1.622890, 1.629880, 1.678500, 1.777460, 1.807060, 1.826550", \
+					  "1.546910, 1.556220, 1.604170, 1.698780, 1.727980, 1.750530");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.191180, 2.124930, 2.076150, 1.979360, 1.936970, 1.916140", \
+					  "2.458890, 2.392850, 2.338520, 2.245110, 2.207070, 2.175600", \
+					  "2.658720, 2.591570, 2.538790, 2.444940, 2.423250, 2.370830");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.599970, 2.617950, 2.699890, 2.896950, 2.966960, 3.019520", \
+					  "2.255540, 2.270590, 2.354130, 2.555620, 2.625310, 2.676450", \
+					  "2.249220, 2.268460, 2.349010, 2.551340, 2.614600, 2.676010");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.781099, 2.665850, 2.652654, 2.642429, 2.580465, 2.525877", \
+					  "2.252768, 2.188785, 2.074578, 2.115292, 2.035910, 1.995510", \
+					  "2.249927, 2.178485, 2.136919, 2.088519, 2.045054, 2.040135");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.158780, 3.145465, 3.185766, 3.238243, 3.212011, 3.214796", \
+					  "2.667178, 2.712186, 2.689056, 2.771473, 2.873149, 2.769882", \
+					  "2.672413, 2.694914, 2.678485, 2.790569, 2.832299, 2.730744");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.967315, 1.900325, 1.850505, 1.751550, 1.713615, 1.694040", \
+					  "2.337180, 2.269290, 2.217265, 2.121875, 2.086945, 2.056180", \
+					  "2.349275, 2.281040, 2.228710, 2.129965, 2.099205, 2.055020");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.108355, 2.120680, 2.186260, 2.333925, 2.382825, 2.418750", \
+					  "1.939215, 1.950235, 2.016315, 2.166540, 2.216185, 2.251500", \
+					  "1.898065, 1.912340, 1.976590, 2.125060, 2.171290, 2.213270");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.735248, 1.791239, 1.860691, 2.062623, 2.159120, 2.255528", \
+					  "1.815913, 1.871874, 1.941290, 2.143291, 2.239777, 2.336195", \
+					  "1.861988, 1.917975, 1.988319, 2.189892, 2.286859, 2.383813");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.228213, 0.296772, 0.408995, 0.786370, 0.982138, 1.182660", \
+					  "0.228031, 0.297527, 0.408886, 0.786518, 0.982177, 1.182591", \
+					  "0.223555, 0.300595, 0.408583, 0.786275, 0.982233, 1.182560");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.420472, 1.468281, 1.526445, 1.687222, 1.760383, 1.832278", \
+					  "1.550563, 1.598424, 1.656712, 1.817148, 1.890479, 1.962477", \
+					  "1.699901, 1.746766, 1.805114, 1.965691, 2.038970, 2.111125");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.113134, 0.174599, 0.257915, 0.517877, 0.650021, 0.782197", \
+					  "0.113379, 0.176068, 0.257930, 0.518443, 0.649652, 0.780471", \
+					  "0.113772, 0.174544, 0.258958, 0.517374, 0.650473, 0.783079");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.816673, 1.873167, 1.942633, 2.144754, 2.241325, 2.337776", \
+					  "1.898024, 1.953649, 2.023235, 2.225315, 2.321814, 2.418242", \
+					  "1.943828, 1.999543, 2.069436, 2.271628, 2.368452, 2.465028");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.226249, 0.299166, 0.405902, 0.785175, 0.982795, 1.181639", \
+					  "0.227075, 0.299048, 0.406608, 0.786128, 0.982403, 1.182116", \
+					  "0.223887, 0.298157, 0.405889, 0.785648, 0.982663, 1.182051");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.489322, 1.537046, 1.595136, 1.755896, 1.829190, 1.901309", \
+					  "1.620800, 1.668608, 1.726843, 1.887397, 1.960672, 2.032680", \
+					  "1.769403, 1.817053, 1.875334, 2.036198, 2.109256, 2.181229");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.115311, 0.175309, 0.258749, 0.517383, 0.650050, 0.782644", \
+					  "0.113743, 0.175704, 0.258287, 0.518579, 0.649252, 0.781462", \
+					  "0.114148, 0.175803, 0.258488, 0.518486, 0.650057, 0.782723");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.239917, 1.295529, 1.364723, 1.566952, 1.663894, 1.760314", \
+					  "1.305060, 1.360899, 1.429905, 1.631869, 1.728812, 1.825530", \
+					  "1.310116, 1.366035, 1.435046, 1.637592, 1.734448, 1.831525");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.223412, 0.293795, 0.402856, 0.785287, 0.980574, 1.181774", \
+					  "0.223514, 0.293108, 0.402963, 0.785076, 0.981519, 1.181733", \
+					  "0.223621, 0.294300, 0.404368, 0.787717, 0.980442, 1.180362");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.121508, 1.169102, 1.227329, 1.388056, 1.461422, 1.533521", \
+					  "1.179090, 1.226662, 1.284813, 1.445521, 1.519007, 1.591225", \
+					  "1.373708, 1.421354, 1.479421, 1.639841, 1.713321, 1.785240");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.114798, 0.176554, 0.257147, 0.517694, 0.649292, 0.780943", \
+					  "0.115086, 0.174963, 0.257224, 0.518705, 0.649034, 0.780762", \
+					  "0.114259, 0.174930, 0.256739, 0.519119, 0.648492, 0.781100");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.347501, 1.403299, 1.472789, 1.675146, 1.772224, 1.868734", \
+					  "1.451629, 1.507769, 1.576781, 1.778718, 1.875793, 1.972405", \
+					  "1.524369, 1.580421, 1.649582, 1.852392, 1.949769, 2.046567");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.223127, 0.292697, 0.403898, 0.787125, 0.982431, 1.182859", \
+					  "0.224154, 0.293914, 0.405170, 0.788255, 0.983935, 1.178206", \
+					  "0.223669, 0.293834, 0.404839, 0.786251, 0.985572, 1.180805");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.772381, 1.820052, 1.878060, 2.039387, 2.112670, 2.184552", \
+					  "1.717402, 1.765148, 1.822885, 1.984173, 2.057572, 2.129798", \
+					  "1.912309, 1.959668, 2.017918, 2.179139, 2.252356, 2.324402");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.116449, 0.176567, 0.258461, 0.519708, 0.650127, 0.781008", \
+					  "0.116464, 0.177801, 0.258772, 0.520995, 0.650060, 0.782301", \
+					  "0.116321, 0.177087, 0.259421, 0.519410, 0.650281, 0.781511");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_5v50.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_5v50.lib
new file mode 100644
index 0000000..eaa3e8a
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_125C_5v50.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 1.477380e-01;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.147738";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023866;
+			rise_capacitance_range(0.023259,0.024318);
+			capacitance : 0.023608;
+			fall_capacitance : 0.023350;
+			fall_capacitance_range(0.022676,0.024457);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.040367, 4.044918, 4.326484");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.395225, 3.621105, 3.997682");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032249;
+			rise_capacitance_range(0.031940,0.032463);
+			capacitance : 0.032093;
+			fall_capacitance : 0.031936;
+			fall_capacitance_range(0.031340,0.032622);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.298504, 4.252129, 4.476624");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.882587, 4.375732, 4.691997");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.015294;
+			rise_capacitance_range(0.014482,0.015852);
+			capacitance : 0.014967;
+			fall_capacitance : 0.014639;
+			fall_capacitance_range(0.013910,0.015633);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.704549, 2.496262, 2.756541");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.680957, 2.364990, 2.668592");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.090673;
+			rise_capacitance_range(0.083591,0.099785);
+			capacitance : 0.090307;
+			fall_capacitance : 0.088472;
+			fall_capacitance_range(0.083189,0.095333);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.087367;
+			rise_capacitance_range(0.069584,0.100149);
+			capacitance : 0.087882;
+			fall_capacitance : 0.088396;
+			fall_capacitance_range(0.065233,0.103601);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.366136, 1.161965, 1.606646");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("3.153937, 4.704292, 5.526669");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049637;
+			rise_capacitance_range(0.047042,0.052612);
+			capacitance : 0.050549;
+			fall_capacitance : 0.051461;
+			fall_capacitance_range(0.049447,0.052660);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.602008, 2.893344, 3.222064");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("3.018593, 4.260777, 4.823390");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037869;
+			rise_capacitance_range(0.036021,0.038935);
+			capacitance : 0.037900;
+			fall_capacitance : 0.037933;
+			fall_capacitance_range(0.036739,0.038842);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.355338, -0.334259, -0.347774");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.423396, 0.443715, 0.413485");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031289;
+			rise_capacitance_range(0.031060,0.031598);
+			capacitance : 0.031209;
+			fall_capacitance : 0.031129;
+			fall_capacitance_range(0.030838,0.031434);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.319538, -0.307989, -0.306109");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.326507, 0.309480, 0.307218");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.033487;
+			rise_capacitance_range(0.033111,0.034075);
+			capacitance : 0.033345;
+			fall_capacitance : 0.033203;
+			fall_capacitance_range(0.032885,0.033518);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.375637, -0.359569, -0.358346");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.379044, 0.361074, 0.359396");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.792762;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("72.145008, 70.998868, 70.226168, 67.991788, 62.462008, 63.198018", \
+					  "71.885839, 71.601959, 70.066109, 68.250159, 67.438129, 64.741989", \
+					  "72.274484, 71.686564, 70.401594, 68.575684, 65.138604, 63.507664");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("77.977774, 79.141204, 79.035344, 79.172384, 80.000614, 79.284944", \
+					  "78.270475, 79.364715, 79.315475, 79.631125, 80.204085, 79.073355", \
+					  "78.631895, 79.439505, 79.711525, 79.806845, 79.945695, 80.186365");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("70.738248, 70.652128, 68.886458, 67.317538, 63.786708, 64.288908", \
+					  "71.000269, 70.804079, 69.152269, 67.141929, 66.226979, 64.672879", \
+					  "71.253044, 70.744594, 69.366504, 67.749884, 65.055294, 62.297154");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("74.168224, 76.882784, 77.806274, 78.036214, 77.671704, 78.373944", \
+					  "74.531565, 76.857905, 78.108165, 78.332665, 77.623945, 78.946615", \
+					  "74.678335, 77.199635, 78.587075, 78.767415, 77.803985, 79.945835");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("121.341338, 123.021138, 123.157238, 122.491638, 121.720038, 120.452338", \
+					  "121.758159, 123.414759, 123.299759, 122.572959, 121.563159, 120.397159", \
+					  "121.564874, 123.363374, 123.429974, 122.846474, 122.005774, 120.685674");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("124.969904, 129.553804, 130.431604, 130.955004, 131.238704, 131.601804", \
+					  "125.202785, 129.431885, 130.561985, 131.135985, 131.408585, 131.783485", \
+					  "125.244415, 129.974915, 130.442715, 132.022715, 131.223015, 131.907315");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("113.326438, 119.765538, 120.817738, 119.997738, 118.514238, 117.208038", \
+					  "113.622359, 119.809459, 122.025959, 118.963959, 117.622759, 118.483659", \
+					  "114.020674, 119.815174, 120.325874, 120.812574, 119.046674, 117.256474");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("110.012204, 117.608504, 122.763704, 124.989604, 124.697804, 127.332404", \
+					  "109.582685, 117.214085, 122.932685, 124.155285, 124.928785, 127.721085", \
+					  "110.468315, 118.812215, 122.562615, 125.487915, 125.110015, 127.054415");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("96.897818, 97.723318, 96.317718, 96.016628, 94.104328, 91.927238", \
+					  "97.027299, 97.800279, 96.550699, 95.842759, 94.148919, 92.146279", \
+					  "97.262264, 97.963914, 96.858624, 96.028094, 94.419544, 92.541744");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("102.220904, 104.323004, 105.220504, 105.144604, 105.554804, 105.723204", \
+					  "102.510085, 104.472985, 105.295385, 105.404085, 105.734985, 105.878585", \
+					  "102.652815, 104.855215, 106.055615, 105.369815, 106.041215, 106.356715");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("92.628128, 95.747298, 94.608098, 93.756938, 91.867708, 89.828098", \
+					  "92.982229, 95.578859, 94.552469, 94.015479, 92.006309, 91.397099", \
+					  "92.667954, 96.007184, 95.463214, 93.995914, 92.452914, 90.591104");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("92.696314, 97.906064, 101.603904, 101.531704, 102.777204, 103.220404", \
+					  "92.319565, 98.096145, 101.758385, 101.577585, 103.139085, 103.577085", \
+					  "92.234985, 99.120825, 100.667815, 102.545915, 103.733615, 104.036315");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("146.207238, 148.566738, 148.438038, 148.909038, 147.114138, 146.382738", \
+					  "146.225759, 148.967559, 148.773759, 149.017659, 147.142259, 146.551859", \
+					  "146.432874, 148.501674, 149.309874, 148.932374, 147.709474, 147.333474");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("146.835904, 153.780404, 155.444304, 156.439304, 156.633204, 158.068504", \
+					  "146.693385, 153.460385, 155.808985, 156.419785, 156.793085, 158.481885", \
+					  "146.414015, 154.604815, 154.989815, 157.380515, 156.410615, 158.236415");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("133.198538, 142.254938, 146.075338, 145.586838, 143.771338, 142.274638", \
+					  "133.438659, 142.177259, 146.929659, 147.168859, 143.677659, 141.991059", \
+					  "133.493074, 141.887474, 145.683174, 145.137574, 145.159574, 143.043374");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("128.431004, 135.939704, 140.636804, 147.061704, 148.859304, 147.784104", \
+					  "127.485285, 136.408185, 140.696685, 147.186085, 148.683385, 147.941185", \
+					  "127.910415, 135.788915, 140.787615, 147.202215, 148.989315, 148.099515");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("69.633324, 68.309884, 67.268424, 64.864094, 61.938884, 61.429284", \
+					  "55.133520, 53.901570, 52.816050, 50.452770, 47.853010, 46.420140", \
+					  "54.683850, 53.585380, 52.246460, 50.208720, 47.920150, 45.431090");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.082375, 12.545645, 12.932585, 13.115445, 13.222085, 13.290255", \
+					  "4.386550, 6.121750, 6.528440, 6.668580, 6.747250, 6.795610", \
+					  "4.984850, 6.142450, 6.457160, 6.458930, 6.529700, 6.594980");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("68.466964, 67.654824, 66.014274, 64.121094, 61.916604, 59.224614", \
+					  "54.066960, 53.272770, 51.523130, 49.629980, 47.086140, 45.197130", \
+					  "53.617110, 52.932730, 51.161460, 49.200090, 47.118640, 44.347070");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.046655, 12.484605, 12.837965, 13.075405, 13.177695, 13.242125", \
+					  "4.301910, 5.834310, 6.310490, 6.507290, 6.595530, 6.659970", \
+					  "5.305640, 6.743440, 7.079790, 7.071910, 7.117740, 7.172360");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("111.194734, 111.180134, 110.317534, 109.103334, 107.959134, 106.438334", \
+					  "96.446060, 96.143260, 95.691260, 94.052160, 93.309760, 91.821560", \
+					  "95.486370, 95.490470, 94.554470, 93.654370, 92.597470, 90.826170");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.158105, 31.928775, 33.322355, 34.000925, 34.404395, 34.675465", \
+					  "22.955130, 27.152850, 28.309930, 29.106140, 29.603480, 29.927370", \
+					  "23.828710, 27.237300, 29.312490, 30.142600, 30.492730, 30.721730");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("103.476434, 107.979434, 107.562634, 106.343434, 105.205234, 103.758334", \
+					  "88.446260, 92.677160, 93.151160, 92.026060, 90.170960, 88.459260", \
+					  "88.057270, 91.969870, 92.651770, 91.518170, 89.632270, 87.907670");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.173375, 32.010875, 33.333545, 33.967325, 34.357455, 34.630435", \
+					  "22.815470, 27.256710, 28.519450, 29.037880, 29.431300, 29.784020", \
+					  "23.830250, 28.502930, 31.046200, 31.974480, 31.796960, 32.484950");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("94.822354, 93.579794, 92.582864, 91.290854, 89.825164, 88.410524", \
+					  "79.464500, 78.554960, 77.500070, 76.037510, 74.754700, 73.128430", \
+					  "79.298020, 77.875290, 76.679560, 75.493960, 73.806500, 72.127360");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.336925, 23.274875, 24.168685, 24.579355, 24.813115, 24.963975", \
+					  "13.827510, 16.937960, 17.745430, 18.119280, 18.346390, 18.497040", \
+					  "14.817780, 17.638740, 18.123310, 18.502740, 18.837050, 19.059890");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("90.303884, 92.187634, 91.272884, 89.498564, 87.790044, 86.165014", \
+					  "75.126030, 76.743450, 75.562070, 74.118130, 72.442440, 70.701530", \
+					  "74.415740, 76.237840, 74.837600, 73.356440, 71.807430, 70.132950");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.345635, 23.350275, 24.239205, 24.621505, 24.863715, 25.018275", \
+					  "14.068280, 16.860470, 17.649590, 18.014520, 18.229300, 18.373030", \
+					  "14.851890, 17.452280, 18.253360, 18.629720, 18.770760, 18.933420");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("134.948134, 135.488434, 134.333034, 133.733834, 131.930734, 130.778034", \
+					  "119.894760, 119.711260, 119.177960, 117.595360, 116.916260, 115.720560", \
+					  "119.325270, 119.261270, 118.220270, 116.971170, 115.837070, 114.575370");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("37.058825, 41.865095, 43.826335, 44.815645, 45.431275, 45.859955", \
+					  "32.031700, 37.507250, 39.255030, 40.084940, 40.654520, 41.059780", \
+					  "33.355900, 38.513180, 40.677070, 42.020550, 42.830420, 43.269090");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("122.929734, 129.520434, 131.855934, 130.025134, 129.426334, 126.917534", \
+					  "107.438160, 114.058860, 114.715760, 114.715460, 113.857060, 111.490660", \
+					  "106.814270, 112.240970, 113.952370, 114.454270, 112.577570, 111.332370");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("37.218575, 42.071695, 44.106445, 45.139675, 45.684485, 46.072905", \
+					  "32.280070, 37.408370, 39.007250, 39.905410, 40.499750, 40.976110", \
+					  "33.435080, 37.905620, 39.289750, 40.824580, 41.965420, 43.004710");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("63.508354, 63.976104, 63.994244, 64.242374, 64.088294, 63.217244", \
+					  "49.821330, 50.291070, 50.316990, 50.608630, 50.317980, 49.166290", \
+					  "49.771100, 50.102650, 50.228880, 50.325640, 50.293340, 50.237490");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("7.573395, -174.442075, -356.095075, -537.666875, -719.208275, -900.734975", \
+					  "-0.001920, -181.917880, -363.428180, -544.975280, -726.510580, -908.035380", \
+					  "0.033490, -181.674430, -363.209530, -544.672830, -726.180530, -907.695030");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("59.958714, 62.168024, 62.719434, 62.877354, 62.678444, 63.048724", \
+					  "46.212040, 48.485680, 49.034750, 49.194290, 49.038850, 49.350580", \
+					  "46.124470, 48.449260, 48.975150, 49.120200, 49.071830, 49.101450");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("7.292455, -174.823675, -356.490675, -538.063075, -719.604475, -901.131575", \
+					  "0.060870, -181.960980, -363.588280, -545.158380, -726.704180, -908.236280", \
+					  "0.391470, -181.034330, -362.652030, -544.221130, -725.768930, -907.306630");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("92.008774, 94.794404, 95.177934, 94.890264, 95.385104, 95.391344", \
+					  "78.492550, 81.209270, 81.624740, 81.386010, 81.866870, 81.836400", \
+					  "78.795590, 81.298070, 81.577740, 81.895930, 81.832980, 81.941860");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.196325, -158.095675, -339.901075, -521.573775, -703.173975, -884.761275", \
+					  "17.156090, -165.194880, -347.116380, -528.354880, -710.050080, -891.902280", \
+					  "17.987210, -164.467230, -345.952630, -527.715030, -709.437130, -891.018430");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("79.114364, 83.655414, 86.839834, 88.721724, 90.290284, 90.808454", \
+					  "65.337550, 70.069720, 73.279620, 75.276270, 76.633760, 77.266150", \
+					  "65.350880, 70.059760, 73.499170, 75.481090, 76.751650, 77.442830");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.315195, -158.003275, -339.814775, -521.481375, -703.085075, -884.653975", \
+					  "17.815210, -163.604980, -345.057180, -526.631580, -708.209380, -889.775180", \
+					  "18.029170, -164.659430, -346.733130, -528.198630, -709.589230, -891.040130");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("78.950494, 79.811564, 80.066784, 80.169694, 80.328374, 80.337194", \
+					  "65.509080, 66.287230, 66.291110, 66.892150, 66.760790, 66.740750", \
+					  "65.652150, 66.519510, 66.758740, 66.847280, 66.939420, 67.002500");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.118695, -166.153175, -347.893275, -529.508275, -711.078475, -892.625875", \
+					  "8.950360, -173.344380, -355.183980, -536.783480, -718.331980, -899.855380", \
+					  "9.799910, -172.529430, -354.337830, -535.951830, -717.532730, -899.090430");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("70.069144, 74.262824, 76.012394, 77.362184, 77.807874, 77.919974", \
+					  "56.533090, 60.622640, 62.939170, 63.591940, 64.140790, 64.357210", \
+					  "56.507640, 60.801150, 63.000240, 63.884490, 64.361170, 64.534070");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.289795, -165.960775, -347.709775, -529.330175, -710.900575, -892.446675", \
+					  "8.164640, -174.124680, -355.614480, -537.108480, -718.607680, -900.109480", \
+					  "9.856620, -172.478030, -354.624730, -536.371030, -717.979730, -899.537930");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("105.540934, 110.187334, 110.699434, 111.151234, 111.032434, 111.516334", \
+					  "92.157260, 96.860660, 97.245060, 97.774360, 97.604860, 98.300160", \
+					  "92.695470, 97.274270, 97.950470, 98.204970, 98.286270, 98.464670");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.688205, -149.608975, -331.435875, -513.099275, -694.738475, -876.291875", \
+					  "25.896340, -156.458680, -338.303480, -519.990880, -701.594080, -883.143480", \
+					  "26.979760, -155.848030, -337.204830, -518.650330, -700.224430, -881.827930");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("89.215714, 93.865354, 98.236234, 100.285434, 103.248434, 104.693034", \
+					  "75.378980, 80.256890, 84.942910, 86.671660, 89.435160, 91.469260", \
+					  "75.741010, 80.968710, 84.928070, 87.783370, 89.571670, 90.987870");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.828435, -149.506875, -331.371275, -513.072575, -694.695175, -876.276275", \
+					  "26.237150, -155.954880, -337.724080, -519.422680, -701.066880, -882.675980", \
+					  "27.362790, -155.302830, -337.054330, -518.454430, -700.339030, -882.208330");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("211.620688, 217.182491, 217.131698, 215.517036, 210.835126, 208.890004", \
+					  "211.985143, 217.538553, 217.837671, 215.743440, 212.456543, 210.095496", \
+					  "212.242310, 217.492488, 217.709708, 216.019643, 212.746963, 209.314166");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("214.328058, 223.783868, 228.235610, 230.832630, 231.858336, 232.847328", \
+					  "214.148957, 223.826572, 228.619438, 230.960650, 232.128985, 233.350820", \
+					  "214.558798, 224.949010, 228.451198, 232.145838, 232.314368, 233.955722");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("119.634758, -61.336741, -243.688027, -426.851882, -610.061546, -793.225103", \
+					  "97.536874, -83.437256, -265.734823, -448.724776, -631.835564, -815.099271", \
+					  "97.767290, -83.549990, -265.933135, -448.672256, -631.969330, -815.380599");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("103.973370, 110.281608, 112.814176, 114.126943, 115.101683, 115.585712", \
+					  "84.513562, 91.145354, 93.624995, 94.854919, 95.738323, 96.319966", \
+					  "85.631051, 91.951165, 94.644699, 96.146060, 96.931139, 97.619234");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.112845, 2.851851, 3.583585, 4.307960, 5.036702, 5.774595", \
+					  "2.151409, 2.890839, 3.621988, 4.350103, 5.082269, 5.813971", \
+					  "2.154476, 2.893806, 3.625030, 4.351287, 5.081495, 5.816367");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.897937, 2.438848, 4.022707, 5.604829, 7.189750, 8.788795", \
+					  "0.898088, 2.433900, 4.015416, 5.593117, 7.194360, 8.790392", \
+					  "0.897324, 2.433501, 4.019215, 5.597311, 7.175216, 8.790569");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.201951, 2.902672, 3.579138, 4.249468, 4.922250, 5.592326", \
+					  "2.339074, 3.040980, 3.714518, 4.389610, 5.061630, 5.731092", \
+					  "2.492410, 3.194435, 3.869191, 4.543571, 5.215000, 5.887498");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.806479, 2.091351, 3.425024, 4.762279, 6.106656, 7.454533", \
+					  "0.807927, 2.098432, 3.422223, 4.758412, 6.100387, 7.451634", \
+					  "0.806188, 2.096293, 3.423414, 4.764325, 6.102362, 7.454091");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.489398, 3.505897, 4.275585, 5.013532, 5.742833, 6.471106", \
+					  "2.527683, 3.542876, 4.315655, 5.052133, 5.780949, 6.508694", \
+					  "2.530564, 3.547174, 4.317505, 5.054779, 5.786841, 6.518134");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.514416, 2.962438, 4.391135, 5.860534, 7.396562, 8.931411", \
+					  "1.514055, 2.959835, 4.393399, 5.858408, 7.399163, 8.946343", \
+					  "1.514947, 2.957959, 4.383221, 5.872526, 7.391823, 8.949542");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.363955, 3.518690, 4.426045, 5.222537, 5.959277, 6.667821", \
+					  "2.501413, 3.656087, 4.562966, 5.360091, 6.096105, 6.805256", \
+					  "2.654160, 3.809698, 4.715101, 5.510989, 6.248162, 6.957919");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.281884, 2.950533, 4.313389, 5.606487, 6.889608, 8.146938", \
+					  "1.281909, 2.948160, 4.316370, 5.613138, 6.888800, 8.138035", \
+					  "1.279191, 2.952190, 4.319675, 5.611814, 6.881473, 8.158992");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.818742, 2.107500, 2.356912, 2.602813, 2.845104, 3.089586", \
+					  "1.857254, 2.145986, 2.395725, 2.640510, 2.885203, 3.129136", \
+					  "1.860508, 2.149152, 2.398474, 2.644276, 2.887594, 3.131913");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.454439, 0.912136, 1.410345, 1.924123, 2.442790, 2.965863", \
+					  "0.453489, 0.910382, 1.412131, 1.923827, 2.445785, 2.972039", \
+					  "0.454592, 0.911741, 1.410156, 1.924500, 2.442864, 2.969805");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.914979, 2.220262, 2.465568, 2.697010, 2.925296, 3.150942", \
+					  "2.051942, 2.357587, 2.602559, 2.834440, 3.062549, 3.288267", \
+					  "2.205018, 2.510310, 2.755616, 2.987769, 3.214330, 3.439616");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.409801, 0.830962, 1.248441, 1.676164, 2.107007, 2.545545", \
+					  "0.409363, 0.830788, 1.248789, 1.674224, 2.109455, 2.547964", \
+					  "0.409803, 0.830830, 1.246714, 1.677489, 2.104444, 2.542504");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.852996, 2.483344, 2.891929, 3.213904, 3.500265, 3.766337", \
+					  "1.892058, 2.522661, 2.929775, 3.253118, 3.538536, 3.803529", \
+					  "1.894881, 2.525051, 2.933167, 3.255273, 3.542248, 3.808762");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.791263, 1.530292, 2.039737, 2.508560, 2.963468, 3.428108", \
+					  "0.790954, 1.529171, 2.037936, 2.509901, 2.970782, 3.440461", \
+					  "0.791447, 1.530810, 2.039987, 2.505985, 2.971190, 3.435455");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.904614, 2.373414, 2.794966, 3.176123, 3.522534, 3.841909", \
+					  "2.041846, 2.510598, 2.932256, 3.313237, 3.659701, 3.979626", \
+					  "2.194421, 2.663637, 3.085228, 3.465703, 3.811794, 4.131448");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.507991, 1.285261, 1.909721, 2.458313, 2.957369, 3.424497", \
+					  "0.507740, 1.286451, 1.911455, 2.457845, 2.957747, 3.423692", \
+					  "0.506497, 1.288461, 1.912724, 2.455954, 2.952738, 3.422446");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.907195, 2.299454, 2.667657, 3.033986, 3.396369, 3.760800", \
+					  "1.945679, 2.337970, 2.705598, 3.071996, 3.436006, 3.800881", \
+					  "1.948689, 2.340955, 2.708519, 3.074982, 3.439272, 3.804086");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.554728, 1.281379, 2.051185, 2.835823, 3.622293, 4.410883", \
+					  "0.554674, 1.281372, 2.051810, 2.829579, 3.626477, 4.418248", \
+					  "0.554196, 1.281290, 2.051850, 2.828706, 3.626562, 4.418444");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.996223, 2.393291, 2.740921, 3.080468, 3.416301, 3.752922", \
+					  "2.133527, 2.530558, 2.878232, 3.217780, 3.553633, 3.890264", \
+					  "2.286127, 2.683518, 3.031396, 3.370914, 3.707479, 4.044433");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.507384, 1.132367, 1.775027, 2.432912, 3.097745, 3.761234", \
+					  "0.507684, 1.132143, 1.775283, 2.432643, 3.097660, 3.760971", \
+					  "0.508149, 1.132597, 1.778660, 2.432930, 3.091061, 3.761140");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.048031, 2.803606, 3.291464, 3.704140, 4.086566, 4.459861", \
+					  "2.086955, 2.842629, 3.331354, 3.743990, 4.126868, 4.497466", \
+					  "2.090123, 2.845575, 3.333097, 3.745531, 4.129185, 4.501905");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.022532, 1.915169, 2.614709, 3.317148, 4.035314, 4.755953", \
+					  "1.022943, 1.913792, 2.622352, 3.312494, 4.030531, 4.752537", \
+					  "1.024557, 1.915048, 2.618307, 3.320862, 4.033300, 4.758465");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.019010, 2.688771, 3.261478, 3.758836, 4.207805, 4.622512", \
+					  "2.156145, 2.826386, 3.399084, 3.895625, 4.345116, 4.759573", \
+					  "2.309293, 2.979265, 3.551025, 4.047606, 4.497048, 4.913637");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.718707, 1.765672, 2.577692, 3.298188, 3.978618, 4.633315", \
+					  "0.717810, 1.765979, 2.579123, 3.300423, 3.976961, 4.630957", \
+					  "0.716612, 1.763423, 2.579186, 3.297486, 3.973797, 4.638992");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.765962, 2.008402, 2.201954, 2.387892, 2.571122, 2.754419", \
+					  "1.804595, 2.047019, 2.240501, 2.426260, 2.610276, 2.792989", \
+					  "1.807896, 2.050704, 2.243425, 2.429004, 2.614221, 2.797031");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.411990, 0.737643, 1.099283, 1.473239, 1.861096, 2.251590", \
+					  "0.412103, 0.738857, 1.099950, 1.476895, 1.861728, 2.251420", \
+					  "0.411933, 0.739143, 1.098684, 1.475657, 1.862908, 2.249520");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.861249, 2.123767, 2.320392, 2.500259, 2.674936, 2.846214", \
+					  "1.998627, 2.261055, 2.457665, 2.637535, 2.812205, 2.983470", \
+					  "2.151705, 2.414178, 2.610506, 2.790821, 2.965019, 3.136580");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.355814, 0.681477, 0.990588, 1.305425, 1.626104, 1.951229", \
+					  "0.355687, 0.681062, 0.990478, 1.305319, 1.626036, 1.951200", \
+					  "0.355835, 0.681246, 0.990110, 1.304016, 1.623609, 1.947792");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.750436, 2.283210, 2.649702, 2.932182, 3.173909, 3.393058", \
+					  "1.789175, 2.321996, 2.688711, 2.971694, 3.213101, 3.430592", \
+					  "1.792540, 2.325008, 2.692097, 2.974216, 3.215866, 3.435325");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.645453, 1.305873, 1.733848, 2.100259, 2.453333, 2.801190", \
+					  "0.644634, 1.305900, 1.733683, 2.100576, 2.453196, 2.799116", \
+					  "0.646286, 1.304790, 1.733592, 2.100821, 2.452770, 2.800882");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.843081, 2.206607, 2.538270, 2.844936, 3.128750, 3.391627", \
+					  "1.980293, 2.343911, 2.675515, 2.982251, 3.266040, 3.528944", \
+					  "2.133201, 2.496444, 2.828618, 3.134713, 3.418171, 3.681559");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.401461, 1.016599, 1.528163, 1.975701, 2.378026, 2.756305", \
+					  "0.401418, 1.016307, 1.527593, 1.975973, 2.378564, 2.756061", \
+					  "0.400503, 1.016744, 1.529547, 1.974143, 2.380177, 2.758472");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.868552, 2.603358, 3.334060, 4.062539, 4.792182, 5.521616", \
+					  "1.866500, 2.601234, 3.331911, 4.061175, 4.790911, 5.518858", \
+					  "1.845216, 2.579817, 3.310008, 4.041659, 4.771458, 5.493893");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.912927, 2.443117, 4.019300, 5.608875, 7.197618, 8.774795", \
+					  "0.912563, 2.442924, 4.017790, 5.608912, 7.197001, 8.770605", \
+					  "0.910857, 2.441835, 4.011850, 5.608510, 7.193353, 8.760526");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("2.230812, 3.255787, 4.026226, 4.763062, 5.494379, 6.222941", \
+					  "2.228955, 3.254007, 4.024302, 4.761652, 5.492339, 6.220829", \
+					  "2.208636, 3.231956, 4.002533, 4.740210, 5.469933, 6.198417");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.540960, 2.986580, 4.408057, 5.884124, 7.394549, 8.923366", \
+					  "1.540781, 2.987521, 4.407573, 5.879822, 7.397572, 8.918533", \
+					  "1.539654, 2.990089, 4.406874, 5.873948, 7.402215, 8.929404");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.583377, 1.871113, 2.119224, 2.362832, 2.607011, 2.849994", \
+					  "1.598046, 1.886966, 2.134928, 2.379459, 2.621852, 2.864063", \
+					  "1.588397, 1.876871, 2.125086, 2.369107, 2.612979, 2.855816");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.490526, 0.923561, 1.418167, 1.927337, 2.449178, 2.971758", \
+					  "0.489827, 0.926559, 1.416607, 1.929234, 2.448042, 2.969796", \
+					  "0.489811, 0.924177, 1.418446, 1.927254, 2.448030, 2.970425");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.609015, 2.236576, 2.645067, 2.970236, 3.255317, 3.523438", \
+					  "1.625814, 2.251898, 2.661731, 2.984887, 3.271935, 3.537827", \
+					  "1.617046, 2.242739, 2.652380, 2.975527, 3.262377, 3.528030");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.801772, 1.549002, 2.063423, 2.533069, 2.993412, 3.458954", \
+					  "0.802573, 1.546930, 2.063644, 2.528861, 2.986128, 3.453146", \
+					  "0.799912, 1.546247, 2.062476, 2.529239, 2.985504, 3.453892");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.654308, 2.044852, 2.411334, 2.774336, 3.140740, 3.505544", \
+					  "1.652569, 2.043152, 2.408606, 2.774406, 3.136496, 3.500314", \
+					  "1.630753, 2.021273, 2.387823, 2.750835, 3.117155, 3.482001");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.582121, 1.289058, 2.054730, 2.839412, 3.626941, 4.418535", \
+					  "0.584886, 1.289012, 2.056304, 2.832985, 3.626075, 4.416200", \
+					  "0.581696, 1.288054, 2.054302, 2.839055, 3.627270, 4.418298");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.778957, 2.539556, 3.030494, 3.444338, 3.826620, 4.199863", \
+					  "1.777470, 2.538539, 3.028887, 3.443500, 3.827287, 4.198641", \
+					  "1.756391, 2.516571, 3.007893, 3.421214, 3.805345, 4.177193");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.038425, 1.944240, 2.643886, 3.340763, 4.050206, 4.779950", \
+					  "1.039626, 1.943574, 2.648971, 3.337893, 4.054939, 4.775750", \
+					  "1.036455, 1.942738, 2.650284, 3.339568, 4.052151, 4.779348");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.515284, 1.760021, 1.952089, 2.136832, 2.318730, 2.501337", \
+					  "1.527200, 1.772704, 1.964179, 2.149320, 2.332271, 2.514520", \
+					  "1.514718, 1.760960, 1.953213, 2.138061, 2.320162, 2.503030");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.450356, 0.759888, 1.111542, 1.484148, 1.867564, 2.255387", \
+					  "0.450002, 0.761348, 1.113025, 1.483525, 1.867014, 2.253757", \
+					  "0.449319, 0.762194, 1.113519, 1.486729, 1.868861, 2.256804");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.495397, 2.020268, 2.388620, 2.672383, 2.915433, 3.135846", \
+					  "1.509120, 2.033574, 2.402425, 2.685266, 2.927683, 3.148264", \
+					  "1.498173, 2.022227, 2.390381, 2.674701, 2.916596, 3.134887");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.658268, 1.320070, 1.755935, 2.128194, 2.476001, 2.824964", \
+					  "0.658495, 1.319515, 1.755129, 2.125662, 2.474088, 2.823688", \
+					  "0.660019, 1.318880, 1.754278, 2.124495, 2.475580, 2.820634");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.437922, 2.137834, 2.811032, 3.485386, 4.156886, 4.828670", \
+					  "1.475953, 2.175869, 2.849358, 3.523444, 4.194784, 4.866951", \
+					  "1.480398, 2.180283, 2.854691, 3.528010, 4.198766, 4.871151");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.818929, 2.097337, 3.426546, 4.765152, 6.105862, 7.445310", \
+					  "0.818999, 2.098577, 3.426120, 4.765011, 6.104178, 7.450273", \
+					  "0.818024, 2.102230, 3.422834, 4.764377, 6.098168, 7.453957");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.591168, 2.738052, 3.643820, 4.439701, 5.176123, 5.884543", \
+					  "1.629153, 2.776125, 3.682061, 4.477592, 5.214329, 5.922469", \
+					  "1.633597, 2.780968, 3.685849, 4.482503, 5.217894, 5.927173");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.280717, 2.948570, 4.312240, 5.606406, 6.889834, 8.146811", \
+					  "1.280561, 2.949663, 4.310556, 5.604239, 6.887850, 8.149768", \
+					  "1.281010, 2.947989, 4.317062, 5.616775, 6.885605, 8.154755");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.212169, 1.519608, 1.764924, 1.996451, 2.222825, 2.447603", \
+					  "1.249772, 1.557120, 1.802432, 2.033961, 2.260302, 2.485183", \
+					  "1.253974, 1.561447, 1.806748, 2.038291, 2.264413, 2.490042");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.424548, 0.847242, 1.261884, 1.686872, 2.110528, 2.546381", \
+					  "0.424479, 0.846942, 1.261625, 1.686758, 2.111177, 2.547240", \
+					  "0.424858, 0.846173, 1.260071, 1.685587, 2.115661, 2.552708");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.208695, 1.664680, 2.078127, 2.454219, 2.797281, 3.113909", \
+					  "1.246165, 1.702157, 2.115622, 2.491725, 2.834768, 3.151520", \
+					  "1.250362, 1.705953, 2.120410, 2.495311, 2.838307, 3.157086");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.520225, 1.277795, 1.897323, 2.441842, 2.941089, 3.410332", \
+					  "0.520038, 1.277311, 1.896939, 2.442309, 2.941163, 3.410307", \
+					  "0.519030, 1.278793, 1.900413, 2.441016, 2.938896, 3.409385");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.220086, 1.616039, 1.962684, 2.301117, 2.638324, 2.975070", \
+					  "1.257982, 1.653772, 2.000408, 2.339125, 2.674438, 3.010452", \
+					  "1.262572, 1.658441, 2.004632, 2.342309, 2.680881, 3.017495");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.522796, 1.144239, 1.780401, 2.436213, 3.099990, 3.765078", \
+					  "0.523620, 1.139941, 1.781323, 2.436824, 3.100025, 3.764433", \
+					  "0.523113, 1.141602, 1.783461, 2.431360, 3.090697, 3.754113");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.240371, 1.899578, 2.467201, 2.962937, 3.410203, 3.827904", \
+					  "1.278280, 1.937376, 2.505925, 3.002359, 3.449443, 3.864566", \
+					  "1.282348, 1.942148, 2.510594, 3.006462, 3.454420, 3.868871");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.721572, 1.762549, 2.576559, 3.297031, 3.976946, 4.627828", \
+					  "0.721477, 1.761628, 2.575309, 3.295568, 3.977132, 4.633800", \
+					  "0.721854, 1.763611, 2.575822, 3.296163, 3.977338, 4.632722");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.131285, 1.395447, 1.592348, 1.772505, 1.946347, 2.117429", \
+					  "1.168936, 1.433112, 1.629981, 1.810130, 1.983982, 2.155056", \
+					  "1.173166, 1.437330, 1.634070, 1.814132, 1.988234, 2.158993");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.372263, 0.699199, 1.007672, 1.319584, 1.636334, 1.956420", \
+					  "0.372264, 0.699337, 1.007722, 1.319474, 1.636211, 1.956282", \
+					  "0.372220, 0.699694, 1.006782, 1.317871, 1.633673, 1.957372");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.121015, 1.475078, 1.799855, 2.102365, 2.382760, 2.644784", \
+					  "1.158658, 1.512554, 1.837568, 2.140026, 2.420544, 2.682376", \
+					  "1.162496, 1.516681, 1.841562, 2.144101, 2.424560, 2.686537");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.423521, 1.016901, 1.523954, 1.964149, 2.369595, 2.751042", \
+					  "0.422138, 1.014815, 1.522804, 1.966786, 2.371890, 2.749749", \
+					  "0.422062, 1.016182, 1.523535, 1.965292, 2.370799, 2.750730");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.848657, 0.848658, 0.848659, 0.848660, 0.848661, 0.848662", \
+					  "0.984285, 0.984286, 0.984287, 0.984288, 0.984289, 0.984290", \
+					  "1.138123, 1.138124, 1.138125, 1.138126, 1.138127, 1.138128");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.837937, 0.837938, 0.837939, 0.837940, 0.837941, 0.837942", \
+					  "0.972352, 0.972353, 0.972354, 0.972355, 0.972356, 0.972357", \
+					  "1.127901, 1.127902, 1.127903, 1.127904, 1.127905, 1.127906");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.937686, 0.937687, 0.937688, 0.937689, 0.937690, 0.937691", \
+					  "1.073522, 1.073523, 1.073524, 1.073525, 1.073526, 1.073527", \
+					  "1.227572, 1.227573, 1.227574, 1.227575, 1.227576, 1.227577");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.926629, 0.926630, 0.926631, 0.926632, 0.926633, 0.926634", \
+					  "1.062046, 1.062047, 1.062048, 1.062049, 1.062050, 1.062051", \
+					  "1.215981, 1.215982, 1.215983, 1.215984, 1.215985, 1.215986");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.842294, 0.842295, 0.842296, 0.842297, 0.842298, 0.842299", \
+					  "0.977807, 0.977808, 0.977809, 0.977810, 0.977811, 0.977812", \
+					  "1.133348, 1.133349, 1.133350, 1.133351, 1.133352, 1.133353");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.832097, 0.832098, 0.832099, 0.832100, 0.832101, 0.832102", \
+					  "0.967880, 0.967881, 0.967882, 0.967883, 0.967884, 0.967885", \
+					  "1.122435, 1.122436, 1.122437, 1.122438, 1.122439, 1.122440");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.922485, 0.922486, 0.922487, 0.922488, 0.922489, 0.922490", \
+					  "1.057105, 1.057106, 1.057107, 1.057108, 1.057109, 1.057110", \
+					  "1.211986, 1.211987, 1.211988, 1.211989, 1.211990, 1.211991");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.911684, 0.911685, 0.911686, 0.911687, 0.911688, 0.911689", \
+					  "1.046523, 1.046524, 1.046525, 1.046526, 1.046527, 1.046528", \
+					  "1.200326, 1.200327, 1.200328, 1.200329, 1.200330, 1.200331");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.983716, 0.983717, 0.983718, 0.983719, 0.983720, 0.983721", \
+					  "1.123871, 1.123872, 1.123873, 1.123874, 1.123875, 1.123876", \
+					  "1.285175, 1.285176, 1.285177, 1.285178, 1.285179, 1.285180");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.984024, 0.984025, 0.984026, 0.984027, 0.984028, 0.984029", \
+					  "1.120923, 1.120924, 1.120925, 1.120926, 1.120927, 1.120928", \
+					  "1.283024, 1.283025, 1.283026, 1.283027, 1.283028, 1.283029");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.111846, 1.111847, 1.111848, 1.111849, 1.111850, 1.111851", \
+					  "1.252124, 1.253044, 1.253045, 1.253046, 1.253047, 1.253048", \
+					  "1.415319, 1.415320, 1.415321, 1.415322, 1.415323, 1.415324");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.110589, 1.110590, 1.110591, 1.110592, 1.110593, 1.110594", \
+					  "1.248455, 1.248456, 1.248457, 1.248458, 1.248459, 1.248460", \
+					  "1.412762, 1.412763, 1.412764, 1.412765, 1.412766, 1.412767");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.975213, 0.975214, 0.975215, 0.975216, 0.975217, 0.975218", \
+					  "1.113946, 1.113947, 1.113948, 1.113949, 1.113950, 1.113951", \
+					  "1.276747, 1.276748, 1.276749, 1.276750, 1.276751, 1.276752");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("0.975901, 0.975902, 0.975903, 0.975904, 0.975905, 0.975906", \
+					  "1.112897, 1.112898, 1.112899, 1.112900, 1.112901, 1.112902", \
+					  "1.274498, 1.274499, 1.274500, 1.274501, 1.274502, 1.274503");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.099409, 1.099410, 1.099411, 1.099412, 1.099413, 1.099414", \
+					  "1.238427, 1.238428, 1.238429, 1.238430, 1.238431, 1.238432", \
+					  "1.401239, 1.401532, 1.401533, 1.401534, 1.401535, 1.401536");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792762, 8.792762, 14.792762, 20.792762, 26.792762, 32.792762");
+					values("1.096612, 1.096613, 1.096614, 1.096615, 1.096616, 1.096617", \
+					  "1.236061, 1.236062, 1.236063, 1.236064, 1.236065, 1.236066", \
+					  "1.396875, 1.396876, 1.396877, 1.396878, 1.396879, 1.396880");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-48.441060, -48.782110, -48.553440");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("48.811440, 49.729440, 49.253370");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.109339, 6.059861, 5.842627, 5.593371, 5.442676, 5.352407", \
+					  "5.491071, 5.391285, 5.318160, 4.805591, 4.822829, 4.710052", \
+					  "5.503740, 5.323170, 5.161135, 4.777344, 4.872251, 4.700257");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.813364, 6.860455, 6.846198, 6.937913, 6.904478, 7.330005", \
+					  "6.301479, 6.216226, 6.350072, 6.325612, 6.137564, 6.544885", \
+					  "6.424792, 6.462999, 6.569856, 6.532354, 6.111083, 6.908238");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.916368, 6.727059, 6.552333, 6.363543, 6.311691, 6.105343", \
+					  "6.292374, 6.076740, 5.896362, 5.761737, 5.562643, 5.512791", \
+					  "6.264628, 6.057656, 5.885870, 5.829748, 5.602457, 5.459346");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("7.187263, 7.346697, 7.277518, 7.825126, 7.955497, 7.907861", \
+					  "6.760487, 6.879449, 6.730058, 7.368488, 7.436784, 7.325174", \
+					  "6.700503, 6.888438, 6.764119, 7.297966, 7.204749, 7.188696");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.504060, 4.298720, 4.120020, 3.781280, 3.692960, 3.601050", \
+					  "5.053980, 4.815540, 4.625930, 4.304660, 4.212420, 4.082120", \
+					  "5.154830, 4.908610, 4.683030, 4.347500, 4.198600, 4.120100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.436500, 4.426440, 4.514810, 4.688960, 4.738710, 4.777520", \
+					  "4.242260, 4.229900, 4.311360, 4.487990, 4.533120, 4.574960", \
+					  "3.898450, 3.888670, 3.969570, 4.145270, 4.203750, 4.231640");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.798580, 4.587220, 4.407460, 4.072530, 3.983440, 3.891170", \
+					  "6.255510, 6.046710, 5.859770, 5.557520, 5.466640, 5.365380", \
+					  "6.511810, 6.322750, 6.142550, 5.828770, 5.693040, 5.613060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.163020, 6.188040, 6.358030, 6.812090, 6.954310, 7.068320", \
+					  "5.293280, 5.315060, 5.486540, 5.942180, 6.087900, 6.195380", \
+					  "6.108210, 6.152450, 6.330400, 6.782110, 6.931170, 7.039310");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.512854, 6.393460, 6.197480, 5.978457, 5.877183, 5.728875", \
+					  "5.891723, 5.734013, 5.607261, 5.283664, 5.192736, 5.111422", \
+					  "5.884184, 5.690413, 5.523502, 5.303546, 5.237354, 5.079802");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("7.000313, 7.103576, 7.061858, 7.381519, 7.429988, 7.618933", \
+					  "6.530983, 6.547837, 6.540065, 6.847050, 6.787174, 6.935029", \
+					  "6.562648, 6.675719, 6.666987, 6.915160, 6.657916, 7.048467");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.651320, 4.442970, 4.263740, 3.926905, 3.838200, 3.746110", \
+					  "5.654745, 5.431125, 5.242850, 4.931090, 4.839530, 4.723750", \
+					  "5.833320, 5.615680, 5.412790, 5.088135, 4.945820, 4.866580");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.299760, 5.307240, 5.436420, 5.750525, 5.846510, 5.922920", \
+					  "4.767770, 4.772480, 4.898950, 5.215085, 5.310510, 5.385170", \
+					  "5.003330, 5.020560, 5.149985, 5.463690, 5.567460, 5.635475");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.280360, 1.320841, 1.369655, 1.510508, 1.577739, 1.644866", \
+					  "1.342749, 1.384070, 1.432552, 1.573154, 1.640437, 1.707632", \
+					  "1.375644, 1.416388, 1.465555, 1.605861, 1.673329, 1.740660");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.192767, 0.231394, 0.306681, 0.570411, 0.707546, 0.846645", \
+					  "0.186621, 0.235339, 0.305651, 0.569058, 0.708313, 0.847464", \
+					  "0.186263, 0.233795, 0.305417, 0.570663, 0.706836, 0.848767");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.013558, 1.049970, 1.095061, 1.224222, 1.284254, 1.344000", \
+					  "1.139792, 1.176276, 1.221319, 1.350149, 1.410612, 1.470285", \
+					  "1.271725, 1.308218, 1.353344, 1.482256, 1.542610, 1.602306");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.090693, 0.137304, 0.203767, 0.419026, 0.529768, 0.640464", \
+					  "0.089941, 0.138383, 0.203166, 0.419529, 0.528641, 0.640105", \
+					  "0.090303, 0.138495, 0.203357, 0.418606, 0.529366, 0.638023");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.348904, 1.390474, 1.438650, 1.579483, 1.646713, 1.713847", \
+					  "1.412626, 1.453009, 1.501774, 1.642621, 1.709864, 1.776981", \
+					  "1.445274, 1.485716, 1.534446, 1.675427, 1.742703, 1.809868");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.187671, 0.233986, 0.306345, 0.570158, 0.708063, 0.847009", \
+					  "0.191557, 0.231241, 0.306311, 0.569978, 0.706801, 0.847687", \
+					  "0.190703, 0.230842, 0.305908, 0.570008, 0.707045, 0.846846");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.056115, 1.092618, 1.137521, 1.266311, 1.326739, 1.386471", \
+					  "1.182231, 1.218658, 1.263594, 1.392407, 1.452813, 1.512558", \
+					  "1.313942, 1.350413, 1.395487, 1.524403, 1.584669, 1.644451");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.090762, 0.138393, 0.203632, 0.419805, 0.529031, 0.639248", \
+					  "0.090618, 0.138599, 0.203744, 0.419844, 0.529191, 0.639121", \
+					  "0.090720, 0.137989, 0.204151, 0.419934, 0.529984, 0.640335");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.922463, 0.962824, 1.011537, 1.151937, 1.219526, 1.286807", \
+					  "0.954324, 0.994666, 1.043166, 1.184135, 1.252124, 1.319329", \
+					  "0.920495, 0.961289, 1.009721, 1.149373, 1.217384, 1.285063");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.186040, 0.230336, 0.303998, 0.567746, 0.706603, 0.848253", \
+					  "0.185994, 0.231009, 0.302995, 0.569785, 0.708058, 0.848307", \
+					  "0.186629, 0.230203, 0.303489, 0.568568, 0.705682, 0.848202");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.739347, 0.775764, 0.820979, 0.949968, 1.010239, 1.069758", \
+					  "0.861272, 0.897408, 0.942559, 1.071579, 1.131722, 1.191321", \
+					  "1.078715, 1.114939, 1.159813, 1.288678, 1.349038, 1.408718");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.090185, 0.137987, 0.203058, 0.419912, 0.528681, 0.638192", \
+					  "0.090450, 0.137979, 0.203784, 0.421455, 0.530979, 0.639350", \
+					  "0.091091, 0.138033, 0.202980, 0.418433, 0.528129, 0.638989");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.015615, 1.055895, 1.104349, 1.245112, 1.312483, 1.379737", \
+					  "1.093602, 1.134039, 1.182577, 1.323773, 1.391370, 1.458724", \
+					  "1.117669, 1.158301, 1.206655, 1.347376, 1.414650, 1.482065");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.186658, 0.230393, 0.303314, 0.568259, 0.706387, 0.844778", \
+					  "0.186345, 0.230094, 0.303140, 0.570372, 0.708001, 0.848974", \
+					  "0.186412, 0.230177, 0.304279, 0.569776, 0.709453, 0.847131");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.115249, 1.151672, 1.196244, 1.325056, 1.385435, 1.444922", \
+					  "1.194591, 1.230928, 1.275437, 1.403888, 1.464610, 1.524181", \
+					  "1.434082, 1.470238, 1.514930, 1.643462, 1.703922, 1.763386");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.093095, 0.140326, 0.204871, 0.420994, 0.529809, 0.638971", \
+					  "0.092296, 0.139650, 0.205198, 0.421035, 0.530554, 0.639508", \
+					  "0.092263, 0.139565, 0.205918, 0.419642, 0.530513, 0.640065");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_2v75.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_2v75.lib
new file mode 100644
index 0000000..51b8042
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_2v75.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 1.385110e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.001385";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023230;
+			rise_capacitance_range(0.021881,0.024012);
+			capacitance : 0.022819;
+			fall_capacitance : 0.022408;
+			fall_capacitance_range(0.021859,0.023339);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.218824, 0.764784, 0.776591");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.312309, 0.711312, 0.711243");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031826;
+			rise_capacitance_range(0.030335,0.032711);
+			capacitance : 0.031466;
+			fall_capacitance : 0.031105;
+			fall_capacitance_range(0.030470,0.032353);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.186136, 0.973298, 0.971560");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.341927, 1.089250, 1.091698");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014576;
+			rise_capacitance_range(0.013332,0.015363);
+			capacitance : 0.014157;
+			fall_capacitance : 0.013737;
+			fall_capacitance_range(0.013127,0.014587);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.255169, 0.487362, 0.500066");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.248753, 0.436295, 0.460449");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.086926;
+			rise_capacitance_range(0.079824,0.096298);
+			capacitance : 0.086552;
+			fall_capacitance : 0.084665;
+			fall_capacitance_range(0.080272,0.090345);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.079676;
+			rise_capacitance_range(0.064312,0.091825);
+			capacitance : 0.080200;
+			fall_capacitance : 0.080723;
+			fall_capacitance_range(0.061446,0.096873);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.168159, 0.117143, 0.122743");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.637833, 0.993663, 1.086161");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.047824;
+			rise_capacitance_range(0.046550,0.048765);
+			capacitance : 0.049187;
+			fall_capacitance : 0.050549;
+			fall_capacitance_range(0.048701,0.051776);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.025318, 0.559589, 0.520578");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.572313, 0.880183, 0.898424");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.036903;
+			rise_capacitance_range(0.034999,0.038248);
+			capacitance : 0.036909;
+			fall_capacitance : 0.036914;
+			fall_capacitance_range(0.035861,0.038095);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.088940, -0.088168, -0.085537");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.101362, 0.100781, 0.098860");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030472;
+			rise_capacitance_range(0.030012,0.030897);
+			capacitance : 0.030076;
+			fall_capacitance : 0.029681;
+			fall_capacitance_range(0.029330,0.030108);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.073625, -0.074067, -0.072265");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.073462, 0.072327, 0.072700");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032557;
+			rise_capacitance_range(0.032050,0.033018);
+			capacitance : 0.032150;
+			fall_capacitance : 0.031743;
+			fall_capacitance_range(0.031400,0.032194);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.086327, -0.087143, -0.085219");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.086036, 0.085141, 0.085704");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.957754;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.765210, 16.612860, 16.300810, 15.700260, 14.943500, 14.602460", \
+					  "16.617048, 16.876058, 16.200168, 15.765768, 14.939648, 14.449968", \
+					  "16.770037, 16.523337, 16.183657, 15.716647, 15.245077, 15.008617");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.477808, 18.661108, 18.707028, 18.745528, 18.748748, 18.758338", \
+					  "18.408289, 18.751499, 18.736049, 18.754819, 18.777389, 18.800839", \
+					  "18.487390, 18.713600, 18.908910, 18.741160, 18.815000, 18.900810");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.641530, 16.454290, 16.104410, 15.491920, 15.228190, 14.531440", \
+					  "16.624938, 16.486568, 16.172408, 15.687188, 15.316318, 14.844588", \
+					  "16.620787, 16.461397, 16.114947, 15.559267, 15.360717, 14.411517");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.417898, 18.417128, 18.435788, 18.480108, 18.469748, 18.513998", \
+					  "17.443049, 18.201609, 18.438039, 18.512609, 18.488069, 18.505939", \
+					  "17.434920, 18.130370, 18.421260, 18.523720, 18.561000, 18.520390");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("26.110850, 26.542910, 26.460950, 26.160900, 25.676480, 25.891610", \
+					  "26.246468, 26.564538, 26.287388, 26.125238, 25.756938, 25.577978", \
+					  "26.148167, 26.526907, 26.593537, 25.991867, 25.826757, 25.376937");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.128198, 28.162128, 28.404398, 28.523608, 28.583188, 28.644518", \
+					  "27.109559, 28.143789, 28.374379, 28.492809, 28.519779, 28.640869", \
+					  "27.114350, 28.116640, 28.513580, 28.492310, 28.593270, 28.607340");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.878070, 25.968580, 25.971910, 26.150090, 25.615320, 25.213120", \
+					  "24.843838, 26.092238, 25.963418, 25.852588, 25.517278, 25.236508", \
+					  "24.966367, 26.088627, 25.994347, 25.837107, 25.500997, 25.230957");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.534018, 25.008158, 26.213038, 26.946018, 27.190618, 27.661208", \
+					  "23.569009, 24.753549, 25.753989, 27.054389, 27.138739, 27.561609", \
+					  "23.556600, 24.773310, 26.219200, 26.987760, 26.223320, 28.301850");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.396490, 21.438290, 21.255550, 20.882590, 20.541020, 20.091350", \
+					  "21.719458, 22.091368, 21.131888, 21.600468, 21.087838, 20.715208", \
+					  "21.388397, 21.493107, 21.227017, 20.854447, 20.611347, 20.058637");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.017038, 23.513368, 23.626008, 23.688838, 23.726968, 23.764208", \
+					  "22.934529, 23.413509, 23.643269, 23.715119, 23.687869, 23.787509", \
+					  "23.080070, 23.766020, 23.432000, 23.671190, 23.819950, 23.751050");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.863500, 21.304470, 21.024570, 20.717910, 20.484400, 19.992010", \
+					  "20.872998, 21.173568, 21.001278, 20.843218, 20.401508, 19.858248", \
+					  "20.851947, 21.284497, 21.057427, 20.714627, 20.488127, 20.001647");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.616368, 22.149568, 22.920048, 23.077178, 23.252088, 23.321408", \
+					  "20.512859, 21.621229, 22.082359, 22.831259, 23.227069, 23.178309", \
+					  "20.606100, 21.958090, 22.649360, 23.174380, 23.578850, 23.766950");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.424500, 31.024540, 31.138710, 30.847030, 30.783830, 30.541000", \
+					  "30.481348, 31.039618, 31.158878, 30.892958, 30.602528, 30.737438", \
+					  "30.222117, 30.996197, 30.984047, 30.820917, 30.779817, 30.532497");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.029338, 32.678558, 33.103728, 33.299448, 33.371698, 33.441638", \
+					  "31.198099, 32.531599, 33.059959, 33.337379, 33.374379, 33.415379", \
+					  "30.971630, 32.616380, 33.103160, 33.345720, 33.396510, 33.408860");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.567700, 30.746180, 30.464000, 30.468180, 30.720720, 29.848070", \
+					  "28.464258, 30.681998, 30.728168, 30.533548, 30.549358, 30.052938", \
+					  "28.515777, 30.628797, 30.483857, 30.471107, 30.623157, 29.771087");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("26.730158, 28.044828, 29.359498, 30.681198, 31.261878, 31.751728", \
+					  "26.512339, 28.638379, 29.726529, 30.161689, 31.298819, 31.547099", \
+					  "26.535980, 28.750770, 29.240250, 29.486660, 30.701670, 30.061300");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.949211, 15.702871, 15.208651, 14.498591, 13.949231, 14.142251", \
+					  "12.860551, 12.631861, 12.160911, 11.368111, 11.000801, 9.408001", \
+					  "12.748023, 12.491573, 12.102813, 11.869423, 11.634153, 11.007623");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.168324, 2.345398, 2.393104, 2.411367, 2.421141, 2.427265", \
+					  "0.925287, 1.238664, 1.318395, 1.351666, 1.369639, 1.380853", \
+					  "1.221601, 1.487790, 1.588859, 1.635505, 1.662124, 1.679230");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.847801, 15.465241, 15.168551, 14.919151, 14.036021, 12.981551", \
+					  "12.650551, 12.411641, 12.055801, 11.737771, 11.353901, 10.514991", \
+					  "12.568343, 12.306433, 11.977043, 11.431293, 11.245613, 10.211943");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.158031, 2.303898, 2.366564, 2.385103, 2.381667, 2.389027", \
+					  "0.932487, 1.286931, 1.299956, 1.356227, 1.395595, 1.402571", \
+					  "1.407885, 1.415779, 1.704914, 1.812700, 1.864415, 1.897274");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.478781, 23.180111, 23.003091, 22.700241, 22.365071, 21.920661", \
+					  "20.416801, 20.165971, 19.874231, 19.620131, 19.274371, 19.081361", \
+					  "20.321103, 20.024853, 19.774703, 19.489363, 19.151793, 18.760743");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.092260, 4.184823, 4.244189, 4.271672, 4.290883, 4.305565", \
+					  "4.665650, 5.590620, 5.864081, 6.023775, 6.121038, 6.184964", \
+					  "5.167736, 5.855524, 6.064996, 6.261638, 6.384700, 6.465519");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.135491, 22.939561, 22.627431, 22.375851, 21.913041, 21.663841", \
+					  "18.962781, 19.820581, 19.702461, 19.100551, 19.053221, 18.731291", \
+					  "18.851803, 19.624283, 19.242983, 19.157433, 18.809033, 18.465873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.974006, 4.203884, 4.250279, 4.273433, 4.288725, 4.299721", \
+					  "4.787049, 5.729541, 5.973273, 6.071173, 6.152220, 6.213595", \
+					  "5.016574, 5.553680, 5.815865, 6.054241, 6.194301, 6.293781");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.081681, 19.874361, 19.601991, 19.347481, 18.822201, 18.602511", \
+					  "16.996991, 16.778221, 16.452741, 16.067501, 15.842381, 15.174001", \
+					  "16.834473, 16.615603, 16.316433, 15.979563, 15.659923, 15.268573");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.930879, 4.385828, 4.414780, 4.477720, 4.512994, 4.534485", \
+					  "2.987119, 3.570513, 3.765146, 3.846544, 3.891654, 3.920787", \
+					  "3.321463, 4.188993, 4.338840, 4.355535, 4.348604, 4.338685");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.518111, 19.644961, 19.362131, 19.055571, 18.735271, 18.319561", \
+					  "16.383141, 16.461801, 16.234671, 15.835191, 15.603111, 15.325271", \
+					  "16.232083, 16.365533, 16.093493, 15.745843, 15.393893, 14.795263");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.921424, 4.287875, 4.410284, 4.463199, 4.494960, 4.516337", \
+					  "3.011791, 3.671081, 3.837285, 3.901447, 3.936243, 3.958547", \
+					  "3.356479, 4.024160, 4.143903, 4.205902, 4.231401, 4.250776");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.441611, 27.433461, 27.193431, 26.899671, 26.666591, 26.307461", \
+					  "24.307861, 24.265861, 23.971241, 23.851941, 23.409491, 23.116131", \
+					  "24.177433, 24.120483, 24.034703, 23.751433, 23.336973, 23.110253");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.751450, 6.097097, 6.258012, 6.335921, 6.369738, 6.393576", \
+					  "6.702479, 7.840207, 8.233527, 8.451097, 8.571237, 8.654147", \
+					  "7.070851, 8.162459, 8.618039, 8.804339, 8.895559, 8.943979");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.357851, 26.742971, 26.720421, 26.071971, 26.190001, 25.764421", \
+					  "22.113031, 23.460521, 23.694521, 23.200601, 22.775571, 22.625221", \
+					  "22.009503, 23.401743, 23.302813, 23.236983, 22.945763, 22.473023");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.680093, 6.181773, 6.251333, 6.319525, 6.360976, 6.395300", \
+					  "6.765527, 7.910177, 8.383587, 8.361737, 8.500017, 8.601417", \
+					  "7.390618, 7.735534, 8.051059, 9.272499, 9.001089, 8.802829");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.974251, 16.233371, 16.063941, 16.287031, 16.247451, 16.582701", \
+					  "13.080161, 13.105411, 13.165221, 13.164291, 13.254031, 13.314571", \
+					  "12.969703, 13.063533, 13.084373, 13.109573, 13.104013, 13.013763");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.723721, -43.741848, -89.172728, -134.468848, -179.856548, -225.239748", \
+					  "-0.000890, -45.586493, -90.984743, -136.370273, -181.750873, -227.129273", \
+					  "0.293389, -45.467671, -90.877471, -136.254131, -181.625731, -226.997031");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.105341, 15.836711, 15.857091, 15.875641, 15.798031, 16.044461", \
+					  "12.101481, 12.777091, 12.919981, 12.922921, 12.906211, 13.035171", \
+					  "11.930753, 12.623623, 12.796263, 12.852733, 12.880573, 12.903953");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.772716, -43.748698, -89.167638, -134.562748, -179.946848, -225.327648", \
+					  "0.020497, -45.509463, -90.925573, -136.319373, -181.705173, -227.087073", \
+					  "0.370435, -45.232931, -90.572431, -135.942631, -181.314931, -226.865031");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.914861, 22.519171, 22.722921, 22.634391, 22.790921, 22.674771", \
+					  "18.966741, 19.602881, 19.722631, 19.710171, 19.794771, 19.753911", \
+					  "18.873353, 19.455823, 19.604053, 19.649393, 19.669543, 19.662943");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.018569, -40.601208, -86.060188, -131.479848, -176.881748, -222.273348", \
+					  "3.337381, -42.257663, -87.623453, -132.983773, -178.364473, -223.751173", \
+					  "4.727845, -40.750171, -87.256641, -132.982731, -178.453031, -223.869631");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.701531, 19.594671, 20.400171, 20.991911, 21.358931, 21.616571", \
+					  "15.657911, 16.543151, 17.409531, 18.083621, 18.383691, 18.678851", \
+					  "15.442893, 16.436583, 17.310153, 17.925153, 18.319043, 18.544703");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.996387, -40.616298, -86.087608, -131.510048, -176.912148, -222.303048", \
+					  "3.710287, -42.035863, -87.447513, -132.792373, -178.189973, -223.617573", \
+					  "4.041486, -41.802091, -87.048881, -132.455731, -177.843331, -223.224331");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.296941, 19.588171, 19.463381, 19.583091, 19.623401, 19.734771", \
+					  "16.339441, 16.614381, 16.564381, 16.619631, 16.673781, 16.731871", \
+					  "16.227283, 16.494603, 16.503263, 16.542093, 16.579723, 16.620983");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.633565, -41.981768, -87.424398, -132.832948, -178.227148, -223.615048", \
+					  "1.990873, -43.698273, -89.128913, -134.521473, -179.909973, -225.279073", \
+					  "2.230786, -43.111891, -88.313591, -133.682531, -179.059231, -224.436731");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.054741, 18.028101, 18.497591, 18.703201, 19.069741, 19.099331", \
+					  "13.979161, 14.955131, 15.614351, 15.906931, 16.037691, 16.105931", \
+					  "13.846693, 14.846793, 15.471873, 15.778013, 15.979643, 16.044233");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.647249, -41.948298, -87.386458, -132.791948, -178.185048, -223.572048", \
+					  "1.964951, -43.665153, -89.117213, -134.529273, -179.925873, -225.314873", \
+					  "2.241710, -43.497021, -88.638011, -134.051931, -179.477731, -224.968531");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.688051, 25.782011, 25.998201, 26.005451, 26.071471, 26.158281", \
+					  "21.720961, 22.790671, 23.051101, 23.048351, 23.139131, 23.143751", \
+					  "21.603393, 22.656803, 23.059173, 22.866603, 23.169923, 23.000993");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.838021, -38.763428, -84.225218, -129.647448, -175.051948, -220.440048", \
+					  "5.441571, -40.221313, -85.646693, -131.037173, -176.425673, -221.811573", \
+					  "5.398586, -40.470551, -85.395931, -130.855531, -176.284831, -221.696731");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.745581, 21.601231, 22.431051, 23.192011, 23.741281, 24.244911", \
+					  "17.636901, 18.581171, 19.387541, 20.162261, 20.722851, 21.361231", \
+					  "17.400803, 18.390123, 19.336683, 20.114393, 20.734893, 21.118563");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.783783, -38.900968, -84.277818, -129.657648, -175.049648, -220.440248", \
+					  "5.485722, -40.232703, -85.755473, -131.110273, -176.370373, -221.821573", \
+					  "5.868703, -39.743971, -85.304021, -130.750331, -176.150331, -221.537031");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.411963, 47.523030, 47.180227, 46.604720, 45.998365, 45.177765", \
+					  "46.467588, 47.751488, 47.160899, 46.825243, 46.042854, 45.368219", \
+					  "46.370899, 47.500716, 47.159709, 46.491497, 46.108999, 45.097974");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.987706, 49.158711, 50.192383, 50.860481, 51.151234, 51.464261", \
+					  "46.921933, 49.013790, 49.953643, 50.715018, 51.128028, 51.359388", \
+					  "46.946760, 49.206295, 50.121930, 50.605725, 50.922393, 51.329637");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.528069, -19.914872, -65.614544, -111.385369, -157.179207, -202.938616", \
+					  "20.830263, -24.651308, -70.310374, -116.110273, -161.791192, -207.729489", \
+					  "21.114463, -24.390724, -70.070249, -115.789277, -161.504000, -207.437719");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.144721, 24.146752, 24.502862, 24.776334, 24.977789, 25.177134", \
+					  "20.032518, 21.475953, 22.063748, 22.372730, 22.606225, 22.805271", \
+					  "20.281010, 21.548975, 22.186539, 22.655039, 22.877443, 22.947776");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.631046, 3.596588, 4.556077, 5.516688, 6.475640, 7.434657", \
+					  "2.704990, 3.670577, 4.630897, 5.591142, 6.550408, 7.508509", \
+					  "2.733385, 3.698983, 4.659845, 5.617537, 6.575880, 7.535307");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.073363, 2.940563, 4.841015, 6.726079, 8.637510, 10.546990", \
+					  "1.072165, 2.946706, 4.840243, 6.735207, 8.640874, 10.528900", \
+					  "1.072386, 2.946897, 4.837874, 6.741262, 8.629520, 10.529530");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.704428, 3.400295, 4.065379, 4.728785, 5.395154, 6.054366", \
+					  "2.847187, 3.542981, 4.208006, 4.871113, 5.533945, 6.196931", \
+					  "3.003239, 3.699202, 4.364166, 5.027302, 5.690549, 6.353159");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.839666, 2.012100, 3.232564, 4.473983, 5.721668, 6.960627", \
+					  "0.840209, 2.014017, 3.237778, 4.474360, 5.729801, 6.980496", \
+					  "0.841577, 2.010524, 3.227825, 4.475477, 5.718729, 6.970360");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("3.190293, 4.345079, 5.317354, 6.275754, 7.235034, 8.193484", \
+					  "3.263746, 4.418939, 5.390373, 6.349687, 7.308882, 8.267548", \
+					  "3.291848, 4.446992, 5.419406, 6.378037, 7.337022, 8.295450");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.792089, 3.397852, 5.109267, 6.919316, 8.778424, 10.634680", \
+					  "1.791815, 3.397213, 5.115757, 6.920409, 8.780856, 10.653320", \
+					  "1.791080, 3.398519, 5.115056, 6.918041, 8.768828, 10.652740");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.827147, 4.125723, 5.177601, 6.051996, 6.836841, 7.570076", \
+					  "2.969515, 4.269209, 5.318840, 6.195481, 6.979029, 7.712787", \
+					  "3.125845, 4.425527, 5.475270, 6.351787, 7.135006, 7.869440");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.361567, 3.255436, 4.626673, 5.843549, 7.007193, 8.165594", \
+					  "1.361794, 3.256957, 4.625798, 5.842529, 7.012603, 8.163346", \
+					  "1.361841, 3.256572, 4.625271, 5.842772, 7.005538, 8.155308");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.269885, 2.615769, 2.939552, 3.259923, 3.580354, 3.901249", \
+					  "2.343255, 2.688989, 3.012117, 3.333056, 3.653887, 3.973948", \
+					  "2.371174, 2.717063, 3.040835, 3.361201, 3.682754, 4.002542");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.482956, 1.051046, 1.661453, 2.290428, 2.918528, 3.536750", \
+					  "0.484008, 1.050704, 1.663039, 2.290299, 2.918443, 3.547795", \
+					  "0.482996, 1.051043, 1.661453, 2.290427, 2.910822, 3.536943");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.395388, 2.716003, 2.962116, 3.192251, 3.416799, 3.639330", \
+					  "2.537439, 2.858006, 3.104177, 3.334312, 3.558818, 3.781320", \
+					  "2.694147, 3.014797, 3.260862, 3.491006, 3.715516, 3.938020");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.456283, 0.844187, 1.218768, 1.605373, 2.000714, 2.403939", \
+					  "0.456351, 0.843410, 1.218497, 1.605488, 1.998640, 2.405967", \
+					  "0.456344, 0.844366, 1.217987, 1.605428, 1.998608, 2.405929");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.385302, 3.160871, 3.612821, 3.982555, 4.322585, 4.650992", \
+					  "2.458935, 3.233799, 3.686442, 4.056261, 4.396581, 4.724273", \
+					  "2.486581, 3.261766, 3.714276, 4.084149, 4.424179, 4.751828");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.994119, 1.772795, 2.313739, 2.832373, 3.375749, 3.932226", \
+					  "0.992277, 1.774054, 2.309855, 2.831933, 3.372712, 3.931474", \
+					  "0.994051, 1.774130, 2.309810, 2.831031, 3.374702, 3.933249");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.357986, 2.826070, 3.278856, 3.709496, 4.110801, 4.482683", \
+					  "2.500113, 2.968267, 3.420474, 3.851374, 4.251927, 4.623922", \
+					  "2.656704, 3.124377, 3.577168, 4.008065, 4.408818, 4.780712");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.500134, 1.322883, 2.050029, 2.660434, 3.199303, 3.684849", \
+					  "0.499682, 1.324044, 2.051295, 2.663558, 3.201232, 3.678643", \
+					  "0.500451, 1.325681, 2.046938, 2.663985, 3.202814, 3.682962");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.370226, 2.864795, 3.346178, 3.826660, 4.306389, 4.785991", \
+					  "2.444030, 2.938444, 3.420617, 3.900429, 4.379956, 4.859289", \
+					  "2.472379, 2.966869, 3.448368, 3.928784, 4.408485, 4.888082");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.615893, 1.512110, 2.453302, 3.388393, 4.339646, 5.279556", \
+					  "0.615780, 1.513396, 2.448014, 3.394560, 4.346732, 5.292548", \
+					  "0.615738, 1.513032, 2.453034, 3.387942, 4.342271, 5.279051");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.485565, 2.889602, 3.234429, 3.568880, 3.901503, 4.233200", \
+					  "2.628019, 3.031703, 3.376091, 3.711170, 4.043395, 4.374793", \
+					  "2.785308, 3.188732, 3.533309, 3.868192, 4.200845, 4.532049");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.558517, 1.118988, 1.701949, 2.304545, 2.916797, 3.529486", \
+					  "0.558113, 1.116937, 1.698699, 2.301916, 2.915856, 3.526749", \
+					  "0.557540, 1.118956, 1.702644, 2.306051, 2.912991, 3.531458");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.651773, 3.522654, 4.079003, 4.578858, 5.063183, 5.544332", \
+					  "2.725629, 3.596746, 4.152672, 4.652275, 5.137199, 5.617182", \
+					  "2.753895, 3.624962, 4.181076, 4.680924, 5.165307, 5.646377");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.264044, 2.185812, 2.971037, 3.791767, 4.656389, 5.540368", \
+					  "1.263266, 2.185424, 2.970516, 3.790948, 4.649794, 5.536023", \
+					  "1.264036, 2.185939, 2.971040, 3.791723, 4.655937, 5.541899");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.470590, 3.160042, 3.813199, 4.398400, 4.917784, 5.387419", \
+					  "2.612522, 3.302502, 3.955769, 4.539460, 5.060059, 5.530560", \
+					  "2.768939, 3.458972, 4.111610, 4.696270, 5.216357, 5.686608");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.714478, 1.901281, 2.824305, 3.585164, 4.263292, 4.896628", \
+					  "0.713608, 1.899676, 2.824243, 3.579940, 4.265398, 4.894251", \
+					  "0.713333, 1.899242, 2.826948, 3.586207, 4.262572, 4.894437");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.216697, 2.493223, 2.739169, 2.980712, 3.221434, 3.461356", \
+					  "2.289952, 2.566641, 2.812049, 3.054008, 3.295214, 3.535504", \
+					  "2.318190, 2.594715, 2.840107, 3.082822, 3.322810, 3.562722");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.427582, 0.827893, 1.276292, 1.740273, 2.207102, 2.680132", \
+					  "0.426625, 0.828356, 1.277338, 1.738230, 2.207202, 2.676371", \
+					  "0.426799, 0.827714, 1.277281, 1.738117, 2.207204, 2.680122");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.329592, 2.614615, 2.814383, 2.994492, 3.167056, 3.336337", \
+					  "2.471296, 2.756693, 2.956324, 3.136476, 3.309024, 3.478550", \
+					  "2.628136, 2.913254, 3.113090, 3.293295, 3.465871, 3.635158");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.395362, 0.708290, 0.987286, 1.268890, 1.561822, 1.850247", \
+					  "0.395314, 0.708444, 0.986716, 1.268802, 1.555625, 1.853102", \
+					  "0.394798, 0.708752, 0.985725, 1.269193, 1.559633, 1.851354");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.246732, 2.928258, 3.342973, 3.659255, 3.935952, 4.194364", \
+					  "2.320025, 3.001295, 3.416797, 3.732627, 4.009352, 4.267765", \
+					  "2.348213, 3.029557, 3.444470, 3.760702, 4.037402, 4.295812");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.806662, 1.537226, 1.980052, 2.370268, 2.764523, 3.165492", \
+					  "0.806874, 1.537260, 1.980012, 2.370400, 2.764554, 3.165559", \
+					  "0.806793, 1.537204, 1.979896, 2.370246, 2.764477, 3.165472");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.294541, 2.653780, 2.996688, 3.332493, 3.653274, 3.956897", \
+					  "2.436614, 2.795390, 3.139234, 3.474379, 3.795342, 4.099205", \
+					  "2.593132, 2.952306, 3.295264, 3.630940, 3.951917, 4.255774");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.394801, 1.012784, 1.601847, 2.114161, 2.566571, 2.981307", \
+					  "0.395123, 1.013160, 1.602239, 2.115466, 2.569152, 2.978076", \
+					  "0.394727, 1.014410, 1.603507, 2.116920, 2.570778, 2.978425");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.288693, 3.249825, 4.208230, 5.168069, 6.126729, 7.084810", \
+					  "2.333178, 3.295029, 4.254595, 5.212407, 6.170915, 7.129013", \
+					  "2.353973, 3.315127, 4.274726, 5.232358, 6.191101, 7.150917");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.081770, 2.943369, 4.835996, 6.737551, 8.636809, 10.524680", \
+					  "1.081368, 2.947392, 4.834135, 6.743992, 8.625029, 10.530980", \
+					  "1.081511, 2.948858, 4.839862, 6.740030, 8.634890, 10.538310");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.839515, 4.002060, 4.973922, 5.932832, 6.889604, 7.848681", \
+					  "2.885608, 4.048046, 5.019027, 5.977984, 6.937012, 7.895443", \
+					  "2.905581, 4.067664, 5.039965, 5.998312, 6.956843, 7.915109");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.834205, 3.433138, 5.129717, 6.933046, 8.765870, 10.634760", \
+					  "1.834914, 3.424932, 5.131991, 6.933733, 8.784372, 10.654660", \
+					  "1.835429, 3.433381, 5.131537, 6.932260, 8.774310, 10.654990");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.965372, 2.308827, 2.629897, 2.950034, 3.270090, 3.589367", \
+					  "2.021702, 2.365475, 2.687123, 3.006931, 3.326704, 3.645377", \
+					  "2.046840, 2.390874, 2.712517, 3.032230, 3.352169, 3.671639");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.521584, 1.064027, 1.668367, 2.287349, 2.911868, 3.539784", \
+					  "0.523641, 1.064454, 1.668468, 2.287012, 2.916298, 3.546655", \
+					  "0.523455, 1.065245, 1.668897, 2.287254, 2.915250, 3.544925");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.063319, 2.847029, 3.306947, 3.678682, 4.019533, 4.347857", \
+					  "2.120186, 2.903485, 3.364445, 3.735376, 4.076236, 4.404465", \
+					  "2.146093, 2.929341, 3.388772, 3.761483, 4.102318, 4.430353");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.006795, 1.813647, 2.357729, 2.877099, 3.405987, 3.951989", \
+					  "1.006151, 1.812916, 2.360932, 2.877448, 3.406352, 3.958954", \
+					  "1.007006, 1.812540, 2.361959, 2.874941, 3.407146, 3.956957");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.019113, 2.509195, 2.989289, 3.468263, 3.947167, 4.426438", \
+					  "2.063665, 2.553455, 3.033765, 3.512532, 3.991611, 4.470432", \
+					  "2.084142, 2.574086, 3.053930, 3.533487, 4.013188, 4.492292");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.638190, 1.520424, 2.450230, 3.393977, 4.339760, 5.290931", \
+					  "0.638952, 1.520542, 2.448858, 3.392668, 4.347409, 5.287865", \
+					  "0.638721, 1.517741, 2.450839, 3.398222, 4.343828, 5.291078");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("2.276745, 3.164417, 3.723830, 4.223858, 4.708533, 5.188876", \
+					  "2.321380, 3.209111, 3.768787, 4.269196, 4.753609, 5.233986", \
+					  "2.343124, 3.229692, 3.789749, 4.290484, 4.774672, 5.254445");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.287357, 2.229659, 3.010293, 3.822210, 4.672992, 5.564637", \
+					  "1.287490, 2.230580, 3.011103, 3.818062, 4.673947, 5.555829", \
+					  "1.287264, 2.232234, 3.009835, 3.819125, 4.667197, 5.550822");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.890325, 2.168310, 2.411719, 2.652134, 2.892720, 3.132475", \
+					  "1.944116, 2.223268, 2.466934, 2.707763, 2.947102, 3.186752", \
+					  "1.968064, 2.247714, 2.491417, 2.731861, 2.972308, 3.211988");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.477032, 0.852007, 1.289045, 1.745650, 2.205850, 2.675397", \
+					  "0.477900, 0.854379, 1.291431, 1.745331, 2.210320, 2.682214", \
+					  "0.477338, 0.854258, 1.289988, 1.747791, 2.207953, 2.676605");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.908033, 2.588588, 3.012859, 3.330853, 3.609453, 3.868987", \
+					  "1.963505, 2.642923, 3.068011, 3.386186, 3.664769, 3.924442", \
+					  "1.988127, 2.666694, 3.092541, 3.410842, 3.689980, 3.948965");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.816564, 1.570085, 2.023854, 2.422922, 2.808900, 3.202306", \
+					  "0.817834, 1.571619, 2.024096, 2.422662, 2.808214, 3.202124", \
+					  "0.816916, 1.571335, 2.020550, 2.419352, 2.806547, 3.202391");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.732190, 2.427845, 3.092522, 3.755701, 4.418429, 5.081251", \
+					  "1.805588, 2.501070, 3.165653, 3.828668, 4.491594, 5.154424", \
+					  "1.836901, 2.532833, 3.197179, 3.860148, 4.523165, 5.185832");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.862699, 2.017941, 3.230878, 4.475520, 5.719783, 6.970268", \
+					  "0.861591, 2.015359, 3.232495, 4.474973, 5.708059, 6.973969", \
+					  "0.862993, 2.019599, 3.235386, 4.477754, 5.720214, 6.953558");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.841598, 3.141436, 4.197850, 5.076422, 5.861835, 6.597996", \
+					  "1.914762, 3.214366, 4.270612, 5.150670, 5.934868, 6.671544", \
+					  "1.945551, 3.246706, 4.302401, 5.181543, 5.969309, 6.700657");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.366735, 3.272175, 4.639557, 5.872465, 7.038182, 8.173735", \
+					  "1.366020, 3.266852, 4.644494, 5.855179, 7.038782, 8.176618", \
+					  "1.367039, 3.269987, 4.645701, 5.861015, 7.032149, 8.172139");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.495028, 1.828818, 2.076942, 2.307452, 2.532157, 2.754620", \
+					  "1.568285, 1.901564, 2.150060, 2.380602, 2.605114, 2.827401", \
+					  "1.599845, 1.933394, 2.181737, 2.412134, 2.636997, 2.859387");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.482373, 0.874522, 1.245372, 1.625025, 2.016001, 2.419262", \
+					  "0.483433, 0.875813, 1.243921, 1.625026, 2.015319, 2.417830", \
+					  "0.482555, 0.875652, 1.244781, 1.624320, 2.018949, 2.415786");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.467668, 1.929026, 2.377628, 2.808579, 3.211968, 3.584328", \
+					  "1.540569, 2.001867, 2.450926, 2.881811, 3.284249, 3.657642", \
+					  "1.572435, 2.033565, 2.482493, 2.913167, 3.315443, 3.689016");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.526957, 1.329819, 2.058163, 2.675093, 3.207544, 3.701708", \
+					  "0.527554, 1.329663, 2.056679, 2.674137, 3.211133, 3.701840", \
+					  "0.526090, 1.332334, 2.058480, 2.674299, 3.214175, 3.693994");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.496529, 1.902673, 2.246884, 2.581539, 2.913525, 3.245205", \
+					  "1.569670, 1.975837, 2.320046, 2.654698, 2.986717, 3.318380", \
+					  "1.600929, 2.007231, 2.351461, 2.686113, 3.018001, 3.349740");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.585467, 1.135865, 1.708894, 2.304773, 2.917396, 3.534020", \
+					  "0.585435, 1.136050, 1.709203, 2.305344, 2.916973, 3.534441", \
+					  "0.586041, 1.134810, 1.708025, 2.304356, 2.918610, 3.532545");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.474286, 2.157332, 2.810830, 3.398519, 3.922375, 4.391896", \
+					  "1.547416, 2.230242, 2.883600, 3.471247, 3.994196, 4.466364", \
+					  "1.578622, 2.261670, 2.915211, 3.502829, 4.026698, 4.497710");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.721260, 1.906675, 2.836258, 3.598140, 4.283970, 4.914007", \
+					  "0.721177, 1.907448, 2.838125, 3.603625, 4.277540, 4.910953", \
+					  "0.721311, 1.906589, 2.836474, 3.598107, 4.283523, 4.919954");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.397329, 1.690082, 1.894334, 2.075804, 2.248797, 2.418103", \
+					  "1.470314, 1.763100, 1.967265, 2.148774, 2.321767, 2.491173", \
+					  "1.501368, 1.794254, 1.998385, 2.179901, 2.352954, 2.522458");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.427396, 0.737030, 1.015471, 1.293767, 1.577398, 1.872739", \
+					  "0.427277, 0.737308, 1.016046, 1.295338, 1.579366, 1.872207", \
+					  "0.426609, 0.737945, 1.015912, 1.295213, 1.580416, 1.869538");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.369456, 1.724275, 2.064120, 2.398964, 2.720848, 3.026632", \
+					  "1.442480, 1.797261, 2.137194, 2.471985, 2.793902, 3.099735", \
+					  "1.473702, 1.828421, 2.168661, 2.503081, 2.825298, 3.131170");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("0.434783, 1.026703, 1.613870, 2.128865, 2.588139, 3.002744", \
+					  "0.434747, 1.026666, 1.614604, 2.129342, 2.588134, 3.002739", \
+					  "0.434675, 1.029367, 1.611695, 2.130869, 2.586960, 2.997925");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.024935, 1.024936, 1.024937, 1.024938, 1.024939, 1.024940", \
+					  "1.167946, 1.167947, 1.167948, 1.167949, 1.167950, 1.167951", \
+					  "1.329936, 1.329937, 1.329938, 1.329939, 1.329940, 1.329941");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.017545, 1.017546, 1.017547, 1.017548, 1.017549, 1.017550", \
+					  "1.158506, 1.158507, 1.158508, 1.158509, 1.158510, 1.158511", \
+					  "1.317693, 1.317694, 1.317695, 1.317696, 1.317697, 1.317698");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.116763, 1.117129, 1.117130, 1.117131, 1.117132, 1.117133", \
+					  "1.257110, 1.257111, 1.257112, 1.257113, 1.257114, 1.257115", \
+					  "1.420054, 1.420829, 1.420830, 1.420831, 1.420832, 1.420833");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.111410, 1.111411, 1.111412, 1.111413, 1.111414, 1.111415", \
+					  "1.250638, 1.251094, 1.251095, 1.251096, 1.251097, 1.251098", \
+					  "1.411488, 1.411876, 1.411877, 1.411878, 1.411879, 1.411880");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.014316, 1.015428, 1.015429, 1.015430, 1.015431, 1.015432", \
+					  "1.154129, 1.154172, 1.154173, 1.154174, 1.154175, 1.154176", \
+					  "1.319538, 1.319910, 1.319911, 1.319912, 1.319913, 1.319914");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.005666, 1.005667, 1.005668, 1.005722, 1.005723, 1.005724", \
+					  "1.145064, 1.145193, 1.145194, 1.145195, 1.145196, 1.145197", \
+					  "1.309184, 1.309466, 1.309467, 1.309468, 1.309469, 1.309470");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.097696, 1.097697, 1.097698, 1.097699, 1.097700, 1.097701", \
+					  "1.237888, 1.237889, 1.237890, 1.237891, 1.237892, 1.237893", \
+					  "1.400015, 1.400016, 1.400017, 1.400018, 1.400019, 1.400020");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.094419, 1.094420, 1.094421, 1.094422, 1.094423, 1.094424", \
+					  "1.234077, 1.234078, 1.234079, 1.234080, 1.234081, 1.234082", \
+					  "1.393031, 1.393535, 1.394243, 1.394244, 1.394245, 1.394246");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.217401, 1.217402, 1.217403, 1.217404, 1.217405, 1.217406", \
+					  "1.361838, 1.361839, 1.361840, 1.361841, 1.361842, 1.361843", \
+					  "1.524471, 1.524840, 1.524841, 1.524842, 1.524843, 1.524844");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.219043, 1.219044, 1.219045, 1.219046, 1.219047, 1.219048", \
+					  "1.360415, 1.360621, 1.360622, 1.360623, 1.360624, 1.360625", \
+					  "1.522708, 1.522709, 1.522710, 1.522711, 1.522712, 1.522713");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.395803, 1.395804, 1.395805, 1.395806, 1.395807, 1.395808", \
+					  "1.540099, 1.540100, 1.540101, 1.540102, 1.540103, 1.540104", \
+					  "1.706098, 1.706099, 1.706100, 1.706101, 1.706102, 1.706103");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.395214, 1.395215, 1.395216, 1.395217, 1.395218, 1.395219", \
+					  "1.537778, 1.537779, 1.537780, 1.537781, 1.537782, 1.537783", \
+					  "1.703591, 1.703592, 1.703593, 1.703594, 1.703595, 1.703596");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.206129, 1.206130, 1.206131, 1.206132, 1.206133, 1.206134", \
+					  "1.346423, 1.347581, 1.347582, 1.347583, 1.347584, 1.347585", \
+					  "1.510473, 1.510474, 1.510475, 1.510476, 1.510477, 1.510478");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.207596, 1.207597, 1.207598, 1.207599, 1.207600, 1.207601", \
+					  "1.349760, 1.349761, 1.349762, 1.349763, 1.349764, 1.349765", \
+					  "1.512859, 1.512860, 1.512861, 1.512862, 1.512863, 1.512864");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.384866, 1.384867, 1.384868, 1.384869, 1.384870, 1.384871", \
+					  "1.528384, 1.528385, 1.528386, 1.528387, 1.528388, 1.528389", \
+					  "1.693480, 1.693481, 1.693482, 1.693483, 1.693484, 1.693485");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.957754, 8.957754, 14.957754, 20.957754, 26.957754, 32.957754");
+					values("1.384302, 1.384303, 1.384304, 1.384305, 1.384306, 1.384307", \
+					  "1.527523, 1.527524, 1.527525, 1.527526, 1.527527, 1.527528", \
+					  "1.691791, 1.691792, 1.691793, 1.691794, 1.691795, 1.691796");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-12.777650, -12.971380, -12.790460");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("12.749410, 12.917880, 12.930350");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.211037, 1.262759, 1.192802, 1.200424, 1.173037, 1.197182", \
+					  "0.955475, 1.010049, 1.035093, 0.994317, 0.968989, 0.989284", \
+					  "0.931442, 0.906237, 0.918394, 0.902274, 0.833548, 0.937449");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.483041, 1.501496, 1.519003, 1.557595, 1.569391, 1.537225", \
+					  "1.281801, 1.415325, 1.368941, 1.479703, 1.299756, 1.249543", \
+					  "1.291558, 1.262398, 1.352727, 1.415765, 1.363728, 1.544326");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.349604, 1.341620, 1.334286, 1.331593, 1.320468, 1.321583", \
+					  "1.115774, 1.106358, 1.102856, 1.092241, 1.096208, 1.094912", \
+					  "1.109048, 1.100326, 1.096522, 1.085047, 1.090710, 1.092881");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.583589, 1.609200, 1.643069, 1.686285, 1.698470, 1.707594", \
+					  "1.394620, 1.454986, 1.352394, 1.413880, 1.426954, 1.449717", \
+					  "1.376451, 1.384473, 1.464541, 1.535026, 1.349367, 1.342020");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.860430, 0.850160, 0.839960, 0.809920, 0.797780, 0.788670", \
+					  "1.088730, 1.077690, 1.066260, 1.036190, 1.026110, 1.015510", \
+					  "0.944730, 0.948140, 0.934350, 0.899740, 0.890500, 0.884630");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.026310, 1.051620, 1.088280, 1.148550, 1.164190, 1.175620", \
+					  "0.899940, 0.923120, 0.960300, 1.022070, 1.037350, 1.048590", \
+					  "0.740700, 0.765370, 0.803620, 0.865060, 0.880030, 0.891960");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.079080, 1.069700, 1.059950, 1.030350, 1.018850, 1.013580", \
+					  "1.370830, 1.360820, 1.350770, 1.328350, 1.314160, 1.307080", \
+					  "1.272680, 1.262380, 1.249730, 1.223790, 1.208620, 1.199770");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.356640, 1.386600, 1.438820, 1.549330, 1.584140, 1.610160", \
+					  "1.050720, 1.081630, 1.133300, 1.243710, 1.279200, 1.302760", \
+					  "1.025350, 1.050720, 1.104710, 1.213530, 1.249470, 1.274570");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.280320, 1.302189, 1.263544, 1.266008, 1.246752, 1.259383", \
+					  "1.035624, 1.058203, 1.068974, 1.043279, 1.032598, 1.042098", \
+					  "1.020245, 1.003281, 1.007458, 0.993661, 0.962129, 1.015165");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.533315, 1.555348, 1.581036, 1.621940, 1.633930, 1.622410", \
+					  "1.338210, 1.435156, 1.360667, 1.446792, 1.363355, 1.349630", \
+					  "1.334005, 1.323435, 1.408634, 1.475395, 1.356548, 1.443173");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.969755, 0.959930, 0.949955, 0.920135, 0.908315, 0.901125", \
+					  "1.229780, 1.219255, 1.208515, 1.182270, 1.170135, 1.161295", \
+					  "1.108705, 1.105260, 1.092040, 1.061765, 1.049560, 1.042200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.191475, 1.219110, 1.263550, 1.348940, 1.374165, 1.392890", \
+					  "0.975330, 1.002375, 1.046800, 1.132890, 1.158275, 1.175675", \
+					  "0.883025, 0.908045, 0.954165, 1.039295, 1.064750, 1.083265");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.579684, 1.634080, 1.700978, 1.891230, 1.982983, 2.074585", \
+					  "1.675393, 1.730363, 1.796877, 1.986869, 2.078603, 2.170399", \
+					  "1.735317, 1.790176, 1.856207, 2.046948, 2.138746, 2.230436");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.159404, 0.231154, 0.327208, 0.657721, 0.831185, 1.006530", \
+					  "0.158354, 0.230770, 0.327772, 0.658933, 0.832098, 1.003803", \
+					  "0.158068, 0.229556, 0.327783, 0.658115, 0.830984, 1.003717");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.353657, 1.399083, 1.452190, 1.597759, 1.665451, 1.732181", \
+					  "1.497533, 1.542936, 1.596366, 1.741569, 1.809235, 1.876017", \
+					  "1.646092, 1.691506, 1.745024, 1.890180, 1.957825, 2.024572");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.088267, 0.141909, 0.213127, 0.437362, 0.552403, 0.668883", \
+					  "0.088364, 0.142726, 0.212577, 0.437542, 0.551864, 0.668999", \
+					  "0.088670, 0.142315, 0.212319, 0.437179, 0.552640, 0.668627");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.635463, 1.690307, 1.756537, 1.946762, 2.038475, 2.130196", \
+					  "1.731388, 1.786122, 1.852757, 2.042639, 2.134456, 2.226376", \
+					  "1.790996, 1.845562, 1.911414, 2.101073, 2.192790, 2.285846");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.158414, 0.231648, 0.327760, 0.659094, 0.832151, 1.002485", \
+					  "0.159865, 0.230961, 0.327558, 0.658613, 0.831605, 1.007452", \
+					  "0.159052, 0.229816, 0.326975, 0.660174, 0.831346, 1.004208");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.433676, 1.478673, 1.531992, 1.677275, 1.744909, 1.811644", \
+					  "1.577404, 1.622879, 1.676077, 1.821446, 1.889132, 1.955905", \
+					  "1.726271, 1.771268, 1.824583, 1.969922, 2.037545, 2.104274");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.088132, 0.142430, 0.212427, 0.437530, 0.552596, 0.668358", \
+					  "0.088656, 0.142375, 0.213426, 0.437002, 0.551765, 0.668414", \
+					  "0.088127, 0.142429, 0.212443, 0.437843, 0.552554, 0.668358");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.116164, 1.170953, 1.236779, 1.426953, 1.518853, 1.610068", \
+					  "1.198677, 1.253304, 1.319113, 1.509617, 1.601771, 1.693853", \
+					  "1.227423, 1.282256, 1.348305, 1.538816, 1.630390, 1.721965");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.157090, 0.227798, 0.323885, 0.658885, 0.830440, 1.002119", \
+					  "0.157644, 0.228134, 0.324081, 0.658909, 0.831360, 1.003989", \
+					  "0.158187, 0.227338, 0.324749, 0.656760, 0.830738, 1.003757");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.081677, 1.127039, 1.179799, 1.325343, 1.392834, 1.459929", \
+					  "1.138665, 1.183443, 1.236513, 1.381939, 1.449706, 1.516569", \
+					  "1.328956, 1.374084, 1.427132, 1.572936, 1.640687, 1.707507");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.088855, 0.142543, 0.211903, 0.436757, 0.550158, 0.665261", \
+					  "0.088530, 0.141956, 0.211553, 0.436072, 0.551320, 0.665726", \
+					  "0.088450, 0.142390, 0.211932, 0.436794, 0.551546, 0.666833");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.193667, 1.248389, 1.314357, 1.504466, 1.596418, 1.688676", \
+					  "1.313998, 1.369002, 1.434617, 1.624811, 1.716941, 1.808191", \
+					  "1.405365, 1.459638, 1.525950, 1.716953, 1.808406, 1.900725");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.156862, 0.227454, 0.325159, 0.657636, 0.829240, 1.004425", \
+					  "0.157584, 0.227579, 0.324573, 0.658437, 0.830644, 1.004815", \
+					  "0.157765, 0.227931, 0.324739, 0.658473, 0.829057, 1.004948");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.719519, 1.764608, 1.817700, 1.963119, 2.030838, 2.097575", \
+					  "1.664064, 1.709274, 1.762308, 1.908024, 1.975667, 2.042452", \
+					  "1.881806, 1.927454, 1.980250, 2.126007, 2.193775, 2.260099");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.089063, 0.142426, 0.212058, 0.437051, 0.551754, 0.666134", \
+					  "0.089284, 0.142624, 0.212265, 0.436499, 0.551623, 0.668103", \
+					  "0.089296, 0.142667, 0.211804, 0.437428, 0.551660, 0.665904");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_3v63.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_3v63.lib
new file mode 100644
index 0000000..8e02efb
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_3v63.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 2.415540e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.002416";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023594;
+			rise_capacitance_range(0.022184,0.024299);
+			capacitance : 0.023260;
+			fall_capacitance : 0.022925;
+			fall_capacitance_range(0.022196,0.023786);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.813117, 1.464722, 1.520067");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.956287, 1.368463, 1.395338");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032119;
+			rise_capacitance_range(0.030621,0.033000);
+			capacitance : 0.031728;
+			fall_capacitance : 0.031337;
+			fall_capacitance_range(0.030833,0.032300);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.529372, 1.711129, 1.763707");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.805025, 1.880818, 1.929981");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014950;
+			rise_capacitance_range(0.013654,0.015625);
+			capacitance : 0.014608;
+			fall_capacitance : 0.014267;
+			fall_capacitance_range(0.013460,0.015159);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.700195, 0.930757, 0.980479");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.687919, 0.900302, 0.921333");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.089012;
+			rise_capacitance_range(0.081501,0.097668);
+			capacitance : 0.088661;
+			fall_capacitance : 0.086896;
+			fall_capacitance_range(0.081733,0.093925);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.084888;
+			rise_capacitance_range(0.067176,0.097858);
+			capacitance : 0.085530;
+			fall_capacitance : 0.086173;
+			fall_capacitance_range(0.063738,0.101459);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.178690, 0.347800, 0.413567");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.325477, 1.820500, 2.061620");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.048652;
+			rise_capacitance_range(0.046116,0.051640);
+			capacitance : 0.049851;
+			fall_capacitance : 0.051051;
+			fall_capacitance_range(0.049623,0.052310);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.207738, 1.034670, 1.109979");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.264527, 1.559595, 1.771168");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037425;
+			rise_capacitance_range(0.035104,0.038748);
+			capacitance : 0.037409;
+			fall_capacitance : 0.037392;
+			fall_capacitance_range(0.036361,0.037969);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.151369, -0.151185, -0.141217");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.178787, 0.177947, 0.178404");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.029909;
+			rise_capacitance_range(0.029409,0.030661);
+			capacitance : 0.030097;
+			fall_capacitance : 0.030285;
+			fall_capacitance_range(0.030062,0.030634);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.126835, -0.128480, -0.128663");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.130649, 0.129652, 0.129136");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031909;
+			rise_capacitance_range(0.031393,0.032685);
+			capacitance : 0.032133;
+			fall_capacitance : 0.032356;
+			fall_capacitance_range(0.032159,0.032722);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.148122, -0.150566, -0.151092");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.152590, 0.151826, 0.151652");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.848953;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.281119, 29.002979, 28.535879, 27.904319, 27.015599, 26.167499", \
+					  "29.219715, 29.084735, 28.623315, 28.080575, 27.266325, 26.235075", \
+					  "29.116347, 28.993357, 28.766837, 28.753187, 25.521187, 25.152427");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.214883, 32.502283, 32.604423, 32.629493, 32.714433, 32.707313", \
+					  "32.202573, 32.534243, 32.628763, 32.694593, 32.733983, 32.772003", \
+					  "32.353896, 32.515866, 32.414766, 32.938456, 32.557726, 33.170846");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.019189, 28.927119, 28.426279, 27.890979, 27.568729, 26.350919", \
+					  "28.976225, 29.050355, 28.292565, 27.739355, 27.595655, 25.995725", \
+					  "28.977677, 29.137037, 28.295707, 27.775597, 26.906887, 26.134117");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.499003, 31.712673, 32.077733, 32.206923, 32.216823, 32.245183", \
+					  "30.497713, 31.773283, 32.132653, 32.240983, 32.270593, 32.297513", \
+					  "30.463836, 31.850506, 32.135426, 32.391826, 32.393406, 32.362906");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.748959, 47.417069, 47.661179, 47.003819, 46.852159, 46.413789", \
+					  "46.734825, 47.539595, 47.482465, 46.941265, 46.763665, 46.877165", \
+					  "46.702627, 47.291117, 47.517087, 46.848287, 46.733337, 46.725957");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("48.454663, 50.241623, 50.951863, 51.159133, 51.281803, 51.403313", \
+					  "48.503003, 50.109833, 51.128583, 50.800283, 51.217743, 51.437763", \
+					  "48.494046, 50.876686, 50.475526, 51.858116, 50.577526, 51.381106");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("44.047599, 46.715229, 46.767419, 46.605299, 46.209789, 46.306109", \
+					  "43.955655, 46.681995, 46.765535, 46.957905, 45.983695, 45.534895", \
+					  "44.088257, 46.492877, 46.871617, 46.445877, 46.145857, 45.610377");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.737803, 45.594843, 47.171943, 48.337983, 49.077523, 49.429853", \
+					  "42.715153, 45.470873, 47.205783, 48.427203, 49.099773, 49.602143", \
+					  "42.558486, 45.499706, 47.553416, 48.384516, 49.319526, 49.482256");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.177169, 38.199259, 37.812709, 37.437429, 37.350289, 36.593829", \
+					  "38.348245, 37.594605, 37.039615, 38.544645, 37.241335, 36.534005", \
+					  "38.393037, 37.580167, 38.522887, 36.790507, 37.034257, 36.103177");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("40.687123, 41.658383, 41.885763, 42.022483, 42.096533, 42.158183", \
+					  "40.722913, 41.725463, 41.938573, 42.066343, 42.112483, 42.199373", \
+					  "40.641656, 41.320696, 42.411296, 41.945886, 41.923376, 42.172316");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.770629, 38.277739, 37.667029, 37.498369, 37.070719, 35.994999", \
+					  "36.744665, 38.327835, 37.612205, 37.164835, 36.596635, 36.061745", \
+					  "36.755677, 37.920667, 37.434857, 37.197037, 36.847867, 36.044677");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.930993, 39.050403, 40.150453, 40.766883, 41.017663, 41.172543", \
+					  "36.947613, 39.375623, 39.798913, 40.454513, 40.879193, 41.331913", \
+					  "36.777806, 39.120666, 40.279476, 40.383436, 41.019526, 41.465916");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("55.294199, 56.367719, 56.320289, 56.435949, 55.874399, 55.770859", \
+					  "55.306605, 56.505005, 56.337625, 56.373425, 55.659475, 55.971065", \
+					  "55.265807, 56.327597, 56.452167, 56.330417, 56.112257, 55.712917");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("55.791303, 59.153853, 59.806053, 60.258803, 60.387673, 60.496493", \
+					  "55.937773, 59.118903, 59.841483, 60.331553, 60.398843, 60.542733", \
+					  "55.918706, 59.236906, 59.853136, 60.326166, 60.413986, 60.566206");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("50.777569, 54.871279, 55.617279, 55.500739, 55.413939, 54.895129", \
+					  "50.804325, 54.844925, 55.868095, 55.650575, 55.742175, 54.872755", \
+					  "50.669977, 54.141087, 55.344637, 55.594697, 55.290317, 54.943187");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("48.743463, 52.258183, 53.656343, 55.477543, 56.736233, 57.286163", \
+					  "48.583433, 51.528183, 53.822553, 55.588903, 56.698533, 57.534493", \
+					  "48.501296, 51.406436, 53.720576, 55.667286, 56.587536, 57.586326");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.928750, 27.547680, 27.055050, 26.512240, 25.425650, 24.201410", \
+					  "22.492711, 21.994661, 21.453391, 20.738321, 19.918641, 19.140421", \
+					  "22.392472, 21.945752, 21.395232, 20.681902, 19.950512, 18.927752");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.436514, 5.097839, 5.234469, 5.296540, 5.331696, 5.354719", \
+					  "1.637041, 2.181451, 2.348615, 2.440279, 2.484159, 2.509218", \
+					  "1.852684, 2.464713, 2.602267, 2.646885, 2.668925, 2.683053");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.678150, 27.341530, 26.743800, 25.883490, 25.187050, 24.348650", \
+					  "22.214481, 21.803311, 21.176121, 20.639691, 19.654281, 18.888871", \
+					  "22.062632, 21.721502, 21.154362, 20.405002, 19.665712, 18.664102");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.526718, 5.078236, 5.217144, 5.284735, 5.323787, 5.350337", \
+					  "1.652574, 2.332484, 2.463430, 2.504373, 2.530838, 2.548454", \
+					  "1.938424, 2.644934, 2.850918, 2.975406, 2.118937, 2.154697");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.421210, 42.230310, 41.759570, 41.177370, 40.674250, 40.408740", \
+					  "36.508121, 36.302301, 35.738341, 35.539601, 35.128691, 34.809821", \
+					  "36.472532, 36.413072, 35.935722, 35.708112, 35.170652, 34.633162");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.294980, 12.815480, 13.356810, 13.643420, 13.824620, 13.949740", \
+					  "8.654278, 10.289368, 10.853688, 11.135668, 11.300878, 11.408738", \
+					  "8.880742, 10.769882, 11.326112, 11.620542, 11.733972, 11.785322");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("39.421960, 41.021020, 41.073800, 40.406170, 40.230190, 39.902530", \
+					  "33.937601, 35.348151, 35.373341, 34.818161, 34.308651, 33.743091", \
+					  "33.886002, 35.378652, 35.390282, 34.731402, 34.416772, 33.717372");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.297120, 13.091790, 13.585450, 13.823100, 13.961820, 14.051510", \
+					  "8.757098, 10.360978, 10.930078, 11.212978, 11.379848, 11.489738", \
+					  "9.363792, 10.256912, 10.773612, 11.682612, 11.953022, 12.114282");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.476910, 36.087830, 35.936490, 35.203590, 34.486960, 34.035270", \
+					  "30.889441, 30.367221, 29.898801, 29.514501, 28.750691, 28.386031", \
+					  "30.675792, 30.243632, 29.658532, 29.141292, 28.742362, 27.877182");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.250646, 9.383480, 9.693920, 9.838330, 9.920770, 9.974630", \
+					  "5.478155, 6.652478, 6.804848, 6.943588, 7.046578, 7.120148", \
+					  "5.616926, 6.859542, 7.196682, 7.270872, 7.287512, 7.317062");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("35.147460, 35.715810, 35.292120, 34.707930, 34.137910, 33.308550", \
+					  "29.449451, 29.960871, 29.595581, 28.918541, 28.247661, 27.804741", \
+					  "29.213112, 30.154502, 29.449872, 28.806402, 28.168862, 27.410122");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.291774, 9.405690, 9.712900, 9.874570, 9.968100, 10.028710", \
+					  "5.230031, 6.549638, 6.904328, 7.058478, 7.143318, 7.513318", \
+					  "5.712262, 6.840282, 7.139742, 7.303132, 7.408482, 7.480942");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("50.453370, 50.520110, 50.124690, 49.641870, 49.073510, 48.874720", \
+					  "45.078311, 44.596201, 44.330391, 43.829101, 43.081891, 42.681651", \
+					  "45.034352, 44.523382, 44.170942, 43.810102, 43.163182, 42.354152");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.856480, 16.911060, 17.607050, 17.961010, 18.192040, 18.350670", \
+					  "12.090788, 14.222798, 15.269588, 15.671978, 15.936598, 16.123568", \
+					  "12.504432, 14.557472, 15.366552, 15.659872, 15.870592, 16.052892");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("45.864300, 48.645770, 49.136990, 48.952300, 48.486390, 47.774460", \
+					  "40.072441, 42.596031, 43.046301, 42.911551, 42.310091, 41.698461", \
+					  "39.961892, 42.875532, 42.781482, 43.003472, 42.186132, 41.948972");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.856210, 17.090190, 17.964910, 18.129200, 18.330120, 18.488010", \
+					  "12.403548, 13.974308, 14.742618, 15.042218, 15.264218, 15.475098", \
+					  "12.642692, 14.427272, 15.171502, 16.160682, 16.788882, 15.093512");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.042820, 27.148960, 27.244570, 27.391130, 27.293290, 27.328880", \
+					  "21.802171, 21.891401, 21.976971, 22.054161, 22.034091, 22.185281", \
+					  "21.807562, 21.949642, 22.031302, 22.063102, 22.092792, 22.094662");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.934772, -76.550760, -155.744730, -234.848930, -313.929830, -393.002230", \
+					  "-0.001289, -79.318042, -158.438572, -237.530572, -316.595572, -395.657472", \
+					  "-0.002215, -79.311058, -158.431708, -237.519108, -316.595508, -395.666508");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.364270, 26.453270, 26.724810, 26.874030, 26.948000, 26.958360", \
+					  "20.106001, 21.187491, 21.497541, 21.555551, 21.589471, 21.603551", \
+					  "20.119462, 21.246252, 21.506132, 21.581942, 21.625122, 21.625442");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.995031, -76.388840, -155.510230, -234.596930, -313.672830, -392.743630", \
+					  "-0.010913, -79.160992, -158.574172, -237.772172, -316.912872, -396.021972", \
+					  "0.055781, -79.252978, -158.381908, -237.474708, -316.553708, -395.626508");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("37.822440, 39.031320, 39.313960, 39.211060, 39.480600, 39.385830", \
+					  "32.586001, 33.830991, 33.984211, 34.019791, 34.046791, 34.135431", \
+					  "32.692662, 33.871532, 34.016272, 34.103512, 34.143182, 34.160152");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.424760, -70.023670, -149.219630, -228.350830, -307.455030, -386.545330", \
+					  "6.459118, -73.008502, -152.203572, -231.332272, -310.434772, -389.529172", \
+					  "6.672152, -72.787338, -151.988608, -231.121608, -310.226708, -389.317408");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.495740, 34.233940, 35.571170, 36.299560, 37.180690, 37.665370", \
+					  "27.153761, 29.071851, 30.203101, 31.048091, 31.349301, 32.547411", \
+					  "27.054372, 28.854312, 30.225772, 31.206392, 31.820782, 32.193512");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.557400, -69.902350, -149.237330, -228.413330, -307.519630, -386.595130", \
+					  "6.536298, -73.097012, -152.166772, -231.127172, -310.190872, -389.278972", \
+					  "7.090772, -72.266228, -150.878908, -229.937608, -309.111908, -388.305408");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.951450, 33.288600, 33.614190, 33.502200, 33.854150, 33.483360", \
+					  "27.727941, 28.166961, 28.159071, 28.472781, 28.331281, 28.338821", \
+					  "27.809722, 28.292622, 28.353202, 28.397752, 28.437152, 28.459752");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.288152, -73.168190, -152.326530, -231.428930, -310.516730, -389.598430", \
+					  "3.357201, -76.301382, -155.704272, -234.929672, -314.071272, -393.175372", \
+					  "3.565929, -75.884218, -155.059908, -234.175908, -313.266708, -392.349608");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.339560, 31.072740, 31.536700, 32.037490, 32.776700, 32.326970", \
+					  "23.920571, 25.385191, 26.423071, 26.637071, 27.274311, 27.222971", \
+					  "23.936392, 25.593012, 26.578732, 27.077102, 27.331052, 27.443292");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.403680, -73.019860, -152.185730, -231.296830, -310.389030, -389.471430", \
+					  "3.441226, -76.000322, -155.164772, -234.246372, -313.305372, -392.366872", \
+					  "3.675479, -75.776778, -154.955708, -234.066808, -313.161208, -392.244308");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.737040, 44.894320, 45.483640, 45.228950, 45.417050, 45.894270", \
+					  "37.585851, 39.673491, 40.064091, 40.171071, 40.253821, 40.224721", \
+					  "37.675722, 39.836852, 40.203502, 40.246222, 40.339582, 40.456802");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.713680, -66.736180, -145.951330, -225.095030, -304.207030, -383.303930", \
+					  "9.748568, -69.688892, -148.907372, -228.053372, -307.171172, -386.269472", \
+					  "10.047302, -69.412378, -148.666008, -227.788108, -306.890108, -385.977108");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.362000, 38.092740, 39.479270, 40.702830, 41.560600, 42.233120", \
+					  "30.933651, 32.695291, 34.260741, 35.408961, 36.353441, 37.016561", \
+					  "30.783202, 32.710322, 34.340442, 35.498062, 36.444412, 37.067602");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.819740, -66.563050, -145.841330, -224.991230, -304.004930, -383.103430", \
+					  "9.795758, -70.081202, -149.062072, -228.049272, -306.962572, -385.914872", \
+					  "10.351862, -69.379898, -148.443008, -227.973308, -306.747508, -387.038508");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("82.529108, 84.944598, 84.702016, 84.069226, 83.338906, 82.123283", \
+					  "82.522565, 84.907262, 84.505355, 84.363145, 83.212240, 82.020607", \
+					  "82.492351, 84.470977, 84.801449, 83.933901, 82.647992, 81.606709");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("84.014809, 88.043061, 89.576144, 90.714811, 91.382171, 91.724761", \
+					  "84.027544, 87.909101, 89.624326, 90.651094, 91.352786, 91.929484", \
+					  "83.927432, 87.956867, 89.710904, 90.973922, 91.198152, 92.046969");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.066166, -32.905355, -112.361791, -192.067135, -271.749141, -351.438651", \
+					  "37.496066, -41.710950, -121.201164, -200.766426, -280.530485, -360.132636", \
+					  "37.644481, -41.351856, -120.858667, -200.471185, -280.136147, -360.124069");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.740720, 45.386207, 46.417620, 46.887269, 47.420504, 47.603061", \
+					  "34.714933, 37.308271, 38.360749, 38.922130, 39.289868, 39.682879", \
+					  "35.048881, 37.646944, 38.710343, 39.436761, 39.758050, 39.772872");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.964337, 2.685178, 3.402258, 4.116656, 4.836443, 5.546867", \
+					  "2.014718, 2.735781, 3.451494, 4.175858, 4.894547, 5.605697", \
+					  "2.023196, 2.744277, 3.461234, 4.176057, 4.895448, 5.607149");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.795766, 2.212244, 3.645545, 5.094579, 6.543525, 7.985595", \
+					  "0.796620, 2.210615, 3.651995, 5.103988, 6.552280, 7.993980", \
+					  "0.796059, 2.212261, 3.648504, 5.098248, 6.545933, 7.987613");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("2.023394, 2.570304, 3.093424, 3.615173, 4.137248, 4.657112", \
+					  "2.159303, 2.706360, 3.229221, 3.750722, 4.273609, 4.793023", \
+					  "2.301015, 2.847871, 3.371171, 3.893199, 4.413917, 4.935235");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.629723, 1.556949, 2.527534, 3.504919, 4.473683, 5.455417", \
+					  "0.628663, 1.558414, 2.524444, 3.505644, 4.476393, 5.465197", \
+					  "0.628980, 1.560787, 2.525774, 3.503572, 4.484789, 5.473843");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("2.366618, 3.273549, 4.003124, 4.719345, 5.436454, 6.147550", \
+					  "2.417375, 3.323242, 4.053794, 4.770976, 5.484223, 6.201601", \
+					  "2.425737, 3.332607, 4.062996, 4.780080, 5.493276, 6.211045");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.363449, 2.600660, 3.902512, 5.260710, 6.668507, 8.085624", \
+					  "1.364716, 2.600654, 3.899680, 5.265201, 6.660688, 8.082273", \
+					  "1.363236, 2.599039, 3.899288, 5.264565, 6.653852, 8.082392");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("2.128370, 3.115203, 3.900340, 4.567046, 5.171434, 5.739574", \
+					  "2.264288, 3.251146, 4.036290, 4.702092, 5.307051, 5.875413", \
+					  "2.406080, 3.393101, 4.177870, 4.843949, 5.449500, 6.017375");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.021654, 2.437190, 3.497810, 4.447705, 5.374396, 6.276637", \
+					  "1.021690, 2.437195, 3.497689, 4.447484, 5.376308, 6.279215", \
+					  "1.021626, 2.433745, 3.498683, 4.449234, 5.370551, 6.277255");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.694454, 1.957209, 2.198955, 2.438683, 2.677526, 2.916255", \
+					  "1.744941, 2.007653, 2.249443, 2.489176, 2.727958, 2.966656", \
+					  "1.753774, 2.016430, 2.258059, 2.497784, 2.737217, 2.976165");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.373185, 0.797428, 1.259828, 1.729663, 2.208986, 2.687943", \
+					  "0.372786, 0.797663, 1.259822, 1.731135, 2.208659, 2.688238", \
+					  "0.373483, 0.797439, 1.257566, 1.730270, 2.211241, 2.680741");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.790816, 2.038022, 2.230335, 2.410986, 2.587357, 2.762259", \
+					  "1.926656, 2.173852, 2.366182, 2.546830, 2.723220, 2.898137", \
+					  "2.068548, 2.315457, 2.507997, 2.688424, 2.865226, 3.040252");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.334970, 0.641549, 0.941061, 1.248087, 1.565778, 1.884854", \
+					  "0.335429, 0.641736, 0.940445, 1.248574, 1.565885, 1.885036", \
+					  "0.335353, 0.641685, 0.939253, 1.247915, 1.563632, 1.882431");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.758574, 2.355879, 2.717234, 3.004642, 3.264436, 3.511756", \
+					  "1.809110, 2.406406, 2.767710, 3.055068, 3.314866, 3.562226", \
+					  "1.818028, 2.415917, 2.778183, 3.064300, 3.323658, 3.571757");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.733791, 1.366531, 1.790835, 2.192285, 2.600992, 3.019926", \
+					  "0.734819, 1.366691, 1.790818, 2.192320, 2.600673, 3.020320", \
+					  "0.734394, 1.367268, 1.790694, 2.190062, 2.599753, 3.024356");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.769412, 2.137487, 2.486542, 2.811713, 3.111716, 3.388378", \
+					  "1.905376, 2.273393, 2.622472, 2.947475, 3.247439, 3.524008", \
+					  "2.047117, 2.415203, 2.764248, 3.089477, 3.389255, 3.666062");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.381167, 1.012019, 1.550370, 2.009411, 2.414772, 2.785638", \
+					  "0.381153, 1.012567, 1.550361, 2.008774, 2.414293, 2.788223", \
+					  "0.381147, 1.011999, 1.550346, 2.009559, 2.414693, 2.785380");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.772150, 2.143211, 2.503009, 2.861847, 3.219653, 3.577948", \
+					  "1.822496, 2.193777, 2.553501, 2.912252, 3.269961, 3.627876", \
+					  "1.831228, 2.202503, 2.562259, 2.921152, 3.279033, 3.637203");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.468802, 1.142788, 1.850177, 2.571545, 3.287302, 4.013168", \
+					  "0.467560, 1.142512, 1.850512, 2.569389, 3.291790, 4.008951", \
+					  "0.467435, 1.142948, 1.850435, 2.571400, 3.292623, 4.013309");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.858295, 2.173233, 2.443933, 2.706853, 2.968648, 3.229455", \
+					  "1.993710, 2.309013, 2.579589, 2.842687, 3.104451, 3.365383", \
+					  "2.135499, 2.450901, 2.721401, 2.984849, 3.245895, 3.507090");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.410809, 0.858929, 1.323922, 1.799641, 2.282746, 2.766572", \
+					  "0.411019, 0.858936, 1.321891, 1.801538, 2.279382, 2.769638", \
+					  "0.410997, 0.858098, 1.324055, 1.799971, 2.284402, 2.768755");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.952816, 2.642303, 3.076980, 3.456032, 3.819907, 4.179004", \
+					  "2.003112, 2.694353, 3.127356, 3.506227, 3.870106, 4.228831", \
+					  "2.012119, 2.703755, 3.136522, 3.515296, 3.879294, 4.237905");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.944734, 1.687371, 2.291791, 2.912563, 3.561438, 4.231221", \
+					  "0.944411, 1.687906, 2.289746, 2.912246, 3.566422, 4.231294", \
+					  "0.944454, 1.687623, 2.290276, 2.913655, 3.566716, 4.232084");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.856652, 2.396181, 2.888822, 3.323155, 3.713586, 4.065900", \
+					  "1.992577, 2.532210, 3.024296, 3.459676, 3.847104, 4.203049", \
+					  "2.134344, 2.673876, 3.166523, 3.600823, 3.991054, 4.343599");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.541589, 1.433865, 2.125288, 2.703934, 3.224146, 3.712441", \
+					  "0.541781, 1.433502, 2.122932, 2.700868, 3.229591, 3.717011", \
+					  "0.541644, 1.433953, 2.125218, 2.704285, 3.224352, 3.711500");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.651630, 1.864432, 2.048424, 2.229042, 2.408851, 2.588485", \
+					  "1.702072, 1.915034, 2.098844, 2.279466, 2.459519, 2.639037", \
+					  "1.710798, 1.923659, 2.107700, 2.288246, 2.468328, 2.647623");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.335969, 0.633955, 0.970814, 1.318239, 1.674267, 2.030781", \
+					  "0.335341, 0.632429, 0.970486, 1.319524, 1.671903, 2.028655", \
+					  "0.335290, 0.634143, 0.970830, 1.319177, 1.670483, 2.030029");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.743577, 1.959906, 2.115624, 2.256529, 2.392168, 2.525220", \
+					  "1.879341, 2.095734, 2.251421, 2.392360, 2.527966, 2.661016", \
+					  "2.021138, 2.237554, 2.393268, 2.534176, 2.669811, 2.802859");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.291049, 0.534974, 0.755305, 0.979800, 1.211904, 1.445343", \
+					  "0.291407, 0.534606, 0.755623, 0.980697, 1.211232, 1.446136", \
+					  "0.291654, 0.534950, 0.755262, 0.979730, 1.211792, 1.445582");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.658050, 2.174192, 2.504462, 2.753202, 2.968654, 3.167192", \
+					  "1.708720, 2.224594, 2.554961, 2.803657, 3.019131, 3.217647", \
+					  "1.717606, 2.232868, 2.564958, 2.814335, 3.028071, 3.226564");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.592786, 1.178496, 1.531945, 1.840428, 2.140639, 2.446098", \
+					  "0.591721, 1.178226, 1.531888, 1.840334, 2.140813, 2.446068", \
+					  "0.592305, 1.179507, 1.530878, 1.839056, 2.141127, 2.445898");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.720834, 2.003814, 2.271660, 2.527833, 2.769561, 2.996908", \
+					  "1.856767, 2.139811, 2.407601, 2.663780, 2.905453, 3.132840", \
+					  "1.998523, 2.281555, 2.549354, 2.805524, 3.047280, 3.274582");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.301376, 0.782915, 1.218240, 1.601127, 1.938419, 2.250325", \
+					  "0.301573, 0.782944, 1.218377, 1.600820, 1.940440, 2.250838", \
+					  "0.301368, 0.782941, 1.218421, 1.601129, 1.938108, 2.250345");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.724224, 2.441443, 3.156383, 3.883217, 4.600388, 5.311640", \
+					  "1.746037, 2.463177, 3.178853, 3.893884, 4.611629, 5.336828", \
+					  "1.743699, 2.460794, 3.176592, 3.891167, 4.610491, 5.334216");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.802585, 2.212715, 3.655056, 5.118289, 6.563484, 7.995455", \
+					  "0.804422, 2.213742, 3.650434, 5.105411, 6.540468, 7.988049", \
+					  "0.803629, 2.213698, 3.649285, 5.106211, 6.543277, 7.982692");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("2.116890, 3.030932, 3.760896, 4.477621, 5.191922, 5.905402", \
+					  "2.139753, 3.053946, 3.783712, 4.500268, 5.212615, 5.935769", \
+					  "2.137577, 3.050858, 3.780632, 4.496721, 5.214361, 5.936079");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.389387, 2.631287, 3.922592, 5.274301, 6.668707, 8.084261", \
+					  "1.388884, 2.627102, 3.914123, 5.273534, 6.667427, 8.087266", \
+					  "1.386945, 2.625418, 3.916636, 5.274380, 6.660013, 8.083035");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.473132, 1.733470, 1.973368, 2.211776, 2.450120, 2.689396", \
+					  "1.506834, 1.767503, 2.007437, 2.245970, 2.484354, 2.722538", \
+					  "1.510049, 1.771126, 2.011044, 2.249635, 2.487911, 2.726022");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.400052, 0.808395, 1.265498, 1.730411, 2.207936, 2.690378", \
+					  "0.401275, 0.809122, 1.263046, 1.740627, 2.208874, 2.686949", \
+					  "0.401351, 0.809563, 1.263607, 1.735361, 2.209356, 2.686190");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.529174, 2.125912, 2.492407, 2.780417, 3.040791, 3.288541", \
+					  "1.563896, 2.160184, 2.526618, 2.814326, 3.074763, 3.322170", \
+					  "1.567444, 2.164459, 2.530446, 2.818110, 3.078471, 3.326429");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.738358, 1.387335, 1.820200, 2.219078, 2.627416, 3.045775", \
+					  "0.744537, 1.390254, 1.819097, 2.219766, 2.627381, 3.044784", \
+					  "0.740932, 1.390135, 1.819849, 2.218220, 2.627528, 3.045796");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.525207, 1.892788, 2.250709, 2.608525, 2.965524, 3.322843", \
+					  "1.547484, 1.915135, 2.273182, 2.631038, 2.988652, 3.346559", \
+					  "1.545164, 1.912578, 2.270574, 2.628595, 2.985891, 3.343562");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.487341, 1.148106, 1.857207, 2.576486, 3.292426, 4.009242", \
+					  "0.486757, 1.143679, 1.853247, 2.567643, 3.290703, 4.010144", \
+					  "0.485988, 1.147666, 1.851998, 2.572318, 3.293840, 4.014275");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.690190, 2.388182, 2.824667, 3.204216, 3.567705, 3.926200", \
+					  "1.713409, 2.410661, 2.847455, 3.227120, 3.590289, 3.949697", \
+					  "1.710715, 2.409748, 2.844589, 3.224633, 3.588235, 3.946341");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.958680, 1.718226, 2.325734, 2.938423, 3.578527, 4.244325", \
+					  "0.957668, 1.716524, 2.318820, 2.939319, 3.580950, 4.241188", \
+					  "0.958527, 1.717465, 2.320524, 2.936943, 3.583700, 4.245245");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.415948, 1.629208, 1.811518, 1.990993, 2.169605, 2.348849", \
+					  "1.447209, 1.661386, 1.843833, 2.023334, 2.202121, 2.380642", \
+					  "1.449553, 1.664338, 1.846658, 2.026073, 2.205052, 2.383715");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.368196, 0.649609, 0.980202, 1.322884, 1.675809, 2.031010", \
+					  "0.367748, 0.652079, 0.980445, 1.322997, 1.673935, 2.027119", \
+					  "0.367680, 0.650168, 0.980590, 1.325709, 1.675456, 2.030554");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.418174, 1.926680, 2.262611, 2.514746, 2.730397, 2.928478", \
+					  "1.450885, 1.959215, 2.294804, 2.547170, 2.762648, 2.960954", \
+					  "1.454169, 1.962702, 2.297646, 2.549909, 2.765545, 2.964076");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.598828, 1.198480, 1.557888, 1.869941, 2.174689, 2.475188", \
+					  "0.599181, 1.196048, 1.557791, 1.870609, 2.170482, 2.472498", \
+					  "0.599484, 1.197277, 1.556384, 1.870128, 2.170377, 2.471104");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.285569, 1.831603, 2.354274, 2.875702, 3.397967, 3.917395", \
+					  "1.334892, 1.881068, 2.403825, 2.925549, 3.446805, 3.967405", \
+					  "1.346658, 1.893022, 2.415416, 2.936582, 3.459602, 3.979411");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.643518, 1.562922, 2.529425, 3.506459, 4.474453, 5.464726", \
+					  "0.643898, 1.565214, 2.526241, 3.500008, 4.482117, 5.464031", \
+					  "0.644092, 1.559995, 2.528944, 3.506675, 4.480224, 5.471168");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.380592, 2.367854, 3.155040, 3.824279, 4.429983, 4.998045", \
+					  "1.430084, 2.416061, 3.205892, 3.872108, 4.476808, 5.048461", \
+					  "1.441927, 2.428252, 3.217838, 3.884274, 4.488586, 5.060701");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.025852, 2.446781, 3.511043, 4.453207, 5.380780, 6.299837", \
+					  "1.023631, 2.445774, 3.503879, 4.458986, 5.377425, 6.297963", \
+					  "1.025454, 2.444435, 3.504092, 4.458359, 5.378108, 6.298072");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.109306, 1.363942, 1.557587, 1.738189, 1.914815, 2.089608", \
+					  "1.158286, 1.412665, 1.606423, 1.787156, 1.963373, 2.138373", \
+					  "1.170314, 1.424416, 1.618105, 1.798834, 1.975106, 2.150170");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.354147, 0.663932, 0.959281, 1.262131, 1.573579, 1.891182", \
+					  "0.354252, 0.663645, 0.959420, 1.263977, 1.569739, 1.885536", \
+					  "0.354292, 0.663388, 0.958996, 1.263747, 1.572235, 1.887773");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.095159, 1.456568, 1.802269, 2.126285, 2.426207, 2.703828", \
+					  "1.143973, 1.505594, 1.851050, 2.174999, 2.474949, 2.752436", \
+					  "1.155635, 1.517211, 1.862870, 2.186749, 2.486702, 2.764546");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.399566, 1.016007, 1.552844, 2.010900, 2.419940, 2.793915", \
+					  "0.400379, 1.016801, 1.552755, 2.011281, 2.418138, 2.792662", \
+					  "0.398654, 1.016684, 1.552117, 2.009773, 2.417539, 2.790160");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.108559, 1.424254, 1.694536, 1.957566, 2.218288, 2.479005", \
+					  "1.157657, 1.473440, 1.743442, 2.006306, 2.267806, 2.528544", \
+					  "1.169516, 1.485370, 1.755686, 2.018436, 2.279763, 2.540303");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.429658, 0.870788, 1.331055, 1.802637, 2.286788, 2.769581", \
+					  "0.429701, 0.870999, 1.326986, 1.805928, 2.280655, 2.771640", \
+					  "0.429324, 0.870283, 1.329515, 1.801485, 2.284770, 2.771358");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.102218, 1.635795, 2.127931, 2.565030, 2.954374, 3.308991", \
+					  "1.151402, 1.684761, 2.177096, 2.614141, 3.002722, 3.359757", \
+					  "1.163337, 1.696919, 2.189717, 2.625475, 3.016916, 3.370322");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.547811, 1.438686, 2.130472, 2.711867, 3.235561, 3.733542", \
+					  "0.547360, 1.435734, 2.130355, 2.711572, 3.239753, 3.728187", \
+					  "0.547772, 1.438220, 2.131769, 2.714425, 3.234772, 3.722862");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.037710, 1.259061, 1.416878, 1.558668, 1.694264, 1.827388", \
+					  "1.085866, 1.307362, 1.465239, 1.606947, 1.742537, 1.875452", \
+					  "1.097383, 1.318901, 1.476830, 1.618566, 1.754143, 1.887246");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.313811, 0.555504, 0.776333, 0.999244, 1.226945, 1.457227", \
+					  "0.313008, 0.555721, 0.775650, 0.999944, 1.226458, 1.459676", \
+					  "0.312393, 0.555606, 0.777358, 0.999720, 1.227271, 1.458429");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.021040, 1.299745, 1.564726, 1.819913, 2.062150, 2.290346", \
+					  "1.069203, 1.347974, 1.612697, 1.868121, 2.110453, 2.338197", \
+					  "1.080868, 1.359537, 1.624512, 1.879822, 2.121719, 2.349765");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.329026, 0.792145, 1.228100, 1.609052, 1.950575, 2.259434", \
+					  "0.329321, 0.792900, 1.227379, 1.608656, 1.950542, 2.260882", \
+					  "0.328217, 0.793356, 1.227346, 1.608702, 1.949527, 2.260566");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.788927, 0.788928, 0.788929, 0.788930, 0.788931, 0.788932", \
+					  "0.927787, 0.927788, 0.927789, 0.927790, 0.927791, 0.927792", \
+					  "1.068479, 1.068480, 1.068692, 1.068693, 1.068694, 1.068695");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.780574, 0.780575, 0.780576, 0.780577, 0.780578, 0.780579", \
+					  "0.916422, 0.916423, 0.916424, 0.916425, 0.916426, 0.916427", \
+					  "1.058899, 1.058900, 1.059142, 1.059143, 1.059144, 1.059145");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.865134, 0.865135, 0.865136, 0.865137, 0.865138, 0.865139", \
+					  "1.000698, 1.000699, 1.000700, 1.000701, 1.000702, 1.000703", \
+					  "1.145163, 1.145164, 1.145966, 1.145967, 1.145968, 1.145969");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.860007, 0.860008, 0.860009, 0.860010, 0.860011, 0.860012", \
+					  "0.994861, 0.994862, 0.994863, 0.994864, 0.994865, 0.994866", \
+					  "1.137703, 1.137704, 1.138717, 1.138718, 1.138719, 1.138720");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.781418, 0.781419, 0.784314, 0.784315, 0.784316, 0.784317", \
+					  "0.917651, 0.917652, 0.917653, 0.917654, 0.917655, 0.917656", \
+					  "1.060589, 1.060590, 1.062135, 1.062136, 1.062137, 1.062138");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.775568, 0.775569, 0.775570, 0.775571, 0.775572, 0.775573", \
+					  "0.910978, 0.910979, 0.910980, 0.910981, 0.910982, 0.910983", \
+					  "1.053488, 1.053489, 1.054766, 1.054767, 1.054768, 1.054769");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.850852, 0.850934, 0.850935, 0.850936, 0.850937, 0.850938", \
+					  "0.986422, 0.986423, 0.986424, 0.986425, 0.986426, 0.986427", \
+					  "1.131239, 1.131240, 1.131591, 1.131592, 1.131593, 1.131594");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.846720, 0.846722, 0.846723, 0.846724, 0.846725, 0.846726", \
+					  "0.979448, 0.980770, 0.980771, 0.980772, 0.980773, 0.980774", \
+					  "1.124051, 1.124538, 1.124539, 1.124540, 1.124541, 1.124542");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.928086, 0.928087, 0.928088, 0.928089, 0.928090, 0.928091", \
+					  "1.066723, 1.067187, 1.067188, 1.067189, 1.067190, 1.067191", \
+					  "1.214270, 1.214271, 1.214272, 1.214273, 1.214274, 1.214275");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.929667, 0.929698, 0.929699, 0.929700, 0.929701, 0.929702", \
+					  "1.065746, 1.065747, 1.065748, 1.065749, 1.065750, 1.065751", \
+					  "1.213550, 1.213551, 1.213552, 1.213553, 1.213554, 1.213555");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.060003, 1.060004, 1.060005, 1.060006, 1.060007, 1.060008", \
+					  "1.198589, 1.198590, 1.198591, 1.198592, 1.198593, 1.198594", \
+					  "1.347091, 1.347092, 1.347093, 1.347094, 1.347095, 1.347096");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.059786, 1.059787, 1.059788, 1.059789, 1.059790, 1.059791", \
+					  "1.197223, 1.197224, 1.197225, 1.197226, 1.197227, 1.197228", \
+					  "1.345467, 1.345468, 1.345469, 1.345470, 1.345471, 1.345472");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.918138, 0.918139, 0.918140, 0.918141, 0.918142, 0.918143", \
+					  "1.055996, 1.055997, 1.055998, 1.055999, 1.056000, 1.056001", \
+					  "1.203541, 1.203969, 1.203970, 1.203971, 1.203972, 1.203973");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("0.921325, 0.921326, 0.921327, 0.921328, 0.921329, 0.921330", \
+					  "1.057545, 1.057546, 1.057547, 1.057548, 1.057549, 1.057550", \
+					  "1.204069, 1.204361, 1.204362, 1.204363, 1.204364, 1.204365");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.050870, 1.051097, 1.051098, 1.051099, 1.051100, 1.051101", \
+					  "1.188658, 1.188659, 1.188660, 1.188661, 1.188662, 1.188663", \
+					  "1.340310, 1.340311, 1.340312, 1.340313, 1.340314, 1.340315");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.848953, 8.848953, 14.848953, 20.848953, 26.848953, 32.848953");
+					values("1.049484, 1.049818, 1.049819, 1.049820, 1.049821, 1.049822", \
+					  "1.186977, 1.186978, 1.186979, 1.186980, 1.186981, 1.186982", \
+					  "1.337659, 1.337660, 1.337661, 1.337662, 1.337663, 1.337664");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-21.134300, -21.508060, -21.534300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("21.230800, 21.646610, 21.758850");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.061237, 2.017811, 1.988358, 1.947443, 1.932254, 1.865416", \
+					  "1.832803, 1.901608, 1.683536, 1.630292, 1.725829, 1.750213", \
+					  "1.789896, 1.739564, 1.572991, 1.726117, 1.730380, 1.639554");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.529557, 2.551220, 2.676931, 2.467734, 2.484962, 2.607295", \
+					  "2.347086, 2.350634, 2.460016, 2.603421, 2.241740, 2.803556", \
+					  "2.384733, 2.366066, 2.402055, 2.315442, 2.277809, 2.901524");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.364797, 2.320018, 2.292850, 2.246648, 2.231070, 2.222578", \
+					  "2.125623, 2.082631, 2.062211, 1.944622, 2.043111, 2.064335", \
+					  "2.085787, 2.131138, 2.026097, 1.990164, 2.023420, 2.027433");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.696839, 2.727448, 2.934865, 2.871554, 2.887268, 2.893072", \
+					  "2.513608, 2.482918, 2.622801, 3.028370, 2.813410, 2.606275", \
+					  "2.542999, 2.633721, 2.799583, 2.447057, 2.779832, 2.925547");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.335870, 1.285790, 1.254020, 1.182190, 1.146320, 1.119310", \
+					  "1.795710, 1.745180, 1.716660, 1.637700, 1.611630, 1.586030", \
+					  "1.946620, 1.904890, 1.863590, 1.757850, 1.729720, 1.715420");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.426880, 1.445300, 1.510000, 1.604490, 1.628450, 1.647160", \
+					  "1.411270, 1.432820, 1.495280, 1.591370, 1.615230, 1.633030", \
+					  "1.416820, 1.443350, 1.503670, 1.602760, 1.627370, 1.646250");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.958380, 1.909110, 1.878020, 1.802370, 1.771470, 1.758330", \
+					  "2.314670, 2.267550, 2.238020, 2.155280, 2.130480, 2.110350", \
+					  "2.515600, 2.461670, 2.435210, 2.348040, 2.316990, 2.303390");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.545650, 2.577700, 2.667130, 2.856330, 2.917040, 2.963990", \
+					  "1.836150, 1.872710, 1.956840, 2.147580, 2.209710, 2.249620", \
+					  "2.127930, 2.166930, 2.253320, 2.443170, 2.504510, 2.546920");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.213017, 2.168915, 2.140604, 2.097046, 2.081662, 2.043997", \
+					  "1.979213, 1.992120, 1.872873, 1.787457, 1.884470, 1.907274", \
+					  "1.937841, 1.935351, 1.799544, 1.858140, 1.876900, 1.833493");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.613198, 2.639334, 2.805898, 2.669644, 2.686115, 2.750184", \
+					  "2.430347, 2.416776, 2.541409, 2.815895, 2.527575, 2.704916", \
+					  "2.463866, 2.499893, 2.600819, 2.381250, 2.528821, 2.913536");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.647125, 1.597450, 1.566020, 1.492280, 1.458895, 1.438820", \
+					  "2.055190, 2.006365, 1.977340, 1.896490, 1.871055, 1.848190", \
+					  "2.231110, 2.183280, 2.149400, 2.052945, 2.023355, 2.009405");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.986265, 2.011500, 2.088565, 2.230410, 2.272745, 2.305575", \
+					  "1.623710, 1.652765, 1.726060, 1.869475, 1.912470, 1.941325", \
+					  "1.772375, 1.805140, 1.878495, 2.022965, 2.065940, 2.096585");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.195191, 1.234472, 1.282076, 1.422011, 1.489472, 1.557019", \
+					  "1.270992, 1.309937, 1.358058, 1.497310, 1.565123, 1.632877", \
+					  "1.311676, 1.350664, 1.398680, 1.538152, 1.605944, 1.673647");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.130177, 0.180402, 0.256084, 0.503186, 0.630853, 0.764155", \
+					  "0.131769, 0.182328, 0.256018, 0.501087, 0.631164, 0.762306", \
+					  "0.129931, 0.182469, 0.255116, 0.501692, 0.629646, 0.763841");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.004982, 1.040030, 1.082983, 1.201787, 1.257464, 1.312595", \
+					  "1.135436, 1.170524, 1.213263, 1.332218, 1.387913, 1.442995", \
+					  "1.261117, 1.296141, 1.339063, 1.457912, 1.513585, 1.568666");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.069170, 0.113078, 0.171097, 0.355256, 0.449400, 0.545459", \
+					  "0.069887, 0.113668, 0.171508, 0.355545, 0.450201, 0.545018", \
+					  "0.069367, 0.113132, 0.171584, 0.355345, 0.450209, 0.544910");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.239359, 1.278641, 1.326341, 1.466245, 1.533619, 1.601135", \
+					  "1.315194, 1.354157, 1.402463, 1.541703, 1.609503, 1.677262", \
+					  "1.355859, 1.394904, 1.442790, 1.582476, 1.650283, 1.718053");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.130808, 0.180193, 0.255916, 0.502737, 0.632313, 0.763487", \
+					  "0.130642, 0.180119, 0.256094, 0.501124, 0.631264, 0.762198", \
+					  "0.131385, 0.181678, 0.255994, 0.502678, 0.629937, 0.764342");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.057390, 1.092411, 1.135431, 1.254074, 1.309778, 1.364951", \
+					  "1.188175, 1.223192, 1.266022, 1.384867, 1.440478, 1.495553", \
+					  "1.313676, 1.348691, 1.391644, 1.510248, 1.565972, 1.621060");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.069529, 0.113644, 0.171273, 0.355505, 0.449565, 0.545010", \
+					  "0.069276, 0.113141, 0.171529, 0.355860, 0.449641, 0.544582", \
+					  "0.069223, 0.113937, 0.171710, 0.356099, 0.449162, 0.544215");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.854247, 0.893182, 0.941022, 1.080427, 1.148175, 1.215715", \
+					  "0.902372, 0.941066, 0.989067, 1.128320, 1.196049, 1.263596", \
+					  "0.890439, 0.929206, 0.976849, 1.116104, 1.183730, 1.252100");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.129850, 0.178614, 0.252303, 0.501836, 0.630953, 0.762316", \
+					  "0.129437, 0.179231, 0.252241, 0.502100, 0.631636, 0.762562", \
+					  "0.129930, 0.178978, 0.252127, 0.500836, 0.632716, 0.764915");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.762011, 0.796772, 0.839716, 0.958155, 1.013966, 1.069104", \
+					  "0.856653, 0.891618, 0.934309, 1.053136, 1.109002, 1.164085", \
+					  "1.051530, 1.086477, 1.129176, 1.247859, 1.303653, 1.358732");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.069515, 0.113322, 0.170400, 0.354762, 0.448902, 0.544078", \
+					  "0.070183, 0.113284, 0.170512, 0.354773, 0.450111, 0.543708", \
+					  "0.069682, 0.113840, 0.170500, 0.354689, 0.448830, 0.543570");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.916366, 0.954966, 1.002866, 1.142137, 1.209795, 1.277273", \
+					  "1.009486, 1.048287, 1.096348, 1.235682, 1.303266, 1.370945", \
+					  "1.057795, 1.096375, 1.144151, 1.283689, 1.351344, 1.419004");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.130012, 0.179020, 0.252589, 0.501476, 0.633166, 0.761895", \
+					  "0.130963, 0.178989, 0.252425, 0.501643, 0.631273, 0.763357", \
+					  "0.130535, 0.179845, 0.252159, 0.501583, 0.631714, 0.761054");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.186615, 1.221620, 1.264301, 1.383133, 1.438884, 1.494001", \
+					  "1.217839, 1.252793, 1.295289, 1.414304, 1.469908, 1.525004", \
+					  "1.453150, 1.487763, 1.530475, 1.649418, 1.705125, 1.760361");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.070539, 0.113890, 0.171190, 0.354995, 0.449245, 0.543703", \
+					  "0.069998, 0.114791, 0.170465, 0.355766, 0.449256, 0.542497", \
+					  "0.070014, 0.113808, 0.170730, 0.356485, 0.449941, 0.543726");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_5v50.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_5v50.lib
new file mode 100644
index 0000000..955a922
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ff_n40C_5v50.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 5.553610e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.005554";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023555;
+			rise_capacitance_range(0.022244,0.024557);
+			capacitance : 0.023465;
+			fall_capacitance : 0.023375;
+			fall_capacitance_range(0.022588,0.024268);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.978853, 3.921271, 4.269205");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("3.244832, 3.571941, 3.989009");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032133;
+			rise_capacitance_range(0.030523,0.033283);
+			capacitance : 0.031983;
+			fall_capacitance : 0.031833;
+			fall_capacitance_range(0.031253,0.032703);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.184961, 4.218814, 4.514080");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.711969, 4.464743, 4.803543");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.015121;
+			rise_capacitance_range(0.013866,0.015907);
+			capacitance : 0.014914;
+			fall_capacitance : 0.014707;
+			fall_capacitance_range(0.013826,0.015614);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.109946, 2.481368, 2.807751");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.040236, 2.336774, 2.716314");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.090680;
+			rise_capacitance_range(0.083743,0.099431);
+			capacitance : 0.090423;
+			fall_capacitance : 0.089136;
+			fall_capacitance_range(0.082870,0.097255);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.090000;
+			rise_capacitance_range(0.071865,0.101646);
+			capacitance : 0.090667;
+			fall_capacitance : 0.091334;
+			fall_capacitance_range(0.068593,0.103707);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.539634, 1.287811, 1.897445");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("3.782888, 4.678550, 5.679191");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049909;
+			rise_capacitance_range(0.046112,0.053803);
+			capacitance : 0.051071;
+			fall_capacitance : 0.052233;
+			fall_capacitance_range(0.050293,0.053282);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.183510, 2.741119, 3.260755");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("3.699710, 4.298686, 5.058046");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037645;
+			rise_capacitance_range(0.035200,0.039000);
+			capacitance : 0.037674;
+			fall_capacitance : 0.037702;
+			fall_capacitance_range(0.036689,0.038768);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.344299, -0.337195, -0.345502");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.415054, 0.411962, 0.419068");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030862;
+			rise_capacitance_range(0.030176,0.031696);
+			capacitance : 0.030812;
+			fall_capacitance : 0.030762;
+			fall_capacitance_range(0.030473,0.031121);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.308102, -0.301279, -0.307336");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.308048, 0.304222, 0.302439");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032946;
+			rise_capacitance_range(0.032256,0.033822);
+			capacitance : 0.032882;
+			fall_capacitance : 0.032818;
+			fall_capacitance_range(0.032501,0.033211);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.358901, -0.352680, -0.360537");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.358539, 0.355426, 0.354344");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.679989;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("67.722219, 67.221319, 66.821729, 65.008929, 62.795599, 62.610359", \
+					  "68.147295, 67.888755, 67.049265, 64.910845, 62.985975, 64.669265", \
+					  "68.486432, 68.025672, 67.167372, 66.316252, 64.214782, 62.231952");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("74.225746, 75.074686, 74.950516, 75.709976, 75.535216, 75.312236", \
+					  "74.543918, 75.611438, 74.827988, 76.274298, 75.694258, 75.426558", \
+					  "74.968172, 75.691582, 75.986312, 76.118712, 76.271822, 76.326772");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("66.867979, 66.748939, 65.545689, 64.813089, 61.241409, 60.878089", \
+					  "67.065955, 67.326515, 65.713475, 64.875415, 62.869195, 58.623775", \
+					  "67.607992, 67.200082, 66.188862, 65.002542, 63.350862, 61.588812");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("70.276526, 72.476766, 74.636926, 74.545926, 73.841636, 73.962026", \
+					  "70.533388, 72.845408, 74.649838, 74.905388, 74.283938, 74.316528", \
+					  "70.879902, 73.749392, 74.310722, 75.129032, 74.724472, 74.819612");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("114.077199, 115.642299, 114.651299, 115.215799, 114.185899, 112.949699", \
+					  "114.400495, 115.694595, 114.645195, 115.012495, 113.876595, 114.008795", \
+					  "114.332902, 116.036502, 115.880802, 114.914002, 114.714202, 113.641702");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("117.011446, 122.225446, 123.370246, 123.926646, 124.282946, 124.519546", \
+					  "117.410538, 122.620338, 123.613638, 124.391538, 124.431738, 124.664338", \
+					  "118.186332, 123.739032, 123.139932, 125.395432, 125.412332, 124.371132");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("104.147499, 111.457099, 113.331099, 111.265399, 110.157499, 112.047899", \
+					  "104.618195, 112.250695, 111.829095, 112.283795, 111.566095, 110.386295", \
+					  "105.134502, 112.594902, 113.944902, 112.801402, 112.124802, 111.455002");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("104.939546, 111.078746, 115.100546, 116.752646, 118.337746, 119.323946", \
+					  "104.969138, 111.270638, 115.115738, 117.906038, 119.433038, 119.759438", \
+					  "105.060232, 111.474132, 115.565432, 117.115232, 118.946132, 119.890932");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("90.713329, 91.057689, 90.335019, 89.769589, 89.187139, 88.396589", \
+					  "90.869385, 91.210455, 90.614445, 89.832765, 89.307605, 88.517005", \
+					  "91.780762, 91.845682, 91.182802, 90.767682, 89.643332, 89.108372");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("96.601146, 98.841206, 99.662746, 99.742346, 100.053746, 100.516846", \
+					  "96.742408, 99.440528, 99.718238, 99.693438, 100.169738, 101.521838", \
+					  "97.193592, 99.722332, 100.206932, 100.527732, 100.733932, 101.273632");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("86.148519, 89.882519, 89.377069, 87.913869, 86.981299, 85.622539", \
+					  "86.552375, 90.594075, 90.139675, 86.851355, 86.629255, 85.222705", \
+					  "86.590042, 90.605772, 89.465142, 89.229362, 87.853112, 86.575842");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("87.927456, 92.822756, 95.272886, 96.380456, 97.002926, 98.104616", \
+					  "88.138208, 93.214598, 94.870748, 97.095378, 97.212278, 99.278418", \
+					  "88.352452, 93.515112, 95.564622, 96.815432, 97.600062, 99.098392");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("136.018399, 138.416699, 138.182599, 138.747399, 137.789699, 136.630499", \
+					  "136.211095, 138.440595, 139.152795, 138.043395, 138.336295, 136.167295", \
+					  "136.314502, 139.221302, 139.707402, 138.973702, 138.089802, 137.571202");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("136.943546, 144.845046, 146.973146, 147.786946, 148.326946, 149.026046", \
+					  "137.914438, 145.194338, 147.294338, 148.124338, 148.922438, 149.272138", \
+					  "137.483632, 145.483432, 147.612132, 148.516032, 149.233732, 149.577232");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("121.502599, 132.707599, 135.964799, 135.170799, 135.534499, 132.923599", \
+					  "122.460295, 134.703895, 136.654395, 135.619995, 132.593695, 133.498495", \
+					  "122.613302, 134.063402, 135.371502, 135.363402, 135.995702, 133.810202");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("122.111146, 129.264046, 133.948246, 137.219146, 138.678446, 142.227546", \
+					  "121.996438, 129.223238, 134.101838, 137.245738, 140.033738, 141.585538", \
+					  "121.914532, 129.347632, 134.619432, 137.684932, 139.036032, 143.128732");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("64.490547, 63.280567, 62.275957, 61.447367, 58.708717, 58.085737", \
+					  "53.124440, 51.884660, 50.881340, 50.195390, 48.237650, 44.963280", \
+					  "52.473530, 51.456510, 50.430240, 49.477380, 47.286480, 45.348260");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.754397, 10.310897, 10.574787, 10.669667, 10.713337, 10.737297", \
+					  "4.520500, 6.267610, 5.941160, 6.465450, 6.865770, 7.070160", \
+					  "4.894900, 6.204700, 6.573310, 6.747490, 6.848240, 6.913720");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("63.320477, 62.476697, 61.264237, 60.142487, 58.386747, 55.379537", \
+					  "52.079520, 51.300040, 50.005920, 48.763810, 46.836970, 44.983750", \
+					  "51.482740, 50.732270, 49.447790, 47.961040, 46.631800, 44.793320");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.567767, 10.226457, 10.495897, 10.482517, 10.936277, 10.995697", \
+					  "4.651330, 6.348620, 5.840860, 5.489950, 5.476090, 5.561240", \
+					  "4.834350, 6.193630, 6.521140, 6.665560, 6.746340, 6.798330");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("103.270147, 103.160347, 101.913447, 100.982347, 99.754747, 99.622247", \
+					  "91.165560, 90.891660, 90.341260, 89.791460, 87.706560, 87.606160", \
+					  "90.861200, 90.487700, 89.510200, 88.386500, 87.388600, 86.531900");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.814787, 30.307047, 31.851667, 32.574917, 33.018207, 33.327897", \
+					  "21.759490, 25.923090, 27.228110, 27.859770, 28.364270, 28.268410", \
+					  "23.401450, 26.634770, 26.120300, 30.971450, 29.113540, 31.395870");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("94.226757, 100.391047, 99.730747, 98.196347, 97.388647, 96.447067", \
+					  "82.375240, 88.180460, 88.561460, 86.462260, 85.703650, 84.761160", \
+					  "81.763130, 87.737800, 86.753600, 86.013400, 84.743570, 83.893360");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("26.289127, 30.627727, 31.781997, 32.525237, 33.015817, 33.270627", \
+					  "22.470140, 26.523930, 26.796640, 27.068940, 27.306880, 27.443450", \
+					  "23.425380, 24.321610, 29.260310, 29.344240, 28.935490, 31.242650");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("88.322117, 87.139857, 85.291987, 86.499717, 84.180987, 84.108347", \
+					  "76.802010, 75.281580, 75.377390, 72.897520, 72.308870, 71.373090", \
+					  "75.546810, 74.691010, 73.442370, 73.050460, 71.095300, 70.733490");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.761177, 20.632497, 21.445887, 21.824107, 22.046477, 22.196207", \
+					  "13.806170, 16.982820, 17.764090, 18.120410, 18.218300, 18.176530", \
+					  "14.601860, 17.382490, 18.296540, 18.655300, 18.877040, 19.028030");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("83.742787, 85.610817, 84.879737, 83.514817, 82.269217, 80.854327", \
+					  "71.762070, 74.264170, 72.792320, 72.072510, 70.373250, 69.207070", \
+					  "71.085890, 73.101320, 71.817020, 71.020000, 69.508000, 68.311430");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.820357, 20.753717, 21.493477, 21.986287, 22.225587, 22.362367", \
+					  "14.665310, 16.588070, 17.853120, 18.293180, 18.569580, 18.771360", \
+					  "14.769180, 17.515240, 18.263580, 18.638980, 18.871230, 19.027510");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("125.850247, 126.018147, 124.952847, 123.792947, 122.531647, 122.225847", \
+					  "113.419060, 113.061660, 113.368560, 111.139160, 111.064360, 108.996660", \
+					  "112.713100, 112.693100, 111.909300, 111.006800, 109.978500, 108.661200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("35.104757, 39.728367, 41.638987, 42.722557, 43.373477, 43.799597", \
+					  "31.904020, 36.306300, 38.354590, 39.378560, 40.021690, 40.450430", \
+					  "32.653870, 37.708670, 39.005460, 40.096470, 43.111920, 44.052570");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("112.121947, 121.097847, 121.831647, 120.639847, 120.241447, 119.144047", \
+					  "99.605460, 108.225560, 108.327360, 108.653060, 108.080360, 106.159960", \
+					  "99.008800, 108.025800, 108.527200, 106.890800, 106.228800, 105.828400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("35.277037, 40.522827, 41.948987, 43.218067, 43.878747, 44.306717", \
+					  "31.768260, 36.382360, 38.481510, 39.572090, 40.191530, 40.524350", \
+					  "32.643170, 39.971310, 35.676710, 37.410820, 42.849820, 44.080540");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("58.275757, 58.587917, 58.858127, 58.842967, 59.027387, 59.186337", \
+					  "47.676050, 47.925580, 48.062540, 48.169720, 48.195270, 48.207750", \
+					  "47.398640, 47.601280, 47.843440, 47.882220, 47.969060, 48.007670");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.610897, -177.441343, -359.100543, -540.502343, -721.903343, -903.336143", \
+					  "-0.001340, -181.934990, -363.440490, -544.981590, -726.520590, -908.057090", \
+					  "-0.001210, -182.015020, -363.654720, -545.219720, -726.757120, -908.281520");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("54.712857, 56.811347, 57.659527, 57.815777, 57.746007, 57.904367", \
+					  "43.839030, 46.252870, 46.789460, 46.986450, 47.093950, 47.050550", \
+					  "43.582740, 45.962170, 46.501180, 46.748860, 46.808230, 46.863080");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.741097, -177.156743, -358.770043, -540.319143, -721.849043, -903.369943", \
+					  "0.761760, -182.318990, -363.590190, -544.822590, -726.113790, -907.468490", \
+					  "0.110780, -181.904020, -363.547220, -545.114420, -726.653620, -908.179320");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("84.842497, 87.741547, 88.802977, 87.976337, 88.608147, 89.435077", \
+					  "74.291680, 77.358910, 77.972580, 77.805000, 78.051350, 78.337010", \
+					  "74.335250, 77.556170, 77.944410, 78.083370, 78.138830, 78.221860");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.726467, -161.495943, -343.297443, -524.933643, -706.594343, -888.171843", \
+					  "16.408360, -165.862090, -347.527190, -529.173990, -710.655990, -892.312490", \
+					  "16.835200, -165.395920, -347.168020, -528.811620, -710.405720, -891.965220");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("73.453167, 77.276407, 79.870707, 82.365757, 83.648147, 84.071517", \
+					  "62.850730, 66.827750, 69.227610, 71.903180, 73.609320, 74.348040", \
+					  "62.646330, 66.699030, 69.628200, 71.646850, 72.933180, 73.721990");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.098507, -161.292943, -343.005043, -524.597743, -706.288943, -887.930443", \
+					  "16.561030, -165.306590, -346.983890, -528.691390, -711.633190, -893.038290", \
+					  "17.143220, -165.085320, -346.861820, -528.506320, -710.095320, -891.656820");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("72.937397, 73.663047, 74.407117, 73.645787, 74.499347, 75.084607", \
+					  "62.312260, 63.306370, 63.753710, 63.431170, 63.833640, 64.225750", \
+					  "62.309080, 63.569170, 63.716920, 63.812800, 63.963180, 63.945730");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.952887, -169.272443, -351.018643, -532.617643, -714.186243, -895.731943", \
+					  "8.695770, -173.507990, -355.253690, -537.352590, -718.417490, -899.963390", \
+					  "9.080260, -173.134720, -354.866720, -536.481020, -718.051320, -899.595620");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("64.491267, 68.136217, 70.431997, 71.622037, 71.738617, 71.453417", \
+					  "53.982150, 57.496980, 59.421580, 60.778090, 60.831000, 61.695020", \
+					  "54.029450, 57.632980, 59.703370, 60.855860, 61.134550, 61.457660");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.016217, -169.386243, -351.276443, -532.937443, -714.529943, -896.092043", \
+					  "7.578820, -172.392490, -354.443190, -535.685690, -717.148790, -898.647990", \
+					  "9.287590, -172.935320, -354.665120, -536.281120, -717.834520, -899.394820");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("97.196347, 102.883947, 103.614147, 103.163947, 104.044547, 104.009147", \
+					  "86.574060, 92.319060, 92.718060, 93.456060, 93.627960, 93.065060", \
+					  "87.187200, 92.659800, 93.522700, 93.791800, 93.936800, 93.962500");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.740177, -153.484643, -335.280943, -516.961843, -698.545743, -880.128843", \
+					  "24.799140, -157.487090, -339.335690, -520.899490, -702.545590, -885.076890", \
+					  "25.623280, -156.600720, -338.396220, -520.061520, -701.669720, -883.245320");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("83.320277, 87.381977, 90.486857, 93.067297, 95.433427, 96.103397", \
+					  "72.703010, 76.831490, 80.086780, 82.449910, 84.803360, 86.256960", \
+					  "72.926450, 77.158630, 80.516360, 83.130150, 84.940990, 86.443600");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.089897, -153.135043, -334.937843, -516.604343, -698.212143, -879.788343", \
+					  "24.126600, -158.105890, -338.732490, -519.783890, -701.859990, -883.664890", \
+					  "25.981600, -156.228920, -338.029120, -519.700320, -701.317720, -882.899520");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("196.799435, 203.283540, 203.552325, 201.976218, 199.468261, 198.014818", \
+					  "197.581272, 204.527395, 203.949585, 201.857515, 199.541178, 197.773407", \
+					  "198.215109, 204.898329, 204.727196, 203.342086, 201.496649, 198.995771");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("202.509140, 211.657174, 215.978815, 218.016022, 219.014902, 220.748202", \
+					  "203.062118, 212.355131, 216.048091, 218.909038, 220.045291, 221.456199", \
+					  "203.509711, 213.180661, 216.751379, 219.325634, 220.489629, 222.121609");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("108.790146, -71.686252, -254.318292, -436.782284, -619.830948, -802.335298", \
+					  "92.407937, -87.978291, -269.956401, -452.677006, -635.572969, -818.772299", \
+					  "92.374490, -88.046806, -270.668905, -453.296210, -636.240501, -818.889600");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("95.577371, 101.948993, 104.420393, 105.562908, 106.744194, 107.280534", \
+					  "81.221774, 87.455226, 89.536550, 90.903491, 91.882495, 92.431509", \
+					  "81.954913, 88.096456, 89.886741, 91.810277, 93.147305, 94.395414");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.460976, 1.996404, 2.526129, 3.059047, 3.589309, 4.118871", \
+					  "1.493467, 2.027686, 2.560709, 3.090399, 3.618249, 4.153508", \
+					  "1.489881, 2.024549, 2.557094, 3.084611, 3.616505, 4.147879");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.573505, 1.630609, 2.717274, 3.797085, 4.889062, 5.973755", \
+					  "0.573565, 1.628694, 2.714541, 3.804394, 4.888661, 5.976387", \
+					  "0.572707, 1.627339, 2.712723, 3.805362, 4.892369, 5.977708");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.495830, 1.927764, 2.342511, 2.754946, 3.168258, 3.580730", \
+					  "1.630723, 2.062613, 2.477330, 2.890027, 3.303156, 3.715567", \
+					  "1.771766, 2.203685, 2.617898, 3.031575, 3.444194, 3.856380");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.460292, 1.203050, 1.964916, 2.747997, 3.517455, 4.295315", \
+					  "0.460542, 1.203154, 1.965753, 2.747276, 3.519557, 4.302063", \
+					  "0.460802, 1.200659, 1.967808, 2.739355, 3.525312, 4.306915");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.737983, 2.459739, 3.007015, 3.537372, 4.067914, 4.597923", \
+					  "1.770276, 2.492387, 3.038838, 3.569874, 4.099298, 4.630912", \
+					  "1.767474, 2.488519, 3.036263, 3.566940, 4.096437, 4.626943");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.012204, 1.969644, 2.937692, 3.946135, 4.993972, 6.051338", \
+					  "1.012303, 1.970705, 2.931117, 3.953622, 4.982706, 6.051818", \
+					  "1.011176, 1.969320, 2.931588, 3.945052, 4.987482, 6.051243");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.583809, 2.327686, 2.913163, 3.417822, 3.882966, 4.324730", \
+					  "1.718328, 2.462313, 3.048205, 3.552732, 4.017256, 4.459257", \
+					  "1.859480, 2.604406, 3.188889, 3.695626, 4.157599, 4.600194");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.741526, 1.795050, 2.606645, 3.355679, 4.090522, 4.823292", \
+					  "0.741618, 1.795162, 2.608155, 3.360703, 4.089917, 4.822879", \
+					  "0.743286, 1.797303, 2.604648, 3.357734, 4.087647, 4.824312");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.265228, 1.463966, 1.643172, 1.820566, 1.998369, 2.175703", \
+					  "1.297733, 1.496101, 1.675378, 1.852955, 2.030212, 2.207186", \
+					  "1.294259, 1.492831, 1.671993, 1.849814, 2.027105, 2.204428");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.284449, 0.594672, 0.938458, 1.291033, 1.650867, 2.009703", \
+					  "0.284696, 0.594549, 0.938779, 1.291996, 1.650191, 2.011558", \
+					  "0.283554, 0.594849, 0.938259, 1.291032, 1.650096, 2.007998");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.324120, 1.514354, 1.665197, 1.807501, 1.946897, 2.085206", \
+					  "1.458884, 1.649045, 1.799887, 1.942196, 2.081613, 2.219944", \
+					  "1.599714, 1.789971, 1.940712, 2.082978, 2.222580, 2.361053");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.240757, 0.482407, 0.723134, 0.969289, 1.221926, 1.474995", \
+					  "0.240694, 0.482386, 0.722958, 0.969324, 1.221918, 1.475414", \
+					  "0.241054, 0.483660, 0.722291, 0.969050, 1.220593, 1.474495");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.294433, 1.749593, 2.039033, 2.264762, 2.464180, 2.650766", \
+					  "1.326726, 1.782170, 2.071638, 2.296856, 2.496396, 2.684143", \
+					  "1.323314, 1.778642, 2.068237, 2.293908, 2.493160, 2.679614");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.523538, 1.037050, 1.374765, 1.682266, 1.991225, 2.301926", \
+					  "0.523981, 1.037882, 1.373595, 1.681231, 1.984981, 2.305628", \
+					  "0.524306, 1.036961, 1.375606, 1.681182, 1.990915, 2.302901");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.313939, 1.603840, 1.870603, 2.113832, 2.336734, 2.542924", \
+					  "1.448534, 1.738448, 2.005222, 2.248553, 2.471639, 2.677580", \
+					  "1.589775, 1.879696, 2.146422, 2.389651, 2.612547, 2.818880");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.284479, 0.763675, 1.158984, 1.496276, 1.800543, 2.085908", \
+					  "0.284823, 0.762620, 1.158605, 1.497409, 1.803367, 2.085689", \
+					  "0.284442, 0.763204, 1.158979, 1.496348, 1.800636, 2.086350");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.323021, 1.600599, 1.867359, 2.133334, 2.398896, 2.665268", \
+					  "1.355332, 1.633014, 1.899849, 2.165630, 2.431373, 2.697661", \
+					  "1.352225, 1.629457, 1.895723, 2.161988, 2.426043, 2.692481");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.347524, 0.844833, 1.377407, 1.914752, 2.454938, 2.998721", \
+					  "0.347136, 0.844911, 1.377179, 1.915363, 2.455539, 2.998975", \
+					  "0.347914, 0.846102, 1.375843, 1.916862, 2.454554, 3.001785");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.373014, 1.619403, 1.832849, 2.041090, 2.247937, 2.454643", \
+					  "1.507752, 1.754097, 1.967474, 2.175783, 2.382783, 2.589523", \
+					  "1.649101, 1.895178, 2.108585, 2.316724, 2.523924, 2.730663");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.295720, 0.655450, 1.024560, 1.404579, 1.787384, 2.175012", \
+					  "0.295473, 0.654184, 1.026298, 1.403791, 1.788642, 2.174694", \
+					  "0.295373, 0.654466, 1.025391, 1.401330, 1.787381, 2.172552");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.430112, 1.975874, 2.316451, 2.606305, 2.877649, 3.143992", \
+					  "1.462433, 2.008270, 2.348749, 2.638715, 2.909915, 3.176299", \
+					  "1.459201, 2.004943, 2.346088, 2.635727, 2.906617, 3.173017");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.684803, 1.288103, 1.750700, 2.220593, 2.698934, 3.194775", \
+					  "0.684805, 1.288563, 1.750884, 2.220184, 2.698189, 3.194942", \
+					  "0.683455, 1.285618, 1.752398, 2.218587, 2.697169, 3.194186");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.380520, 1.800344, 2.168098, 2.489932, 2.778657, 3.045370", \
+					  "1.515141, 1.934882, 2.302745, 2.626155, 2.913636, 3.180443", \
+					  "1.656355, 2.076168, 2.443887, 2.766007, 3.054474, 3.321307");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.403484, 1.064291, 1.574170, 2.011969, 2.412745, 2.794332", \
+					  "0.403478, 1.064820, 1.573132, 2.008421, 2.411721, 2.796897", \
+					  "0.403727, 1.063757, 1.573685, 2.012958, 2.412917, 2.795852");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.231237, 1.394708, 1.531470, 1.665436, 1.798893, 1.931237", \
+					  "1.263488, 1.427108, 1.563830, 1.698041, 1.831124, 1.963422", \
+					  "1.260273, 1.423657, 1.560397, 1.694459, 1.827851, 1.960316");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.257131, 0.475907, 0.725577, 0.983703, 1.249007, 1.517446", \
+					  "0.257198, 0.475809, 0.726159, 0.985293, 1.248879, 1.517912", \
+					  "0.257319, 0.476242, 0.725426, 0.986170, 1.249457, 1.515654");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.291041, 1.455490, 1.576309, 1.687053, 1.793931, 1.899006", \
+					  "1.425830, 1.590162, 1.710982, 1.821667, 1.928359, 2.033333", \
+					  "1.566769, 1.731135, 1.852057, 1.962729, 2.069425, 2.174398");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.209686, 0.399132, 0.576594, 0.756041, 0.940937, 1.128604", \
+					  "0.209720, 0.399138, 0.576626, 0.757461, 0.940573, 1.126550", \
+					  "0.209615, 0.399274, 0.576401, 0.757117, 0.941129, 1.127373");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.224510, 1.608303, 1.868800, 2.069014, 2.237369, 2.389679", \
+					  "1.256711, 1.640643, 1.901231, 2.101043, 2.269863, 2.422478", \
+					  "1.253539, 1.637386, 1.897584, 2.098041, 2.266031, 2.418671");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.420977, 0.887291, 1.173523, 1.415751, 1.643563, 1.873990", \
+					  "0.420892, 0.887222, 1.173770, 1.415264, 1.645507, 1.875857", \
+					  "0.420484, 0.886848, 1.173243, 1.415846, 1.643701, 1.875563");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.277841, 1.501413, 1.708889, 1.903282, 2.084470, 2.254362", \
+					  "1.412407, 1.636038, 1.843532, 2.037851, 2.218883, 2.388317", \
+					  "1.553681, 1.777240, 1.984725, 2.179151, 2.360271, 2.530263");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.226213, 0.595164, 0.918388, 1.198777, 1.451006, 1.680765", \
+					  "0.225780, 0.595541, 0.918942, 1.197571, 1.449451, 1.682791", \
+					  "0.226206, 0.596065, 0.917507, 1.199288, 1.451064, 1.681583");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.297916, 1.829294, 2.360576, 2.888156, 3.418239, 3.949953", \
+					  "1.302904, 1.834182, 2.365671, 2.892700, 3.423934, 3.955781", \
+					  "1.281560, 1.812987, 2.344179, 2.872201, 3.401651, 3.933265");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.580293, 1.629392, 2.711768, 3.805431, 4.892222, 5.977611", \
+					  "0.580236, 1.628173, 2.712362, 3.805366, 4.892206, 5.977872", \
+					  "0.580454, 1.629366, 2.712136, 3.805573, 4.892213, 5.977296");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.566376, 2.292630, 2.839126, 3.369632, 3.898704, 4.428648", \
+					  "1.572419, 2.297582, 2.844934, 3.374719, 3.905043, 4.436425", \
+					  "1.550409, 2.276735, 2.823263, 3.353960, 3.881266, 4.409905");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.027094, 1.988630, 2.943655, 3.961391, 4.985934, 6.046216", \
+					  "1.027651, 1.987786, 2.944462, 3.959385, 4.995276, 6.054883", \
+					  "1.025799, 1.987262, 2.944564, 3.958538, 4.990066, 6.044632");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.102716, 1.299734, 1.477510, 1.653778, 1.830905, 2.007521", \
+					  "1.118530, 1.315762, 1.493518, 1.670029, 1.847011, 2.023711", \
+					  "1.106315, 1.303877, 1.481840, 1.658562, 1.834339, 2.010544");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.303277, 0.601814, 0.942269, 1.294250, 1.651993, 2.012050", \
+					  "0.302962, 0.601996, 0.941943, 1.292644, 1.652287, 2.010234", \
+					  "0.302889, 0.601681, 0.942788, 1.295068, 1.647492, 2.006664");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.127181, 1.579737, 1.869912, 2.095293, 2.295262, 2.483308", \
+					  "1.144266, 1.595209, 1.886403, 2.112496, 2.311979, 2.499055", \
+					  "1.132542, 1.583417, 1.874247, 2.099776, 2.299648, 2.487761");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.528093, 1.050232, 1.391413, 1.697426, 2.005756, 2.317270", \
+					  "0.528725, 1.049473, 1.391492, 1.697707, 2.004938, 2.313626", \
+					  "0.527953, 1.048765, 1.389886, 1.694032, 2.004346, 2.318857");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.153516, 1.428163, 1.693066, 1.958345, 2.222150, 2.487152", \
+					  "1.159206, 1.434049, 1.698777, 1.963463, 2.229427, 2.493662", \
+					  "1.137929, 1.412816, 1.678078, 1.943245, 2.207324, 2.473306");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.363793, 0.850744, 1.376868, 1.916929, 2.452362, 3.003439", \
+					  "0.363628, 0.849359, 1.376389, 1.914173, 2.456214, 2.996749", \
+					  "0.365564, 0.849368, 1.378363, 1.917294, 2.459261, 3.000195");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.250895, 1.798179, 2.140945, 2.431285, 2.702493, 2.968807", \
+					  "1.256435, 1.804601, 2.146657, 2.437216, 2.707629, 2.974532", \
+					  "1.235365, 1.782706, 2.125938, 2.415390, 2.686740, 2.953252");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.691124, 1.307867, 1.770469, 2.235701, 2.709446, 3.210428", \
+					  "0.690888, 1.307100, 1.770332, 2.236390, 2.716042, 3.208461", \
+					  "0.691770, 1.304078, 1.770844, 2.235520, 2.714809, 3.206262");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.059289, 1.223345, 1.358726, 1.491791, 1.623910, 1.756424", \
+					  "1.073240, 1.237763, 1.373111, 1.505785, 1.638825, 1.770963", \
+					  "1.059371, 1.224554, 1.360060, 1.492928, 1.625261, 1.757933");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.279599, 0.487585, 0.730854, 0.989372, 1.253259, 1.520712", \
+					  "0.279095, 0.488720, 0.731452, 0.990236, 1.253753, 1.516866", \
+					  "0.278970, 0.489678, 0.732897, 0.988859, 1.252658, 1.520976");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.050784, 1.428769, 1.689710, 1.891096, 2.059859, 2.212632", \
+					  "1.065744, 1.443791, 1.704409, 1.905585, 2.074074, 2.227071", \
+					  "1.052961, 1.430611, 1.692318, 1.892133, 2.061539, 2.213941");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.426845, 0.896134, 1.187787, 1.433067, 1.660445, 1.890901", \
+					  "0.427720, 0.896482, 1.188930, 1.432321, 1.660443, 1.890837", \
+					  "0.427724, 0.895357, 1.184663, 1.431314, 1.661721, 1.892349");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.936802, 1.367445, 1.780860, 2.194405, 2.606221, 3.018482", \
+					  "0.967469, 1.398111, 1.811275, 2.225077, 2.636992, 3.049270", \
+					  "0.967042, 1.397636, 1.811503, 2.224531, 2.637275, 3.049374");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.468435, 1.204956, 1.972923, 2.745783, 3.527145, 4.307243", \
+					  "0.468222, 1.205349, 1.972419, 2.745208, 3.526983, 4.307380", \
+					  "0.468400, 1.204986, 1.971636, 2.744907, 3.520639, 4.306749");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("1.018975, 1.758022, 2.342900, 2.847528, 3.312145, 3.752843", \
+					  "1.049658, 1.789318, 2.374914, 2.880495, 3.342056, 3.785370", \
+					  "1.049570, 1.788476, 2.374721, 2.877777, 3.342591, 3.784743");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.743617, 1.794232, 2.607452, 3.360809, 4.090995, 4.806999", \
+					  "0.742368, 1.793378, 2.603326, 3.360083, 4.095217, 4.817001", \
+					  "0.742755, 1.794114, 2.603900, 3.360200, 4.088764, 4.829089");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.810461, 1.003748, 1.154659, 1.296668, 1.436028, 1.574149", \
+					  "0.840453, 1.033900, 1.184762, 1.326809, 1.466168, 1.604352", \
+					  "0.840242, 1.033328, 1.184262, 1.326391, 1.465642, 1.603728");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.252496, 0.496292, 0.734045, 0.976930, 1.227276, 1.479671", \
+					  "0.252525, 0.496385, 0.733802, 0.977547, 1.226753, 1.479375", \
+					  "0.251453, 0.495139, 0.734025, 0.976263, 1.226664, 1.478784");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.806322, 1.088714, 1.350562, 1.591071, 1.812178, 2.016541", \
+					  "0.836408, 1.118888, 1.380825, 1.621061, 1.841986, 2.047414", \
+					  "0.835861, 1.118302, 1.380110, 1.620757, 1.841483, 2.047672");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.297443, 0.759830, 1.150117, 1.489305, 1.795028, 2.075974", \
+					  "0.297407, 0.761175, 1.152039, 1.488067, 1.792656, 2.077844", \
+					  "0.296988, 0.760275, 1.152533, 1.489824, 1.792861, 2.077500");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.805755, 1.051122, 1.263832, 1.471612, 1.677932, 1.884319", \
+					  "0.836476, 1.081908, 1.294663, 1.502462, 1.708893, 1.915355", \
+					  "0.836066, 1.081463, 1.294061, 1.501844, 1.708542, 1.915238");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.306101, 0.660722, 1.029738, 1.406424, 1.790927, 2.174334", \
+					  "0.305975, 0.661541, 1.030518, 1.405908, 1.788945, 2.174218", \
+					  "0.305347, 0.659821, 1.027594, 1.408130, 1.791699, 2.176632");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.811211, 1.224471, 1.590343, 1.912153, 2.200753, 2.465939", \
+					  "0.841810, 1.255153, 1.620730, 1.942428, 2.230124, 2.496723", \
+					  "0.841625, 1.254925, 1.620624, 1.941591, 2.232234, 2.497016");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.406290, 1.063088, 1.573617, 2.011999, 2.408486, 2.796278", \
+					  "0.406427, 1.063796, 1.571894, 2.007481, 2.412679, 2.796579", \
+					  "0.405180, 1.064483, 1.572064, 2.011924, 2.409124, 2.790365");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.758017, 0.924517, 1.046299, 1.157040, 1.263752, 1.368571", \
+					  "0.788595, 0.954925, 1.076750, 1.187527, 1.294129, 1.399116", \
+					  "0.787511, 0.953716, 1.075634, 1.186282, 1.292989, 1.397785");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.223022, 0.412204, 0.589192, 0.767517, 0.948674, 1.136185", \
+					  "0.222960, 0.411426, 0.588660, 0.766751, 0.950021, 1.137343", \
+					  "0.222846, 0.412365, 0.588666, 0.767180, 0.949849, 1.135984");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.750595, 0.969779, 1.173490, 1.365406, 1.545296, 1.713260", \
+					  "0.781074, 1.000137, 1.203852, 1.395834, 1.575455, 1.744548", \
+					  "0.780017, 0.999103, 1.202755, 1.394324, 1.574763, 1.743372");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.245714, 0.599858, 0.917221, 1.196412, 1.448089, 1.679525", \
+					  "0.244563, 0.599871, 0.917808, 1.196412, 1.447912, 1.680860", \
+					  "0.244847, 0.599920, 0.917892, 1.194938, 1.448176, 1.680684");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.608593, 0.608594, 0.608595, 0.608596, 0.608597, 0.608598", \
+					  "0.744227, 0.744972, 0.744973, 0.744974, 0.744975, 0.744976", \
+					  "0.884703, 0.884704, 0.884705, 0.884706, 0.884707, 0.884708");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.600109, 0.600110, 0.600111, 0.600112, 0.600113, 0.600114", \
+					  "0.735119, 0.735742, 0.735743, 0.735745, 0.735746, 0.735747", \
+					  "0.876002, 0.876003, 0.876200, 0.876201, 0.876202, 0.876203");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.671353, 0.671354, 0.671355, 0.671356, 0.671357, 0.671358", \
+					  "0.805862, 0.805863, 0.805864, 0.805865, 0.805866, 0.805867", \
+					  "0.949061, 0.949062, 0.949063, 0.949194, 0.949195, 0.949196");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.665119, 0.665120, 0.665121, 0.665122, 0.665123, 0.665124", \
+					  "0.798644, 0.798645, 0.798646, 0.798647, 0.798648, 0.798649", \
+					  "0.941046, 0.941047, 0.941048, 0.941049, 0.941050, 0.941051");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.601621, 0.601622, 0.601623, 0.601624, 0.601625, 0.601626", \
+					  "0.736042, 0.736043, 0.736044, 0.736045, 0.736046, 0.736047", \
+					  "0.878422, 0.878553, 0.878554, 0.878555, 0.878556, 0.878557");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.595208, 0.595209, 0.595210, 0.595211, 0.595212, 0.595213", \
+					  "0.729861, 0.729862, 0.729863, 0.729864, 0.729865, 0.729866", \
+					  "0.871502, 0.871503, 0.871504, 0.871505, 0.871506, 0.871507");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.661040, 0.661041, 0.661042, 0.661043, 0.661044, 0.661045", \
+					  "0.796842, 0.796843, 0.796844, 0.796845, 0.796846, 0.796847", \
+					  "0.939420, 0.939421, 0.940569, 0.940570, 0.940572, 0.940573");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.654711, 0.654712, 0.654713, 0.654714, 0.654715, 0.654716", \
+					  "0.789104, 0.789105, 0.789106, 0.789107, 0.789108, 0.789109", \
+					  "0.930760, 0.930761, 0.932165, 0.932166, 0.932167, 0.932168");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.705667, 0.705668, 0.705669, 0.705670, 0.705671, 0.705672", \
+					  "0.843857, 0.844541, 0.844542, 0.844543, 0.844544, 0.844545", \
+					  "0.990540, 0.990793, 0.991195, 0.991196, 0.991197, 0.991198");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.704744, 0.704745, 0.704746, 0.704747, 0.704748, 0.704749", \
+					  "0.841980, 0.842789, 0.842790, 0.842791, 0.842792, 0.842793", \
+					  "0.989016, 0.989119, 0.989713, 0.989714, 0.989715, 0.989716");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.802484, 0.802485, 0.802486, 0.802487, 0.802488, 0.802489", \
+					  "0.940177, 0.940178, 0.940179, 0.940180, 0.940181, 0.940182", \
+					  "1.089443, 1.089874, 1.090091, 1.090092, 1.090093, 1.090094");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.801156, 0.801157, 0.801158, 0.801159, 0.801160, 0.801161", \
+					  "0.938242, 0.938243, 0.938244, 0.938245, 0.938246, 0.938247", \
+					  "1.086636, 1.087109, 1.087295, 1.087296, 1.087297, 1.087298");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.697862, 0.697863, 0.697864, 0.697865, 0.697866, 0.697867", \
+					  "0.835733, 0.837153, 0.837154, 0.837155, 0.837156, 0.837157", \
+					  "0.983156, 0.983210, 0.983211, 0.983212, 0.983213, 0.983214");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.697839, 0.698380, 0.698381, 0.698382, 0.698383, 0.698384", \
+					  "0.835172, 0.836988, 0.836989, 0.836990, 0.836991, 0.836992", \
+					  "0.981993, 0.982292, 0.982293, 0.982294, 0.982295, 0.982296");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.795345, 0.795363, 0.795364, 0.795365, 0.795366, 0.795367", \
+					  "0.932905, 0.933478, 0.933479, 0.933480, 0.933481, 0.933482", \
+					  "1.084092, 1.084093, 1.084094, 1.084095, 1.084096, 1.084097");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.679989, 8.679989, 14.679989, 20.679989, 26.679989, 32.679989");
+					values("0.792640, 0.792841, 0.792842, 0.792843, 0.792844, 0.792845", \
+					  "0.929936, 0.930707, 0.930708, 0.930709, 0.930710, 0.930711", \
+					  "1.080808, 1.080809, 1.080810, 1.080811, 1.080812, 1.080813");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-46.361220, -46.105610, -46.405550");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("46.673310, 46.990310, 46.902610");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.235768, 5.017400, 4.951642, 4.692953, 4.634061, 4.601407", \
+					  "5.094646, 5.194836, 4.231481, 4.404853, 4.551962, 4.185430", \
+					  "5.094969, 4.908555, 4.764816, 4.494195, 4.475023, 4.505608");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.004742, 6.102154, 6.136246, 6.383280, 5.905495, 5.729845", \
+					  "5.917222, 6.068806, 6.105820, 6.166570, 6.231407, 6.183581", \
+					  "6.063932, 6.060687, 6.161847, 6.200868, 6.216823, 6.213820");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.964429, 5.775801, 5.649718, 5.430673, 5.372410, 5.336064", \
+					  "5.768993, 5.670794, 5.641902, 5.220239, 5.088809, 5.021638", \
+					  "5.808923, 5.618181, 5.465781, 5.287030, 5.154521, 5.182987");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.468959, 6.393564, 6.377542, 7.088817, 6.658160, 6.822428", \
+					  "6.403465, 6.697741, 6.030497, 6.781612, 7.275850, 7.033727", \
+					  "6.425065, 6.456005, 6.617164, 6.787648, 6.780670, 6.804456");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.656870, 4.465130, 4.323110, 4.064280, 3.975270, 3.905680", \
+					  "4.437060, 4.226830, 4.031600, 3.761010, 3.653800, 3.603660", \
+					  "6.526950, 5.513400, 4.683180, 4.421900, 4.328780, 4.231390");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.610570, 4.605080, 4.711640, 4.880150, 4.923660, 4.954590", \
+					  "4.299170, 4.299510, 4.400440, 4.568970, 4.611990, 4.644210", \
+					  "4.137270, 4.149360, 4.258420, 4.434410, 4.481640, 4.516190");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.304600, 5.113240, 4.976360, 4.716240, 4.622430, 4.536340", \
+					  "5.597040, 5.404850, 5.260190, 5.010130, 4.916030, 4.808320", \
+					  "6.475320, 6.292540, 6.158200, 5.857320, 5.747240, 5.669920");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.331890, 6.365430, 6.548440, 6.971280, 7.099070, 7.194880", \
+					  "5.214130, 5.233430, 5.430420, 5.846680, 5.980110, 6.074710", \
+					  "6.173550, 6.202290, 6.388130, 6.810540, 6.945420, 7.036510");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.600098, 5.396600, 5.300680, 5.061813, 5.003236, 4.968736", \
+					  "5.431819, 5.432815, 4.936692, 4.812546, 4.820386, 4.603534", \
+					  "5.451946, 5.263368, 5.115298, 4.890612, 4.814772, 4.844297");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("6.236851, 6.247859, 6.256894, 6.736048, 6.281828, 6.276136", \
+					  "6.160343, 6.383273, 6.068159, 6.474091, 6.753628, 6.608654", \
+					  "6.244499, 6.258346, 6.389506, 6.494258, 6.498746, 6.509138");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.980735, 4.789185, 4.649735, 4.390260, 4.298850, 4.221010", \
+					  "5.017050, 4.815840, 4.645895, 4.385570, 4.284915, 4.205990", \
+					  "6.501135, 5.902970, 5.420690, 5.139610, 5.038010, 4.950655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.471230, 5.485255, 5.630040, 5.925715, 6.011365, 6.074735", \
+					  "4.756650, 4.766470, 4.915430, 5.207825, 5.296050, 5.359460", \
+					  "5.155410, 5.175825, 5.323275, 5.622475, 5.713530, 5.776350");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.901839, 0.930710, 0.964552, 1.064431, 1.113148, 1.161990", \
+					  "0.963422, 0.992000, 1.026122, 1.126148, 1.174697, 1.223447", \
+					  "0.996870, 1.025444, 1.059361, 1.159110, 1.207844, 1.256996");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.113393, 0.145729, 0.197131, 0.377745, 0.472588, 0.569441", \
+					  "0.113592, 0.144398, 0.198916, 0.378588, 0.473257, 0.568797", \
+					  "0.111802, 0.146302, 0.195869, 0.378219, 0.473302, 0.569246");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.737116, 0.763741, 0.796895, 0.893562, 0.939470, 0.985115", \
+					  "0.856112, 0.882734, 0.916085, 1.012489, 1.058458, 1.104072", \
+					  "0.973135, 0.999717, 1.033031, 1.129507, 1.175441, 1.221177");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.056702, 0.090950, 0.137554, 0.288906, 0.366663, 0.446205", \
+					  "0.057739, 0.090530, 0.138123, 0.288706, 0.367629, 0.445911", \
+					  "0.056695, 0.091616, 0.137535, 0.289474, 0.367372, 0.445756");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.939471, 0.968377, 1.002568, 1.102413, 1.150875, 1.199741", \
+					  "1.001135, 1.030028, 1.064216, 1.164064, 1.212561, 1.261376", \
+					  "1.034621, 1.063252, 1.097543, 1.197205, 1.245952, 1.295026");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.114046, 0.143861, 0.197160, 0.378256, 0.472843, 0.570034", \
+					  "0.114160, 0.143925, 0.197175, 0.378303, 0.473127, 0.569980", \
+					  "0.111416, 0.146790, 0.196706, 0.378238, 0.471036, 0.569151");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.769981, 0.796475, 0.829824, 0.926191, 0.972171, 1.017784", \
+					  "0.888478, 0.915012, 0.948275, 1.044805, 1.090657, 1.136356", \
+					  "1.005349, 1.031866, 1.065225, 1.161678, 1.207648, 1.253266");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.057449, 0.091845, 0.137398, 0.289467, 0.367433, 0.445400", \
+					  "0.056789, 0.091649, 0.137675, 0.288899, 0.367370, 0.445803", \
+					  "0.057303, 0.092019, 0.137231, 0.289709, 0.367459, 0.445741");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.651760, 0.680643, 0.713841, 0.813475, 0.862169, 0.911146", \
+					  "0.661828, 0.690451, 0.724324, 0.823699, 0.872471, 0.921668", \
+					  "0.615073, 0.643856, 0.677531, 0.776841, 0.825448, 0.874374");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.111799, 0.143280, 0.194584, 0.377250, 0.471543, 0.570057", \
+					  "0.112352, 0.143565, 0.194893, 0.377003, 0.471748, 0.568415", \
+					  "0.113033, 0.145525, 0.195016, 0.377339, 0.472147, 0.570153");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.525581, 0.551966, 0.585292, 0.681642, 0.727569, 0.773293", \
+					  "0.660433, 0.687167, 0.720197, 0.816545, 0.862531, 0.908106", \
+					  "0.867085, 0.893517, 0.926794, 1.023025, 1.069053, 1.114633");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.056648, 0.090383, 0.137227, 0.289386, 0.366102, 0.443946", \
+					  "0.057090, 0.090868, 0.137106, 0.288381, 0.366372, 0.443413", \
+					  "0.056847, 0.091775, 0.137013, 0.288516, 0.366411, 0.444268");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.705882, 0.734545, 0.768153, 0.867675, 0.916838, 0.966037", \
+					  "0.763691, 0.792302, 0.825900, 0.925525, 0.974486, 1.023599", \
+					  "0.765737, 0.794468, 0.828421, 0.927545, 0.976264, 1.025390");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.111751, 0.142972, 0.194941, 0.377401, 0.473116, 0.569343", \
+					  "0.111667, 0.142797, 0.195377, 0.377024, 0.472871, 0.569675", \
+					  "0.112799, 0.143456, 0.194712, 0.376943, 0.472709, 0.569351");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.788693, 0.814915, 0.847978, 0.944514, 0.990343, 1.035869", \
+					  "0.896285, 0.922668, 0.955795, 1.051918, 1.097797, 1.143420", \
+					  "1.142755, 1.169210, 1.202316, 1.298459, 1.344341, 1.390004");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.057406, 0.092170, 0.137299, 0.289431, 0.366577, 0.444158", \
+					  "0.058120, 0.090822, 0.138059, 0.288811, 0.366397, 0.444516", \
+					  "0.057759, 0.091376, 0.137344, 0.288852, 0.366180, 0.444685");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v25.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v25.lib
new file mode 100644
index 0000000..80fc579
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v25.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 1.285640e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.001286";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.022698;
+			rise_capacitance_range(0.022265,0.023471);
+			capacitance : 0.022529;
+			fall_capacitance : 0.022360;
+			fall_capacitance_range(0.022307,0.022434);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.024832, 0.283766, 0.467657");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.065385, 0.365638, 0.452582");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031303;
+			rise_capacitance_range(0.030820,0.032191);
+			capacitance : 0.031183;
+			fall_capacitance : 0.031064;
+			fall_capacitance_range(0.030898,0.031167);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.098615, 0.123703, 0.566248");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.021019, 0.306538, 0.684872");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.013992;
+			rise_capacitance_range(0.013533,0.014641);
+			capacitance : 0.013775;
+			fall_capacitance : 0.013558;
+			fall_capacitance_range(0.013451,0.013621);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.004261, 0.267660, 0.321417");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.063795, 0.265051, 0.273015");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.084105;
+			rise_capacitance_range(0.080874,0.088732);
+			capacitance : 0.083911;
+			fall_capacitance : 0.082941;
+			fall_capacitance_range(0.080102,0.086203);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.067004;
+			rise_capacitance_range(0.058706,0.074729);
+			capacitance : 0.066391;
+			fall_capacitance : 0.065778;
+			fall_capacitance_range(0.057499,0.072927);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.167800, -0.145760, -0.000505");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.217664, 0.543963, 0.692991");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.047811;
+			rise_capacitance_range(0.046825,0.048915);
+			capacitance : 0.048542;
+			fall_capacitance : 0.049272;
+			fall_capacitance_range(0.048690,0.049735);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.118014, 0.008510, 0.304277");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.178171, 0.473230, 0.578448");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.035850;
+			rise_capacitance_range(0.035215,0.036679);
+			capacitance : 0.036194;
+			fall_capacitance : 0.036539;
+			fall_capacitance_range(0.036425,0.036656);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.062795, -0.060903, -0.060242");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.069315, 0.070441, 0.070584");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.029041;
+			rise_capacitance_range(0.027563,0.029806);
+			capacitance : 0.029438;
+			fall_capacitance : 0.029834;
+			fall_capacitance_range(0.029754,0.029928);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.044207, -0.048033, -0.047641");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.048127, 0.048442, 0.048107");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031032;
+			rise_capacitance_range(0.029472,0.031837);
+			capacitance : 0.031464;
+			fall_capacitance : 0.031896;
+			fall_capacitance_range(0.031829,0.031983);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.052281, -0.056544, -0.056221");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.056735, 0.056999, 0.056871");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 3.216611;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.925945, 11.683395, 11.173415, 10.719795, 10.090435, 9.658977", \
+					  "11.922943, 11.509143, 12.301423, 9.603571, 12.362773, 8.055929", \
+					  "11.876782, 11.085932, 11.555742, 10.848492, 9.844359, 9.865958");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.225775, 13.324255, 13.357355, 13.364515, 13.348085, 13.331955", \
+					  "13.217529, 13.317579, 13.331649, 13.343909, 13.353379, 13.327789", \
+					  "13.204926, 13.320436, 13.336296, 13.339686, 13.322486, 13.322516");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.875205, 11.604725, 11.113615, 10.788345, 10.400285, 9.645510", \
+					  "11.862093, 11.453783, 10.895343, 9.823128, 10.964333, 8.694641", \
+					  "11.863522, 11.627232, 11.270052, 11.013362, 10.128012, 9.695330");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.293735, 12.924415, 13.091965, 13.159945, 13.186135, 13.193245", \
+					  "12.295629, 12.902969, 13.091009, 13.156259, 13.159629, 13.193409", \
+					  "12.281556, 12.879066, 13.106246, 12.995196, 13.141906, 13.198136");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.152775, 18.276325, 18.076575, 17.805745, 17.508365, 17.164655", \
+					  "18.186143, 18.149753, 18.133073, 18.202693, 16.728193, 16.703533", \
+					  "18.165462, 18.250962, 18.014512, 17.820792, 17.365642, 16.937592");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.912155, 19.591175, 19.750435, 19.821355, 19.867615, 19.864945", \
+					  "18.984579, 19.612809, 19.779999, 19.825209, 19.732209, 19.885619", \
+					  "18.994346, 19.603996, 19.783416, 19.766116, 19.869056, 19.827136");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.271585, 18.069835, 17.891565, 17.687285, 17.476405, 16.992535", \
+					  "17.454053, 18.059903, 18.045653, 17.937693, 17.318983, 17.481673", \
+					  "17.266582, 17.982532, 18.062812, 17.680742, 17.397092, 16.713062");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.389125, 16.865075, 17.494885, 18.142685, 18.590985, 18.821375", \
+					  "16.358299, 16.876389, 17.427329, 18.097609, 18.571329, 18.807089", \
+					  "16.319136, 16.833296, 17.413286, 17.950026, 18.420886, 18.760086");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.020945, 14.939495, 14.763095, 14.362195, 13.746885, 13.299065", \
+					  "15.022683, 14.929763, 14.752523, 13.995093, 14.502413, 13.672693", \
+					  "14.897202, 14.906102, 15.085142, 14.277122, 13.795372, 13.253342");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.228145, 16.531375, 16.636105, 16.658835, 16.657455, 16.647675", \
+					  "16.218179, 16.538579, 16.606589, 16.633659, 16.644739, 16.658409", \
+					  "16.224976, 16.529736, 16.607506, 16.633426, 16.645266, 16.654506");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.682295, 14.881005, 14.616945, 14.310285, 13.920315, 13.149125", \
+					  "14.569783, 14.928673, 14.798973, 13.715493, 14.326053, 13.235983", \
+					  "14.646232, 14.842192, 14.619702, 14.448442, 14.071692, 13.209592");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.283515, 14.912665, 15.581405, 15.961905, 16.129705, 16.242435", \
+					  "14.276849, 14.919129, 15.558059, 15.947129, 16.076539, 16.312549", \
+					  "14.238876, 14.898876, 15.546956, 15.955556, 16.068416, 16.343466");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.082905, 21.374205, 21.324455, 21.237765, 20.757095, 20.400515", \
+					  "21.020903, 21.355963, 21.270573, 21.017803, 20.816263, 20.193733", \
+					  "21.092512, 21.306722, 21.198802, 21.020952, 20.456972, 20.916382");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.440175, 22.527025, 22.820475, 22.934435, 22.998845, 23.042535", \
+					  "21.421589, 22.423229, 22.636079, 23.000169, 22.990169, 22.844829", \
+					  "21.364136, 22.436966, 22.609906, 22.998986, 22.912116, 23.113916");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.521005, 20.908945, 20.980175, 20.894185, 20.491545, 20.233095", \
+					  "19.364583, 20.672803, 21.097683, 21.180063, 20.595213, 20.591823", \
+					  "19.462202, 20.877942, 21.005372, 20.899852, 20.616462, 20.080792");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.382695, 18.882505, 19.492395, 20.127015, 20.724745, 21.193235", \
+					  "18.357979, 18.820449, 19.449599, 20.111599, 20.712969, 21.178929", \
+					  "18.292556, 18.769946, 19.391156, 20.093356, 20.828006, 21.116446");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.518385, 11.221285, 10.851165, 10.158105, 9.868947, 9.365709", \
+					  "11.770668, 11.414678, 10.932058, 10.541961, 9.945450, 9.701754", \
+					  "10.183788, 9.873928, 9.226598, 9.093308, 8.812963, 8.087764");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.179069, -0.297261, -0.328117, -0.342307, -0.350458, -0.355748", \
+					  "-0.075298, -0.120278, -0.131383, -0.136718, -0.139846, -0.141884", \
+					  "-0.568781, -0.574920, -0.576017, -0.577328, -0.578203, -0.578824");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.437115, 11.186585, 10.559105, 10.212690, 9.699190, 9.340895", \
+					  "11.695368, 11.367648, 10.984808, 10.210435, 9.914749, 9.267374", \
+					  "10.099788, 9.809058, 9.258618, 8.625117, 8.489694, 7.560402");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.184839, -0.302228, -0.333267, -0.347589, -0.355828, -0.361179", \
+					  "-0.091762, -0.139324, -0.151088, -0.156544, -0.159724, -0.161814", \
+					  "-0.575740, -0.579335, -0.581495, -0.582979, -0.583768, -0.584328");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.439825, 16.331815, 15.987805, 15.779265, 15.302005, 14.738535", \
+					  "16.714998, 16.543788, 16.229728, 15.969418, 15.457028, 15.172008", \
+					  "15.099768, 14.989818, 14.688078, 14.392198, 13.996268, 13.226728");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-1.168803, -1.487476, -1.594888, -1.649135, -1.681857, -1.703735", \
+					  "-1.029202, -1.469261, -1.609443, -1.679289, -1.721233, -1.749238", \
+					  "-0.148865, -0.179945, -0.182855, -0.184365, -0.185719, -0.186865");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.458505, 15.936815, 15.818255, 15.622615, 15.058245, 14.739615", \
+					  "15.657738, 16.175068, 16.138178, 15.878048, 15.410498, 14.857738", \
+					  "14.179698, 14.636988, 14.434488, 14.173678, 13.758788, 13.339118");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-1.181123, -1.498780, -1.606642, -1.661255, -1.694226, -1.716281", \
+					  "-1.102930, -1.543267, -1.681771, -1.752780, -1.795456, -1.823973", \
+					  "-0.206518, -0.215239, -0.222767, -0.228126, -0.231195, -0.233562");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.083225, 13.856465, 13.548485, 13.150155, 12.667225, 12.201535", \
+					  "14.357618, 14.104308, 13.786838, 13.558088, 13.051288, 12.335908", \
+					  "12.735938, 12.495798, 12.178478, 11.587408, 11.519388, 11.012808");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.492449, -0.725717, -0.791383, -0.822359, -0.840384, -0.852174", \
+					  "0.089368, 0.017032, 0.001700, -0.005689, -0.010116, -0.013071", \
+					  "0.045423, 0.040471, 0.036590, 0.033671, 0.031590, 0.030062");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.670885, 13.783695, 13.402295, 13.060065, 12.355715, 12.084505", \
+					  "13.926888, 14.038248, 13.636788, 13.197248, 12.728078, 12.517018", \
+					  "12.313678, 12.419938, 12.069998, 11.631268, 11.134668, 10.641878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.511270, -0.743038, -0.809693, -0.841195, -0.859526, -0.871513", \
+					  "0.058411, -0.029349, -0.049374, -0.057447, -0.061799, -0.064525", \
+					  "0.029856, 0.012199, 0.011813, 0.011614, 0.011287, 0.010945");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.923285, 18.886575, 18.680905, 18.443225, 18.040375, 17.700555", \
+					  "19.156928, 19.129428, 18.896208, 18.708828, 18.326058, 17.876178", \
+					  "17.532268, 17.506458, 17.254298, 17.032708, 16.698838, 16.247248");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-1.434707, -1.839266, -1.994628, -2.077128, -2.128049, -2.162575", \
+					  "-0.836368, -1.283089, -1.439429, -1.520789, -1.570838, -1.604761", \
+					  "0.467945, 0.430267, 0.417812, 0.411729, 0.408194, 0.405860");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.149185, 18.221445, 18.241815, 18.017575, 17.786415, 17.484815", \
+					  "17.464178, 18.475618, 18.510148, 18.265778, 17.843518, 17.486018", \
+					  "15.868698, 16.924968, 16.896218, 16.837158, 16.370958, 16.078578");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-1.456352, -1.860336, -2.015495, -2.097738, -2.148876, -2.182824", \
+					  "-0.928217, -1.377840, -1.536442, -1.618260, -1.668004, -1.701455", \
+					  "0.416105, 0.373659, 0.351074, 0.359703, 0.363674, 0.364830");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.991775, 12.058685, 12.056005, 11.956165, 12.161005, 12.188185", \
+					  "12.251058, 12.311558, 12.325168, 12.396888, 12.163068, 12.111058", \
+					  "10.670778, 10.739668, 10.786218, 10.694728, 10.761348, 10.592968");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.063233, -30.281146, -60.648316, -91.019726, -121.392696, -151.766296", \
+					  "-0.001048, -30.376573, -60.751173, -91.126043, -121.500983, -151.875983", \
+					  "-0.592150, -31.006412, -61.391652, -91.771392, -122.149162, -152.525962");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("11.138715, 11.645865, 11.775415, 11.853205, 11.723775, 12.105365", \
+					  "11.376068, 11.948778, 12.133928, 11.989138, 12.144368, 12.299998", \
+					  "9.801998, 10.246218, 10.418298, 10.608858, 10.632498, 10.884098");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.039578, -30.307856, -60.675906, -91.047756, -121.420996, -151.794796", \
+					  "-0.024488, -30.400983, -60.776083, -91.151203, -121.526283, -151.901283", \
+					  "-0.589664, -30.999622, -61.384062, -91.763642, -122.141262, -152.518062");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.846495, 16.323095, 16.417475, 16.414725, 16.459215, 16.375525", \
+					  "16.146818, 16.576128, 16.669838, 16.694088, 16.700028, 16.706868", \
+					  "14.542908, 14.985878, 15.089528, 15.096688, 15.115948, 15.088048");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.643510, -30.940216, -61.289726, -91.651836, -122.018996, -152.388796", \
+					  "0.225109, -30.149693, -60.520913, -90.894543, -121.268983, -151.643683", \
+					  "0.376869, -30.010892, -60.391002, -90.768972, -121.145962, -151.522262");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.590805, 13.750525, 14.195775, 14.673085, 15.123295, 15.536345", \
+					  "13.803458, 13.993338, 14.423028, 14.995448, 15.332958, 15.664448", \
+					  "12.191238, 12.369128, 12.850928, 13.322778, 13.747048, 14.044118");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.704363, -31.002196, -61.352136, -91.714536, -122.081996, -152.451896", \
+					  "0.137485, -30.231193, -60.604333, -90.978523, -121.353083, -151.727783", \
+					  "0.314191, -30.079312, -60.461542, -90.840402, -121.217762, -151.594362");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.164095, 14.345215, 14.380925, 14.382125, 14.392415, 14.365735", \
+					  "14.414648, 14.597858, 14.634848, 14.652018, 14.668928, 14.618748", \
+					  "12.841198, 13.023668, 13.050178, 13.062048, 13.065258, 13.062068");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.039209, -30.363936, -60.725436, -91.094066, -121.465396, -151.837996", \
+					  "0.318686, -30.054803, -60.428973, -90.803533, -121.178283, -151.553083", \
+					  "0.323180, -30.097742, -60.487432, -90.869642, -121.248962, -151.626762");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.419045, 12.791515, 13.342975, 13.645585, 13.838405, 13.890915", \
+					  "12.663588, 13.079538, 13.596658, 13.992258, 14.042298, 14.270278", \
+					  "11.040608, 11.444858, 11.971068, 12.338418, 12.513348, 12.577828");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.084515, -30.413356, -60.776186, -91.145536, -121.517296, -151.890096", \
+					  "0.290645, -30.076603, -60.449963, -90.824213, -121.198783, -151.573483", \
+					  "0.267227, -30.165202, -60.558252, -90.941922, -121.321962, -151.700362");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.637155, 18.438465, 18.601345, 18.657515, 18.687805, 18.687765", \
+					  "17.887558, 18.674778, 18.858248, 18.915638, 18.944398, 18.944748", \
+					  "16.254908, 17.063618, 17.250378, 17.340018, 17.325658, 17.386458");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.713002, -31.010186, -61.358766, -91.720066, -122.086596, -152.455896", \
+					  "0.553526, -29.821643, -60.197213, -90.572313, -120.947283, -151.322283", \
+					  "1.255759, -29.140472, -59.527102, -89.908822, -120.288562, -150.666862");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.968485, 15.054735, 15.389105, 15.852815, 16.366745, 16.741375", \
+					  "15.187368, 15.261938, 15.613248, 16.088368, 16.613758, 17.010478", \
+					  "13.530598, 13.622688, 13.990728, 14.585968, 15.053658, 15.328248");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("-0.796097, -31.096326, -61.444816, -91.806246, -122.172996, -152.542396", \
+					  "0.443827, -29.929803, -60.304043, -90.678493, -121.053083, -151.427783", \
+					  "1.158222, -29.261952, -59.638622, -90.020752, -120.400362, -150.778562");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.383165, 32.934483, 32.484960, 31.951400, 31.097833, 30.135869", \
+					  "32.350796, 32.764946, 32.823811, 31.368884, 31.903556, 29.657502", \
+					  "32.317624, 32.719904, 32.703034, 32.002439, 30.918901, 30.168012");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.788830, 33.889623, 34.556255, 35.042673, 35.375892, 35.584350", \
+					  "32.782658, 33.852783, 34.470078, 35.028886, 35.310240, 35.552155", \
+					  "32.730127, 33.818080, 34.448692, 34.933087, 35.302034, 35.584052");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.475316, -15.748817, -46.397682, -77.094509, -107.922356, -138.684000", \
+					  "15.336016, -14.974064, -45.614742, -76.337382, -107.168762, -137.976421", \
+					  "13.815907, -16.513082, -47.229112, -77.939088, -108.641554, -139.592334");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.143495, 13.206750, 13.335613, 13.449564, 13.586682, 13.710648", \
+					  "13.726821, 13.812317, 13.957217, 14.099541, 14.185349, 14.295738", \
+					  "12.541707, 12.850360, 13.082685, 13.286678, 13.431328, 13.523994");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("9.460353, 12.656250, 15.839350, 19.021440, 22.200900, 25.369970", \
+					  "9.582549, 12.780480, 15.962610, 19.137230, 22.318660, 25.502420", \
+					  "9.724419, 12.923150, 16.103100, 19.283620, 22.459270, 25.641390");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.836942, 9.970062, 16.172230, 22.390350, 28.595510, 34.823930", \
+					  "3.838005, 9.987180, 16.157420, 22.385270, 28.609740, 34.802330", \
+					  "3.840240, 9.979741, 16.189630, 22.391180, 28.588830, 34.849670");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("9.583580, 11.777630, 13.864790, 15.943880, 18.023560, 20.094860", \
+					  "9.717711, 11.911930, 13.998550, 16.078090, 18.155110, 20.234370", \
+					  "9.907187, 12.101400, 14.188020, 16.267560, 18.344570, 20.423830");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.993051, 6.634414, 10.465260, 14.349570, 18.260170, 22.202770", \
+					  "2.996288, 6.641610, 10.463550, 14.357230, 18.248660, 22.188020", \
+					  "2.996152, 6.641624, 10.463580, 14.357230, 18.248560, 22.187860");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("11.494850, 15.203590, 18.425170, 21.610360, 24.788120, 27.966670", \
+					  "11.617740, 15.327150, 18.552510, 21.739100, 24.920090, 28.100900", \
+					  "11.759200, 15.468520, 18.693290, 21.880150, 25.058660, 28.240200");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.228614, 11.425130, 17.080650, 23.012640, 29.198990, 35.320230", \
+					  "6.237382, 11.426860, 17.114260, 23.058060, 29.120900, 35.243510", \
+					  "6.233823, 11.434700, 17.114180, 23.032110, 29.082570, 35.245200");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("9.919040, 14.162310, 17.846340, 20.875260, 23.515920, 25.930950", \
+					  "10.053180, 14.298020, 17.983250, 21.006070, 23.659670, 26.079430", \
+					  "10.242650, 14.485770, 18.162820, 21.199290, 23.849720, 26.269860");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.755558, 11.397320, 16.015250, 20.004080, 23.741980, 27.406260", \
+					  "4.763749, 11.392180, 16.030840, 20.010850, 23.759800, 27.420660", \
+					  "4.759946, 11.394460, 16.028030, 20.032330, 23.769350, 27.414850");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.201587, 9.335848, 10.408200, 11.470520, 12.531330, 13.592890", \
+					  "8.323618, 9.457949, 10.530310, 11.593500, 12.655660, 13.716060", \
+					  "8.465683, 9.599859, 10.672280, 11.733940, 12.797550, 13.857780");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.676616, 3.562629, 5.569798, 7.612663, 9.672116, 11.737620", \
+					  "1.671894, 3.564854, 5.551319, 7.616417, 9.655687, 11.711970", \
+					  "1.674116, 3.564868, 5.557740, 7.596888, 9.654614, 11.737170");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.488431, 9.552892, 10.342190, 11.072260, 11.780260, 12.479840", \
+					  "8.622768, 9.687002, 10.476600, 11.206150, 11.914170, 12.614190", \
+					  "8.812246, 9.876471, 10.666080, 11.395620, 12.103650, 12.803690");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.665484, 2.910119, 4.063204, 5.253799, 6.498155, 7.738995", \
+					  "1.665832, 2.910985, 4.062723, 5.256129, 6.475655, 7.744958", \
+					  "1.665837, 2.911082, 4.062614, 5.256041, 6.475478, 7.745077");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.731039, 11.236390, 12.688960, 13.903150, 15.028100, 16.118670", \
+					  "8.852051, 11.358390, 12.818050, 14.023680, 15.150290, 16.238940", \
+					  "8.995123, 11.500390, 12.966790, 14.169600, 15.297370, 16.385500");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.543501, 5.978843, 7.709980, 9.401502, 11.149330, 13.019470", \
+					  "3.557842, 5.979510, 7.731328, 9.406646, 11.170710, 12.995470", \
+					  "3.558236, 5.976242, 7.723114, 9.404105, 11.161410, 13.002550");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.312926, 9.778693, 11.209200, 12.626360, 14.003490, 15.306590", \
+					  "8.446115, 9.911182, 11.342470, 12.759740, 14.136440, 15.440110", \
+					  "8.635587, 10.100660, 11.531950, 12.949220, 14.325920, 15.629580");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.733273, 4.367424, 6.926013, 9.130110, 11.016150, 12.680150", \
+					  "1.733908, 4.369838, 6.927465, 9.128766, 11.019940, 12.700410", \
+					  "1.733904, 4.369871, 6.927432, 9.128734, 11.019920, 12.700460");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.535890, 10.165930, 11.763100, 13.355390, 14.944660, 16.534690", \
+					  "8.657356, 10.287960, 11.884830, 13.475960, 15.064540, 16.652340", \
+					  "8.799976, 10.431570, 12.027730, 13.618230, 15.209650, 16.797800");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.167889, 5.132065, 8.190297, 11.308030, 14.399890, 17.518300", \
+					  "2.161440, 5.133115, 8.195820, 11.297970, 14.370530, 17.484650", \
+					  "2.163688, 5.123419, 8.195836, 11.285190, 14.394660, 17.471740");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.815098, 10.115770, 11.206710, 12.260210, 13.302810, 14.342850", \
+					  "8.949446, 10.249400, 11.340590, 12.393860, 13.437850, 14.478210", \
+					  "9.138901, 10.438870, 11.530070, 12.583340, 13.627320, 14.667680");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.034346, 3.766291, 5.577376, 7.451698, 9.353490, 11.291810", \
+					  "2.035406, 3.775177, 5.578833, 7.452347, 9.342560, 11.256720", \
+					  "2.035374, 3.775181, 5.578831, 7.452347, 9.342545, 11.256640");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("9.678904, 12.426180, 14.247420, 15.899130, 17.509360, 19.106920", \
+					  "9.799515, 12.550240, 14.367370, 16.017610, 17.629740, 19.226710", \
+					  "9.942782, 12.690090, 14.511640, 16.163300, 17.773680, 19.370830");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.436127, 7.369526, 9.926481, 12.587170, 15.460720, 18.351100", \
+					  "4.439361, 7.357130, 9.913512, 12.584950, 15.418010, 18.325220", \
+					  "4.435566, 7.358638, 9.921881, 12.595970, 15.434640, 18.332980");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.688607, 10.852330, 12.984800, 15.016130, 16.857510, 18.510560", \
+					  "8.822262, 10.984910, 13.118170, 15.147150, 16.981720, 18.640170", \
+					  "9.011722, 11.174310, 13.307630, 15.336490, 17.171160, 18.829620");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.477265, 6.417870, 9.738970, 12.408250, 14.706480, 16.820790", \
+					  "2.480805, 6.434579, 9.745208, 12.413800, 14.701310, 16.827230", \
+					  "2.480722, 6.434710, 9.746389, 12.413200, 14.704930, 16.824910");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.035793, 8.938578, 9.751981, 10.553980, 11.352360, 12.148620", \
+					  "8.156993, 9.059985, 9.873614, 10.675390, 11.473870, 12.270170", \
+					  "8.299888, 9.202669, 10.016070, 10.818070, 11.616440, 12.412710");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.483931, 2.809474, 4.283160, 5.799648, 7.336978, 8.869471", \
+					  "1.484908, 2.809927, 4.275790, 5.790018, 7.301653, 8.850362", \
+					  "1.483913, 2.809466, 4.277628, 5.786949, 7.300731, 8.855756");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.235340, 9.210608, 9.863061, 10.438580, 10.986980, 11.521030", \
+					  "8.369861, 9.344110, 9.996410, 10.573380, 11.121420, 11.656090", \
+					  "8.559334, 9.533583, 10.185870, 10.762860, 11.310890, 11.845560");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.431512, 2.477080, 3.337795, 4.200919, 5.094195, 6.012010", \
+					  "1.437361, 2.476133, 3.342361, 4.201826, 5.096137, 6.011429", \
+					  "1.437368, 2.476126, 3.342338, 4.201898, 5.096157, 6.011422");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.226640, 10.481760, 11.813330, 12.839140, 13.741840, 14.599360", \
+					  "8.347209, 10.601010, 11.935290, 12.964110, 13.866940, 14.721620", \
+					  "8.490590, 10.745270, 12.077650, 13.102960, 14.005810, 14.863330");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.926025, 5.196975, 6.610978, 7.913322, 9.163112, 10.458620", \
+					  "2.923959, 5.184031, 6.622604, 7.900046, 9.172277, 10.471250", \
+					  "2.920288, 5.196282, 6.618568, 7.901622, 9.168446, 10.457190");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.096051, 9.220449, 10.298940, 11.369280, 12.430840, 13.469910", \
+					  "8.229394, 9.353776, 10.432270, 11.502590, 12.564350, 13.603040", \
+					  "8.418747, 9.543300, 10.621770, 11.692030, 12.753300, 13.792890");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.361263, 3.320230, 5.307607, 7.152503, 8.787561, 10.228540", \
+					  "1.361733, 3.320137, 5.307567, 7.152466, 8.790349, 10.222250", \
+					  "1.360777, 3.321216, 5.308753, 7.147409, 8.786139, 10.234790");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.115018, 11.302110, 14.483240, 17.658380, 20.839130, 24.020670", \
+					  "8.225185, 11.413890, 14.592030, 17.769510, 20.942760, 24.128570", \
+					  "8.325642, 11.514500, 14.693440, 17.868180, 21.045950, 24.230880");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.857659, 9.981318, 16.188790, 22.416020, 28.615640, 34.809680", \
+					  "3.858504, 9.962584, 16.198140, 22.389740, 28.612810, 34.822080", \
+					  "3.868652, 9.982343, 16.190990, 22.374230, 28.640150, 34.839880");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("10.117860, 13.855960, 17.082520, 20.268380, 23.445050, 26.627060", \
+					  "10.229460, 13.968680, 17.194260, 20.380650, 23.559040, 26.739710", \
+					  "10.329380, 14.068040, 17.293880, 20.479310, 23.654750, 26.836680");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.392112, 11.551050, 17.161870, 23.055580, 29.038080, 35.242610", \
+					  "6.396376, 11.540990, 17.177560, 23.074400, 29.117670, 35.243290", \
+					  "6.392906, 11.555910, 17.143740, 23.006890, 29.099990, 35.210060");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("7.049731, 8.182723, 9.249479, 10.309220, 11.368920, 12.428080", \
+					  "7.166164, 8.297789, 9.364979, 10.425750, 11.485600, 12.544560", \
+					  "7.285505, 8.417859, 9.484917, 10.543730, 11.604980, 12.664260");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.839925, 3.617943, 5.579872, 7.643600, 9.680455, 11.727410", \
+					  "1.843578, 3.621482, 5.590568, 7.627726, 9.667893, 11.738930", \
+					  "1.844185, 3.620888, 5.581503, 7.613820, 9.675333, 11.735120");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("7.473213, 10.045740, 11.534360, 12.757050, 13.883030, 14.972350", \
+					  "7.588697, 10.161310, 11.649040, 12.871580, 13.998110, 15.087560", \
+					  "7.708166, 10.281330, 11.770370, 12.986300, 14.119830, 15.208950");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.629067, 6.132658, 7.912014, 9.581941, 11.281990, 13.094870", \
+					  "3.628388, 6.133257, 7.911662, 9.586057, 11.317610, 13.102440", \
+					  "3.626609, 6.141054, 7.909587, 9.583945, 11.305780, 13.094170");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("7.155304, 8.775866, 10.368020, 11.954490, 13.545330, 15.132450", \
+					  "7.265311, 8.886120, 10.478000, 12.066220, 13.656460, 15.243970", \
+					  "7.366640, 8.986750, 10.578340, 12.168820, 13.756450, 15.346010");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.246680, 5.140696, 8.202914, 11.276940, 14.384290, 17.490000", \
+					  "2.244407, 5.136636, 8.205300, 11.283310, 14.401990, 17.470200", \
+					  "2.237209, 5.150114, 8.197076, 11.300900, 14.393350, 17.509640");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("8.191712, 11.023260, 12.850050, 14.507430, 16.118720, 17.716000", \
+					  "8.302300, 11.133840, 12.960690, 14.617940, 16.229340, 17.826550", \
+					  "8.405257, 11.237300, 13.064200, 14.721270, 16.332820, 17.929930");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.550280, 7.530548, 10.055930, 12.692890, 15.515870, 18.386160", \
+					  "4.550329, 7.529946, 10.055860, 12.693190, 15.514400, 18.402830", \
+					  "4.550742, 7.530095, 10.056630, 12.694250, 15.512270, 18.375880");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.786503, 7.704894, 8.514251, 9.311772, 10.108340, 10.903360", \
+					  "6.900275, 7.819156, 8.628583, 9.426393, 10.222810, 11.017690", \
+					  "7.013509, 7.934835, 8.744127, 9.541317, 10.337630, 11.132800");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.688720, 2.907667, 4.321498, 5.823451, 7.347674, 8.875917", \
+					  "1.688317, 2.909545, 4.319827, 5.822069, 7.351736, 8.891332", \
+					  "1.689017, 2.907232, 4.332336, 5.818510, 7.344041, 8.875298");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.897636, 9.170041, 10.548760, 11.588640, 12.498560, 13.362280", \
+					  "7.012105, 9.281726, 10.664600, 11.703200, 12.613560, 13.476380", \
+					  "7.127856, 9.404335, 10.777180, 11.818640, 12.729520, 13.591350");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.961652, 5.325290, 6.789770, 8.081721, 9.340570, 10.611350", \
+					  "2.963172, 5.331829, 6.790477, 8.083339, 9.331026, 10.600460", \
+					  "2.964327, 5.331818, 6.796965, 8.088564, 9.330408, 10.611140");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.202561, 8.399152, 10.485730, 12.565290, 14.643500, 16.715490", \
+					  "6.324060, 8.520241, 10.605670, 12.685710, 14.763450, 16.836750", \
+					  "6.462021, 8.659113, 10.744260, 12.824170, 14.900830, 16.980300");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.087868, 6.656366, 10.456430, 14.317900, 18.237290, 22.191170", \
+					  "3.082770, 6.667626, 10.466240, 14.348200, 18.270700, 22.187110", \
+					  "3.087868, 6.669459, 10.467440, 14.363360, 18.270650, 22.168690");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("6.491222, 10.730920, 14.438630, 17.485260, 20.132700, 22.560410", \
+					  "6.612416, 10.852210, 14.561280, 17.602000, 20.259420, 22.685420", \
+					  "6.750832, 10.988900, 14.695330, 17.740210, 20.400180, 22.818210");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.772363, 11.438080, 16.085010, 20.079750, 23.841400, 27.440580", \
+					  "4.766252, 11.428520, 16.081350, 20.094750, 23.823790, 27.482960", \
+					  "4.768983, 11.441820, 16.094270, 20.119750, 23.832520, 27.439350");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("5.343518, 6.464204, 7.266839, 8.000233, 8.709383, 9.408959", \
+					  "5.464682, 6.585325, 7.387748, 8.121152, 8.829997, 9.529992", \
+					  "5.602943, 6.723676, 7.526239, 8.259678, 8.968708, 9.668198");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.759090, 3.017660, 4.169718, 5.342055, 6.549107, 7.790570", \
+					  "1.760457, 3.014029, 4.169673, 5.346215, 6.550992, 7.791770", \
+					  "1.760165, 3.017024, 4.170751, 5.345493, 6.553528, 7.785162");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("5.210657, 6.661474, 8.084096, 9.496775, 10.875120, 12.181800", \
+					  "5.331575, 6.781676, 8.204516, 9.618817, 10.995250, 12.304770", \
+					  "5.470090, 6.921014, 8.343491, 9.756212, 11.134870, 12.442420");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.826418, 4.402764, 6.949410, 9.163454, 11.059560, 12.755300", \
+					  "1.828541, 4.390584, 6.945094, 9.156541, 11.066310, 12.748620", \
+					  "1.825450, 4.398034, 6.938074, 9.166206, 11.062410, 12.742610");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("5.363948, 6.679319, 7.772041, 8.824271, 9.867930, 10.907940", \
+					  "5.486167, 6.800645, 7.892359, 8.945553, 9.987424, 11.026760", \
+					  "5.623109, 6.938440, 8.031137, 9.082985, 10.127050, 11.167050");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.126787, 3.851992, 5.610724, 7.477380, 9.353497, 11.291200", \
+					  "2.126065, 3.844759, 5.612118, 7.479136, 9.368638, 11.303540", \
+					  "2.126713, 3.851452, 5.611230, 7.472643, 9.355606, 11.285760");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("5.216942, 7.362889, 9.491829, 11.531480, 13.384350, 15.037530", \
+					  "5.336973, 7.483949, 9.611682, 11.647780, 13.497560, 15.159470", \
+					  "5.475837, 7.621864, 9.750686, 11.789720, 13.640100, 15.291650");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("2.496311, 6.432626, 9.797011, 12.451760, 14.777870, 16.884030", \
+					  "2.506716, 6.443503, 9.779629, 12.449220, 14.784940, 16.876700", \
+					  "2.499737, 6.438233, 9.777689, 12.448570, 14.773960, 16.884620");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.986531, 5.983634, 6.657349, 7.241116, 7.791706, 8.327329", \
+					  "5.107327, 6.104654, 6.778481, 7.362348, 7.912966, 8.448742", \
+					  "5.246146, 6.243181, 6.916528, 7.500480, 8.051110, 8.586782");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.554408, 2.573488, 3.442147, 4.304509, 5.182303, 6.080545", \
+					  "1.552918, 2.570802, 3.442490, 4.307429, 5.184539, 6.075279", \
+					  "1.556090, 2.573405, 3.442248, 4.302926, 5.179537, 6.084600");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.867040, 5.983939, 7.054667, 8.119933, 9.180407, 10.222450", \
+					  "4.989182, 6.104892, 7.175812, 8.240844, 9.301588, 10.343890", \
+					  "5.126564, 6.243947, 7.313469, 8.380170, 9.440821, 10.481860");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("1.507433, 3.387680, 5.331231, 7.192301, 8.830622, 10.288100", \
+					  "1.508388, 3.383954, 5.334686, 7.190020, 8.836732, 10.293390", \
+					  "1.507242, 3.380609, 5.333157, 7.188794, 8.827910, 10.291260");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.427308, 3.427309, 3.427310, 3.427311, 3.427312, 3.427313", \
+					  "3.556994, 3.556995, 3.556996, 3.556997, 3.556998, 3.556999", \
+					  "3.737376, 3.737377, 3.737378, 3.737379, 3.737380, 3.737381");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.399544, 3.399545, 3.399546, 3.399547, 3.399548, 3.399549", \
+					  "3.526304, 3.526305, 3.526306, 3.526307, 3.526308, 3.526309", \
+					  "3.709939, 3.709940, 3.709941, 3.709942, 3.709943, 3.709944");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.734705, 3.734706, 3.734707, 3.734708, 3.734709, 3.734710", \
+					  "3.865092, 3.866984, 3.866985, 3.866986, 3.866987, 3.866988", \
+					  "4.055856, 4.055857, 4.055858, 4.055859, 4.055860, 4.055861");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.724288, 3.724289, 3.724290, 3.724291, 3.724292, 3.724293", \
+					  "3.854808, 3.854809, 3.854810, 3.854811, 3.854812, 3.854813", \
+					  "4.041431, 4.041432, 4.041433, 4.041434, 4.041435, 4.041436");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.403384, 3.403385, 3.403386, 3.403387, 3.403388, 3.403389", \
+					  "3.534530, 3.534531, 3.534532, 3.534533, 3.534534, 3.534535", \
+					  "3.733837, 3.733838, 3.733839, 3.733840, 3.733841, 3.733842");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.395787, 3.395788, 3.395789, 3.395790, 3.395791, 3.395792", \
+					  "3.528995, 3.528996, 3.528997, 3.528998, 3.528999, 3.529000", \
+					  "3.716875, 3.716876, 3.716877, 3.716878, 3.716879, 3.716880");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.680794, 3.680795, 3.680796, 3.680797, 3.680798, 3.680799", \
+					  "3.814030, 3.814031, 3.814032, 3.814033, 3.814034, 3.814035", \
+					  "3.999183, 3.999184, 3.999185, 3.999186, 3.999187, 3.999188");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("3.676300, 3.676301, 3.676302, 3.676303, 3.676304, 3.676305", \
+					  "3.807198, 3.807199, 3.807200, 3.807201, 3.807202, 3.807203", \
+					  "3.990869, 3.990870, 3.990871, 3.990872, 3.990873, 3.990874");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.110291, 4.110292, 4.110293, 4.110294, 4.110295, 4.110296", \
+					  "4.245863, 4.245864, 4.245865, 4.245866, 4.245867, 4.245868", \
+					  "4.435444, 4.435445, 4.435446, 4.435447, 4.435448, 4.435449");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.118516, 4.118517, 4.118518, 4.118519, 4.118520, 4.118521", \
+					  "4.243412, 4.243413, 4.243414, 4.243415, 4.243416, 4.243417", \
+					  "4.437039, 4.437040, 4.437041, 4.437042, 4.437043, 4.437044");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.801488, 4.801489, 4.801490, 4.801491, 4.801492, 4.801493", \
+					  "4.939520, 4.939521, 4.939522, 4.939523, 4.939524, 4.939525", \
+					  "5.129385, 5.129386, 5.129387, 5.129388, 5.129389, 5.129390");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.801275, 4.801276, 4.801277, 4.801278, 4.801279, 4.801280", \
+					  "4.938624, 4.938625, 4.938626, 4.938627, 4.938628, 4.938629", \
+					  "5.127822, 5.127823, 5.127824, 5.127825, 5.127826, 5.127827");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.093074, 4.093075, 4.093076, 4.093077, 4.093078, 4.093079", \
+					  "4.223620, 4.223621, 4.223622, 4.223623, 4.223624, 4.223625", \
+					  "4.412016, 4.412017, 4.412018, 4.412019, 4.412020, 4.412021");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.099439, 4.099440, 4.099441, 4.099442, 4.099443, 4.099444", \
+					  "4.234357, 4.234358, 4.234359, 4.234360, 4.234361, 4.234362", \
+					  "4.422254, 4.422255, 4.422256, 4.422257, 4.422258, 4.422259");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.767866, 4.767867, 4.767868, 4.767869, 4.767870, 4.767871", \
+					  "4.907430, 4.907431, 4.907432, 4.907433, 4.907434, 4.907435", \
+					  "5.096926, 5.096927, 5.096928, 5.096929, 5.096930, 5.096931");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.216611, 9.216611, 15.216611, 21.216611, 27.216611, 33.216611");
+					values("4.768649, 4.768650, 4.768651, 4.768652, 4.768653, 4.768654", \
+					  "4.907762, 4.907763, 4.907764, 4.907765, 4.907766, 4.907767", \
+					  "5.095491, 5.095492, 5.095493, 5.095494, 5.095495, 5.095496");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-9.404739, -9.399035, -9.419245");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("9.218231, 9.439732, 9.453173");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.927662, 0.931096, 0.935519, 0.939228, 0.934194, 0.927754", \
+					  "0.663318, 0.665875, 0.678834, 0.699560, 0.672743, 0.643333", \
+					  "0.608012, 0.625109, 0.618359, 0.622812, 0.620463, 0.617210");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.079061, 1.096507, 1.113971, 1.136825, 1.141973, 1.144165", \
+					  "0.863200, 0.867602, 0.964878, 0.985336, 0.962155, 0.902224", \
+					  "0.881696, 0.869078, 0.953636, 0.785535, 0.993560, 0.804897");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.037950, 1.041131, 1.045524, 1.046456, 1.049560, 1.052161", \
+					  "0.775243, 0.778962, 0.780433, 0.783279, 0.782243, 0.782565", \
+					  "0.717381, 0.720876, 0.725996, 0.730036, 0.724694, 0.719168");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.143735, 1.165901, 1.194094, 1.227864, 1.233311, 1.236258", \
+					  "0.946040, 0.952521, 1.002785, 1.023731, 1.029278, 1.051393", \
+					  "0.921953, 0.942379, 0.986564, 1.011089, 1.017650, 0.978049");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.541351, 0.542164, 0.539616, 0.518394, 0.521960, 0.513691", \
+					  "0.516015, 0.517871, 0.516193, 0.503292, 0.497615, 0.497911", \
+					  "0.538725, 0.539259, 0.537837, 0.526110, 0.521533, 0.506713");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.814109, 0.836089, 0.864699, 0.912829, 0.924409, 0.932869", \
+					  "0.582718, 0.604628, 0.634008, 0.680618, 0.693228, 0.701968", \
+					  "0.559157, 0.578057, 0.610847, 0.656507, 0.670377, 0.686637");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.679289, 0.679359, 0.678573, 0.666344, 0.661268, 0.662381", \
+					  "0.674233, 0.674920, 0.674203, 0.665820, 0.661395, 0.656948", \
+					  "0.693156, 0.693789, 0.692771, 0.678030, 0.677314, 0.677979");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.036889, 1.067219, 1.113879, 1.212089, 1.243499, 1.267069", \
+					  "0.754818, 0.785308, 0.831378, 0.930198, 0.960818, 0.985888", \
+					  "0.715277, 0.745957, 0.792477, 0.893067, 0.923247, 0.947777");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.982806, 0.986114, 0.990521, 0.992842, 0.991877, 0.989957", \
+					  "0.719280, 0.722419, 0.729634, 0.741419, 0.727493, 0.712949", \
+					  "0.662697, 0.672992, 0.672177, 0.676424, 0.672578, 0.668189");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.111398, 1.131204, 1.154033, 1.182345, 1.187642, 1.190212", \
+					  "0.904620, 0.910061, 0.983831, 1.004533, 0.995716, 0.976808", \
+					  "0.901825, 0.905728, 0.970100, 0.898312, 1.005605, 0.891473");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.610320, 0.610761, 0.609094, 0.592369, 0.591614, 0.588036", \
+					  "0.595124, 0.596395, 0.595198, 0.584556, 0.579505, 0.577430", \
+					  "0.615941, 0.616524, 0.615304, 0.602070, 0.599423, 0.592346");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.925499, 0.951654, 0.989289, 1.062459, 1.083954, 1.099969", \
+					  "0.668768, 0.694968, 0.732693, 0.805408, 0.827023, 0.843928", \
+					  "0.637217, 0.662007, 0.701662, 0.774787, 0.796812, 0.817207");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("5.644153, 5.842228, 6.073351, 6.731629, 7.044807, 7.357375", \
+					  "5.786691, 5.984619, 6.214609, 6.870180, 7.185762, 7.500982", \
+					  "5.951078, 6.148336, 6.380075, 7.035774, 7.351801, 7.666597");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.537348, 0.771888, 1.097922, 2.230334, 2.811079, 3.404057", \
+					  "0.537012, 0.769227, 1.100634, 2.229705, 2.811426, 3.415245", \
+					  "0.540087, 0.770512, 1.095504, 2.227987, 2.818333, 3.404814");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("4.852952, 4.990921, 5.150884, 5.579738, 5.775211, 5.967399", \
+					  "5.008507, 5.146951, 5.307036, 5.735982, 5.930721, 6.122058", \
+					  "5.206605, 5.344664, 5.505186, 5.934099, 6.129967, 6.320305");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.292109, 0.455180, 0.666726, 1.339605, 1.674518, 2.017183", \
+					  "0.294681, 0.454455, 0.665452, 1.338144, 1.676774, 2.019676", \
+					  "0.293142, 0.454587, 0.666264, 1.339740, 1.676806, 2.020180");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("5.846124, 6.044710, 6.276175, 6.931058, 7.246505, 7.560522", \
+					  "5.988186, 6.185413, 6.417024, 7.072737, 7.387427, 7.703589", \
+					  "6.153206, 6.351556, 6.582118, 7.240686, 7.553997, 7.866472");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.540251, 0.769008, 1.097692, 2.230984, 2.811660, 3.400348", \
+					  "0.540044, 0.770400, 1.096037, 2.234124, 2.809422, 3.407794", \
+					  "0.537453, 0.771617, 1.098163, 2.228256, 2.810723, 3.404990");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("5.158601, 5.296882, 5.455355, 5.884237, 6.079770, 6.271513", \
+					  "5.313713, 5.451484, 5.611661, 6.040543, 6.236071, 6.427471", \
+					  "5.512856, 5.650741, 5.810754, 6.239653, 6.435154, 6.626242");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.292501, 0.451232, 0.665571, 1.337582, 1.678447, 2.019579", \
+					  "0.295956, 0.455287, 0.666744, 1.340554, 1.677037, 2.020428", \
+					  "0.293464, 0.455545, 0.665602, 1.339731, 1.677862, 2.017909");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.920035, 4.116631, 4.349007, 5.008386, 5.319585, 5.635205", \
+					  "4.061401, 4.260920, 4.491348, 5.148931, 5.465001, 5.778733", \
+					  "4.217111, 4.416752, 4.647876, 5.306192, 5.620657, 5.934118");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.534206, 0.766839, 1.099273, 2.231637, 2.818945, 3.414125", \
+					  "0.534612, 0.767743, 1.098948, 2.227731, 2.821387, 3.410583", \
+					  "0.537016, 0.771531, 1.096770, 2.229844, 2.823873, 3.408170");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("4.439021, 4.576727, 4.737201, 5.167385, 5.362864, 5.554986", \
+					  "4.298944, 4.436833, 4.597347, 5.026216, 5.221684, 5.413527", \
+					  "4.345777, 4.483181, 4.643505, 5.074071, 5.269859, 5.458321");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.293011, 0.452952, 0.664212, 1.340097, 1.673999, 2.020173", \
+					  "0.292952, 0.452297, 0.664619, 1.340825, 1.675644, 2.018906", \
+					  "0.293119, 0.452809, 0.663718, 1.339090, 1.678656, 2.010153");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("4.199946, 4.396753, 4.628649, 5.284594, 5.600962, 5.914810", \
+					  "4.346438, 4.543128, 4.773101, 5.429984, 5.745592, 6.059312", \
+					  "4.535473, 4.732776, 4.963876, 5.622690, 5.938307, 6.252217");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.535127, 0.767869, 1.098900, 2.231632, 2.820966, 3.410123", \
+					  "0.532841, 0.762466, 1.089107, 2.222983, 2.807800, 3.398539", \
+					  "0.535054, 0.766415, 1.098506, 2.231574, 2.811783, 3.407939");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("7.139946, 7.277634, 7.437831, 7.867775, 8.063505, 8.255227", \
+					  "6.698706, 6.836447, 6.996079, 7.426016, 7.621793, 7.813673", \
+					  "6.565134, 6.702849, 6.863153, 7.292276, 7.488169, 7.679972");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.294119, 0.453894, 0.667362, 1.340852, 1.680271, 2.020848", \
+					  "0.293237, 0.452829, 0.663315, 1.340622, 1.679950, 2.018805", \
+					  "0.293411, 0.453426, 0.664398, 1.339225, 1.680310, 2.019347");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v97.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v97.lib
new file mode 100644
index 0000000..d44389d
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_2v97.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 2.136050e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.002136";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023700;
+			rise_capacitance_range(0.022696,0.024539);
+			capacitance : 0.023259;
+			fall_capacitance : 0.022818;
+			fall_capacitance_range(0.022628,0.022948);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.039825, 0.791566, 0.900492");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.158681, 0.835438, 0.856518");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032472;
+			rise_capacitance_range(0.031354,0.033348);
+			capacitance : 0.031999;
+			fall_capacitance : 0.031526;
+			fall_capacitance_range(0.031434,0.031694);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.032572, 0.710381, 1.158973");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.151739, 0.970480, 1.267800");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014819;
+			rise_capacitance_range(0.013939,0.015604);
+			capacitance : 0.014412;
+			fall_capacitance : 0.014004;
+			fall_capacitance_range(0.013721,0.014195);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.068592, 0.579639, 0.591965");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.146815, 0.513701, 0.525232");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.085958;
+			rise_capacitance_range(0.079624,0.094890);
+			capacitance : 0.085775;
+			fall_capacitance : 0.084857;
+			fall_capacitance_range(0.079678,0.089310);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.072855;
+			rise_capacitance_range(0.060902,0.082624);
+			capacitance : 0.071791;
+			fall_capacitance : 0.070726;
+			fall_capacitance_range(0.058266,0.082867);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.239288, 0.080086, 0.084152");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.485741, 1.138070, 1.227313");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049061;
+			rise_capacitance_range(0.047491,0.050763);
+			capacitance : 0.049688;
+			fall_capacitance : 0.050316;
+			fall_capacitance_range(0.048939,0.051294);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.106855, 0.293607, 0.642371");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.402274, 1.041433, 1.029283");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037237;
+			rise_capacitance_range(0.035704,0.038526);
+			capacitance : 0.037079;
+			fall_capacitance : 0.036920;
+			fall_capacitance_range(0.036867,0.037016);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.109475, -0.107164, -0.104083");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.122261, 0.124687, 0.123846");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030601;
+			rise_capacitance_range(0.030432,0.030831);
+			capacitance : 0.030589;
+			fall_capacitance : 0.030578;
+			fall_capacitance_range(0.030386,0.030684);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.085382, -0.086049, -0.085811");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.086969, 0.086752, 0.086177");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032683;
+			rise_capacitance_range(0.032485,0.032982);
+			capacitance : 0.032672;
+			fall_capacitance : 0.032660;
+			fall_capacitance_range(0.032444,0.032802);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.100303, -0.100882, -0.100860");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.101817, 0.101666, 0.101237");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 3.096000;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.668835, 20.377835, 19.693505, 18.725425, 18.006765, 17.163775", \
+					  "20.729294, 20.942594, 21.908134, 15.514234, 21.415524, 19.392784", \
+					  "20.710093, 20.420033, 20.970333, 16.537203, 20.507323, 16.942423");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.749429, 22.991939, 23.018019, 23.029769, 23.046079, 23.043609", \
+					  "22.783873, 22.938823, 23.039763, 23.211113, 22.887923, 22.544313", \
+					  "22.800254, 22.921294, 22.725714, 22.880604, 23.349434, 23.786414");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.534025, 20.249095, 19.685535, 18.748315, 17.919955, 16.717065", \
+					  "20.557504, 20.229104, 19.603794, 18.806364, 17.982974, 16.971454", \
+					  "20.538683, 20.264733, 19.671493, 18.462533, 18.080873, 16.642053");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.214719, 22.288889, 22.617579, 22.710289, 22.692709, 22.760579", \
+					  "21.196873, 22.257343, 22.619483, 22.505473, 22.207173, 23.255783", \
+					  "21.168464, 22.265064, 22.429934, 22.989614, 22.813064, 22.244084");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.215545, 32.486475, 32.422115, 32.249755, 31.615095, 31.037785", \
+					  "32.374204, 32.526314, 32.268074, 32.094824, 30.882354, 30.688784", \
+					  "32.220323, 32.591423, 32.403943, 32.142683, 31.206563, 30.720883");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("33.360269, 34.510679, 34.807769, 34.955629, 35.053579, 35.120569", \
+					  "33.300673, 34.529283, 34.777783, 34.912003, 35.014343, 35.076923", \
+					  "33.371394, 34.490844, 34.790074, 34.930674, 35.023754, 35.089654");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.433965, 31.936715, 31.888815, 31.894095, 31.037395, 30.653865", \
+					  "30.353114, 31.972234, 31.890474, 31.529464, 31.024994, 30.332764", \
+					  "30.405043, 31.898203, 31.875343, 31.577833, 30.974223, 30.549373");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.643279, 29.974029, 31.281799, 32.292619, 32.913969, 33.395569", \
+					  "28.514343, 29.886153, 31.205043, 32.222383, 32.919873, 33.425663", \
+					  "28.499264, 29.837004, 31.326514, 32.430294, 33.013614, 33.253024");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("26.420555, 26.428035, 26.140045, 25.506245, 24.793815, 24.035645", \
+					  "26.550404, 26.330504, 25.710384, 25.444164, 25.335174, 22.476534", \
+					  "26.288023, 26.340243, 26.192183, 25.089873, 24.725693, 25.744603");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.280699, 28.868049, 29.012439, 29.085569, 29.170429, 29.148099", \
+					  "28.278353, 28.854953, 28.927233, 29.198613, 28.932673, 29.404963", \
+					  "28.279204, 28.833624, 29.008444, 29.054164, 29.153884, 29.104534");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.680475, 26.152475, 25.916645, 25.415685, 24.672935, 23.851005", \
+					  "25.805134, 26.168754, 25.733234, 25.100464, 24.770244, 23.399274", \
+					  "25.690993, 26.123763, 25.754353, 25.355493, 24.734453, 23.365693");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.876139, 26.318419, 27.398709, 27.995909, 28.242009, 28.422559", \
+					  "24.735383, 26.305813, 27.294003, 28.010123, 27.960593, 28.667263", \
+					  "24.654804, 26.167644, 27.276884, 27.953374, 28.121874, 28.427244");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("37.764145, 38.336595, 38.252325, 38.036445, 37.712315, 37.112755", \
+					  "37.879634, 38.414804, 38.128574, 38.132734, 37.738034, 36.688554", \
+					  "37.776103, 38.283373, 38.195363, 38.064893, 37.744033, 37.078283");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.023069, 39.976039, 40.486779, 40.744269, 40.881639, 40.959229", \
+					  "37.988303, 39.925753, 40.456463, 40.692323, 40.890063, 40.889893", \
+					  "37.956194, 39.961554, 40.456974, 40.754904, 40.825534, 40.945204");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("34.785265, 37.272145, 37.683515, 37.507295, 37.059895, 36.851055", \
+					  "34.785404, 37.238814, 37.673354, 37.412494, 37.052814, 36.627934", \
+					  "34.744923, 37.284083, 37.702903, 37.410753, 37.032843, 36.550983");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.315109, 33.707809, 35.044679, 36.303179, 37.310029, 37.971969", \
+					  "32.172913, 33.623943, 34.941753, 36.136993, 37.159133, 37.951573", \
+					  "31.930024, 33.599774, 34.911944, 36.185814, 37.169944, 37.954754");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.133271, 19.733691, 19.372081, 17.653021, 17.184931, 16.336151", \
+					  "18.592851, 18.124331, 17.447241, 16.592881, 15.715141, 14.873751", \
+					  "16.025343, 15.548623, 15.512953, 15.307243, 14.509093, 12.088333");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.692479, 0.686576, 0.681931, 0.679541, 0.678067, 0.677060", \
+					  "0.051484, 0.032745, 0.024610, 0.020176, 0.017314, 0.015297", \
+					  "1.016719, 1.370378, 1.450206, 1.484640, 1.503822, 1.516045");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.976531, 19.560581, 18.764971, 17.968801, 17.112031, 16.360411", \
+					  "18.438761, 18.008901, 17.451751, 16.529601, 15.723301, 14.990201", \
+					  "15.819443, 15.379813, 14.683973, 13.938533, 12.809943, 12.043933");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.689967, 0.685979, 0.682849, 0.680986, 0.679787, 0.678959", \
+					  "0.038199, 0.040815, 0.033083, 0.027777, 0.024326, 0.021934", \
+					  "0.982829, 1.365277, 1.467660, 1.512536, 1.537644, 1.553667");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.323091, 29.140491, 28.762331, 28.267261, 27.763681, 26.960691", \
+					  "27.707151, 27.587531, 27.194111, 26.760191, 25.839651, 25.580391", \
+					  "25.114783, 24.973943, 24.586493, 24.142293, 23.195713, 23.130323");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.778292, 0.689850, 0.670788, 0.662141, 0.656932, 0.646271", \
+					  "1.097652, 1.099326, 1.085233, 1.085240, 1.084626, 1.083919", \
+					  "4.760072, 5.538714, 5.812399, 5.982032, 6.074068, 6.135334");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.464841, 28.442131, 28.163021, 27.807701, 27.260721, 26.648041", \
+					  "25.898271, 26.929851, 26.602651, 26.179931, 25.711981, 25.002751", \
+					  "23.288073, 24.268203, 23.996973, 23.634133, 23.079253, 22.410343");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.744368, 0.636340, 0.607285, 0.597595, 0.592632, 0.589091", \
+					  "1.081968, 1.078255, 1.054736, 1.050349, 1.045003, 1.043109", \
+					  "4.744382, 5.538573, 5.800921, 5.930893, 6.009301, 6.063481");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.226191, 24.870541, 24.478381, 23.779611, 22.947791, 22.251221", \
+					  "23.614561, 23.277171, 22.853771, 22.289481, 21.537721, 20.327101", \
+					  "20.959403, 20.633573, 20.189663, 19.640233, 18.871953, 17.771763");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.114098, 1.105546, 1.101485, 1.099155, 1.097663, 1.096628", \
+					  "1.221786, 1.213639, 1.208164, 1.204617, 1.202239, 1.200545", \
+					  "3.494053, 4.240359, 4.451743, 4.550577, 4.607270, 4.644008");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.329441, 24.577091, 24.098881, 23.399691, 22.803861, 22.161381", \
+					  "22.724321, 22.982401, 22.478531, 21.911931, 21.248181, 20.475921", \
+					  "20.127043, 20.325883, 19.879943, 19.271963, 18.778973, 17.586923");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.101184, 1.092639, 1.089746, 1.087734, 1.086301, 1.085275", \
+					  "1.212441, 1.196759, 1.194744, 1.192124, 1.189995, 1.188395", \
+					  "3.495204, 4.212822, 4.437184, 4.543974, 4.605443, 4.645226");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("34.171831, 34.155201, 33.817161, 33.439931, 33.155071, 32.573661", \
+					  "32.572971, 32.558581, 32.235751, 31.824751, 31.355711, 30.672241", \
+					  "29.899373, 29.940733, 29.577113, 29.171973, 28.639263, 28.128663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.183254, 1.086703, 1.065377, 1.057872, 1.053856, 1.051253", \
+					  "2.267922, 2.270254, 2.266920, 2.266311, 2.265034, 2.263677", \
+					  "7.093126, 8.191247, 8.582987, 8.807757, 8.948557, 9.044387");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.151101, 33.040291, 33.033661, 32.825631, 32.192801, 31.751521", \
+					  "29.531101, 31.539241, 31.459321, 31.053701, 30.702681, 30.155211", \
+					  "26.921833, 29.043693, 28.866713, 28.435913, 27.949003, 27.424123");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.156898, 1.033397, 1.002673, 0.991445, 0.984941, 0.980541", \
+					  "2.278809, 2.217486, 2.208467, 2.207033, 2.204857, 2.202849", \
+					  "7.192551, 8.216757, 8.539967, 8.739737, 8.870697, 8.961337");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.382921, 20.571291, 20.653081, 20.272131, 20.980971, 19.835351", \
+					  "18.906881, 18.981961, 18.903941, 19.316911, 19.564391, 19.861861", \
+					  "16.353263, 16.438893, 16.377383, 16.768333, 15.951023, 17.296923");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.387155, -52.536056, -105.460036, -158.384736, -211.309736, -264.234836", \
+					  "-0.001684, -53.008221, -105.953931, -158.889231, -211.820531, -264.749831", \
+					  "0.025610, -53.017213, -105.982703, -158.927003, -211.863503, -264.795903");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.999551, 19.791521, 20.295371, 20.166841, 19.965311, 20.883901", \
+					  "17.438091, 18.332691, 18.646411, 18.536021, 18.928021, 18.482371", \
+					  "14.885803, 15.780743, 16.089673, 16.312313, 16.369293, 15.882453");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.375037, -52.545996, -105.470836, -158.396036, -211.321436, -264.246736", \
+					  "-0.035948, -53.014221, -105.993931, -158.929031, -211.859931, -264.788931", \
+					  "0.080320, -52.999963, -105.968503, -158.914003, -211.850903, -264.783903");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.522171, 28.302471, 28.443091, 28.488721, 28.509401, 28.515421", \
+					  "26.032641, 26.805771, 26.934001, 26.981091, 26.997651, 27.043751", \
+					  "23.481753, 24.246713, 24.383223, 24.426723, 24.449753, 24.480393");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.772264, -52.161006, -105.085236, -158.009936, -210.934836, -263.859936", \
+					  "1.849226, -51.125151, -104.069831, -157.005231, -209.936731, -262.866231", \
+					  "4.428235, -48.765233, -101.790213, -154.767403, -207.724303, -260.671303");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.289061, 23.981041, 24.934391, 25.689521, 26.343411, 26.637781", \
+					  "21.723281, 22.406531, 23.404011, 24.172371, 24.816221, 25.328651", \
+					  "19.078803, 19.796753, 20.822903, 21.606083, 22.261783, 22.766663");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.726172, -52.199756, -105.126036, -158.059336, -210.984736, -263.910036", \
+					  "1.769114, -51.219481, -104.154231, -157.088831, -210.020131, -262.949631", \
+					  "4.413692, -48.736223, -101.763853, -154.740903, -207.698103, -260.644303");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.342591, 24.659661, 24.723191, 24.790641, 24.727371, 24.810061", \
+					  "22.842701, 23.150771, 23.173551, 23.357531, 23.093031, 23.368011", \
+					  "20.293073, 20.602523, 20.625623, 20.792173, 20.565233, 20.818863");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.814959, -52.111696, -105.037136, -157.962536, -210.882336, -263.807536", \
+					  "1.654916, -51.358741, -104.312731, -157.251931, -210.185531, -263.116331", \
+					  "2.352986, -50.855203, -103.864603, -156.830103, -209.778903, -262.645903");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.258461, 22.155161, 23.048861, 23.564701, 23.839621, 23.946831", \
+					  "19.706041, 20.674031, 21.537001, 22.024071, 22.534231, 22.366681", \
+					  "17.095783, 18.087173, 18.974153, 19.501593, 19.799263, 19.956133");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.800172, -52.125176, -105.050436, -157.975636, -210.900836, -263.826136", \
+					  "1.561979, -51.370701, -104.328731, -157.269131, -210.202731, -263.133631", \
+					  "2.446557, -50.751253, -103.751803, -156.714303, -209.661703, -262.601703");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.818391, 32.127001, 32.427371, 32.528001, 32.589171, 32.560381", \
+					  "29.290941, 30.642621, 30.925411, 31.019201, 31.056631, 31.083831", \
+					  "26.730523, 28.097463, 28.379003, 28.464553, 28.500373, 28.542613");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.203850, -51.731776, -104.653736, -157.582436, -210.508736, -263.434636", \
+					  "3.490958, -49.495211, -102.450331, -155.357231, -208.287131, -261.216731", \
+					  "6.663014, -46.556193, -99.603213, -152.617703, -205.583703, -258.535503");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.823491, 26.264631, 27.118751, 28.109541, 28.883081, 29.466701", \
+					  "24.194031, 24.673251, 25.548231, 26.549741, 27.346291, 27.976901", \
+					  "21.519843, 22.034533, 22.936033, 23.956783, 24.771353, 25.424543");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.152538, -51.779506, -104.701436, -157.625136, -210.551936, -263.477336", \
+					  "3.393035, -49.614311, -102.570331, -155.511131, -208.452531, -261.386331", \
+					  "6.716984, -46.485363, -99.552313, -152.506903, -205.465703, -258.415503");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("57.125703, 58.309842, 57.920625, 57.020815, 55.704543, 54.355737", \
+					  "57.258673, 58.455781, 58.229005, 56.008686, 56.550528, 54.144521", \
+					  "57.093546, 58.301463, 58.191479, 56.160316, 56.251501, 54.398574");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("57.365678, 59.658963, 60.916943, 61.779308, 62.327610, 62.705545", \
+					  "57.242679, 59.580516, 60.815381, 61.722256, 61.992943, 62.804093", \
+					  "57.164901, 59.519200, 60.731620, 61.794860, 62.367775, 62.701228");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.251056, -25.458869, -78.761800, -132.356767, -185.871713, -239.469264", \
+					  "26.595198, -26.149754, -79.513865, -133.019910, -186.616360, -240.266260", \
+					  "25.660336, -27.256523, -80.622923, -134.059505, -187.724204, -241.563703");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.987147, 25.608726, 26.068280, 26.308321, 26.583565, 26.682688", \
+					  "23.673109, 24.352113, 24.768564, 25.126321, 25.421233, 25.566473", \
+					  "24.027223, 25.469865, 26.141383, 26.672587, 26.853109, 27.216509");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("6.073316, 8.133410, 10.178370, 12.215260, 14.270340, 16.301750", \
+					  "6.174959, 8.235321, 10.280780, 12.322430, 14.366190, 16.399240", \
+					  "6.265708, 8.325286, 10.368990, 12.414840, 14.458760, 16.495310");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.504819, 6.600185, 10.726390, 14.848900, 19.041220, 23.199900", \
+					  "2.504479, 6.580010, 10.729390, 14.885920, 19.040220, 23.195320", \
+					  "2.505009, 6.577164, 10.723850, 14.885140, 19.038350, 23.204620");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("6.248779, 7.799246, 9.274063, 10.741800, 12.211100, 13.679630", \
+					  "6.373660, 7.924602, 9.399377, 10.866410, 12.334900, 13.802620", \
+					  "6.554594, 8.105912, 9.579591, 11.047240, 12.515870, 13.981740");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.011743, 4.660329, 7.419862, 10.205470, 12.996120, 15.828690", \
+					  "2.015717, 4.661853, 7.409757, 10.209320, 13.020410, 15.843630", \
+					  "2.015329, 4.661891, 7.406989, 10.189250, 13.009890, 15.835940");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("7.336643, 9.876450, 11.973390, 14.023190, 16.068250, 18.110620", \
+					  "7.438173, 9.978087, 12.073970, 14.125440, 16.167300, 18.205610", \
+					  "7.529391, 10.068490, 12.165580, 14.214560, 16.259350, 18.301430");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.172125, 7.737226, 11.497340, 15.406590, 19.444810, 23.498620", \
+					  "4.169284, 7.738282, 11.474500, 15.412110, 19.420060, 23.505150", \
+					  "4.172013, 7.738794, 11.470020, 15.414570, 19.423550, 23.441950");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("6.529691, 9.440257, 11.840840, 13.851790, 15.646250, 17.313520", \
+					  "6.653868, 9.564511, 11.967710, 13.978310, 15.770190, 17.442280", \
+					  "6.834260, 9.747132, 12.148620, 14.161330, 15.957290, 17.621370");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.263551, 7.612478, 10.781950, 13.603710, 16.279520, 18.903510", \
+					  "3.267386, 7.611233, 10.781570, 13.612940, 16.285060, 18.904270", \
+					  "3.267165, 7.613597, 10.805230, 13.604090, 16.275070, 18.902840");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.253310, 6.003014, 6.693034, 7.378541, 8.059996, 8.741400", \
+					  "5.355114, 6.104734, 6.796436, 7.479725, 8.162671, 8.841836", \
+					  "5.445968, 6.195530, 6.885690, 7.571997, 8.254212, 8.936318");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.147189, 2.382773, 3.713738, 5.067056, 6.461653, 7.827310", \
+					  "1.147160, 2.382265, 3.711885, 5.068762, 6.443900, 7.820372", \
+					  "1.146492, 2.379084, 3.710803, 5.071706, 6.438309, 7.811211");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.514516, 6.242454, 6.797208, 7.311457, 7.812490, 8.307158", \
+					  "5.639535, 6.367106, 6.921472, 7.436286, 7.937011, 8.431316", \
+					  "5.820760, 6.548915, 7.103441, 7.618017, 8.118705, 8.613259");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.094041, 1.983371, 2.830791, 3.690045, 4.588864, 5.493082", \
+					  "1.093987, 1.982449, 2.827663, 3.693609, 4.592904, 5.500988", \
+					  "1.093983, 1.982271, 2.830068, 3.695557, 4.591489, 5.501680");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.498120, 7.192889, 8.211811, 9.035443, 9.780537, 10.496740", \
+					  "5.600880, 7.294045, 8.314096, 9.135824, 9.883552, 10.599020", \
+					  "5.690600, 7.385252, 8.404173, 9.227568, 9.975084, 10.689820");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.301069, 4.047725, 5.275700, 6.434699, 7.620780, 8.832388", \
+					  "2.299583, 4.049416, 5.277666, 6.435291, 7.616443, 8.827724", \
+					  "2.301050, 4.047455, 5.275581, 6.435048, 7.617320, 8.827609");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.422459, 6.462372, 7.469267, 8.440822, 9.354202, 10.201070", \
+					  "5.547287, 6.586830, 7.594598, 8.565548, 9.476223, 10.326560", \
+					  "5.728813, 6.767733, 7.776451, 8.746619, 9.657176, 10.507350");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.195352, 3.064910, 4.742417, 6.166581, 7.407555, 8.555275", \
+					  "1.196793, 3.069793, 4.747016, 6.169444, 7.416174, 8.539882", \
+					  "1.196750, 3.071010, 4.745854, 6.167407, 7.422983, 8.545507");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.483810, 6.543069, 7.569024, 8.590905, 9.612052, 10.637390", \
+					  "5.585584, 6.645866, 7.670627, 8.696334, 9.716531, 10.740240", \
+					  "5.676132, 6.735028, 7.764321, 8.784120, 9.809150, 10.828450");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.453038, 3.406536, 5.452804, 7.515724, 9.575405, 11.646670", \
+					  "1.451001, 3.407188, 5.449234, 7.503857, 9.582177, 11.642650", \
+					  "1.450850, 3.404407, 5.444070, 7.512730, 9.585763, 11.660350");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.730232, 6.638212, 7.408826, 8.151622, 8.890122, 9.624895", \
+					  "5.856032, 6.763635, 7.533907, 8.278814, 9.015776, 9.749722", \
+					  "6.036793, 6.944446, 7.714940, 8.459150, 9.196418, 9.930727");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.337285, 2.615093, 3.927863, 5.272425, 6.650137, 8.060445", \
+					  "1.336960, 2.614230, 3.925630, 5.275622, 6.654430, 8.020073", \
+					  "1.337537, 2.613119, 3.924795, 5.281675, 6.658442, 8.041871");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("6.103194, 8.022194, 9.259850, 10.352110, 11.395070, 12.426210", \
+					  "6.204578, 8.127514, 9.362773, 10.455290, 11.499110, 12.527390", \
+					  "6.296331, 8.218257, 9.453819, 10.546360, 11.589350, 12.618860");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.915462, 5.006080, 6.741954, 8.578810, 10.439930, 12.340200", \
+					  "2.916934, 5.011036, 6.758016, 8.552109, 10.425750, 12.356380", \
+					  "2.913374, 5.011981, 6.753561, 8.556507, 10.431610, 12.344660");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.686528, 7.216643, 8.685786, 10.017110, 11.212020, 12.297710", \
+					  "5.811908, 7.341707, 8.810495, 10.142790, 11.337070, 12.425430", \
+					  "5.993579, 7.525625, 8.991595, 10.321770, 11.519240, 12.601220");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.706569, 4.425939, 6.560382, 8.328779, 9.892931, 11.369460", \
+					  "1.705342, 4.422904, 6.558516, 8.342472, 9.929455, 11.382450", \
+					  "1.704775, 4.423978, 6.569045, 8.345553, 9.919544, 11.380440");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.133716, 5.740403, 6.268232, 6.785466, 7.298550, 7.811269", \
+					  "5.234026, 5.841786, 6.368441, 6.885607, 7.398167, 7.910839", \
+					  "5.325886, 5.932521, 6.460363, 6.977605, 7.490755, 8.003457");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.031135, 1.894761, 2.868325, 3.868549, 4.885212, 5.911089", \
+					  "1.030259, 1.897188, 2.865860, 3.865701, 4.889360, 5.909954", \
+					  "1.030550, 1.894700, 2.868218, 3.868322, 4.885628, 5.911007");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.356930, 6.009104, 6.461769, 6.867478, 7.253615, 7.631646", \
+					  "5.480339, 6.133366, 6.586202, 6.991501, 7.378458, 7.756186", \
+					  "5.662665, 6.315186, 6.768020, 7.173473, 7.560282, 7.938130");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("0.945919, 1.671831, 2.305036, 2.939317, 3.584632, 4.251396", \
+					  "0.947183, 1.671780, 2.302695, 2.937648, 3.590900, 4.251123", \
+					  "0.945865, 1.670676, 2.304380, 2.942304, 3.586538, 4.254419");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.184187, 6.666001, 7.600137, 8.313557, 8.928356, 9.497422", \
+					  "5.286529, 6.767693, 7.701980, 8.411523, 9.028288, 9.599077", \
+					  "5.376531, 6.858386, 7.792362, 8.502925, 9.119003, 9.689954");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.875761, 3.497299, 4.511132, 5.408864, 6.279037, 7.156497", \
+					  "1.876493, 3.497798, 4.512084, 5.404252, 6.263060, 7.147371", \
+					  "1.875928, 3.495837, 4.511110, 5.407094, 6.275009, 7.156582");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.272263, 6.070247, 6.835025, 7.582440, 8.307862, 8.998346", \
+					  "5.396761, 6.196000, 6.958321, 7.708077, 8.432832, 9.123557", \
+					  "5.578361, 6.376928, 7.140948, 7.888608, 8.614275, 9.304688");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("0.941776, 2.346074, 3.696446, 4.893919, 5.940432, 6.898520", \
+					  "0.942392, 2.347631, 3.700883, 4.893115, 5.950730, 6.894484", \
+					  "0.942528, 2.347231, 3.698526, 4.895928, 5.947526, 6.898819");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.258237, 7.310463, 9.351262, 11.398090, 13.441460, 15.478280", \
+					  "5.328779, 7.379092, 9.419316, 11.462880, 13.504590, 15.553690", \
+					  "5.386725, 7.438368, 9.478656, 11.519480, 13.571270, 15.607780");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.529726, 6.586927, 10.731180, 14.883180, 19.036930, 23.202390", \
+					  "2.524523, 6.585216, 10.738000, 14.881610, 19.038510, 23.194940", \
+					  "2.528749, 6.589815, 10.734910, 14.887410, 19.038480, 23.200250");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("6.495548, 9.059168, 11.156650, 13.204870, 15.250390, 17.292470", \
+					  "6.567691, 9.130901, 11.227630, 13.278420, 15.320260, 17.358290", \
+					  "6.624512, 9.188138, 11.285460, 13.333570, 15.379330, 17.421480");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.261921, 7.818796, 11.530600, 15.449920, 19.409010, 23.492180", \
+					  "4.266984, 7.820560, 11.522780, 15.441180, 19.432540, 23.449830", \
+					  "4.262011, 7.818668, 11.531820, 15.450730, 19.417580, 23.497350");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.550730, 5.298202, 5.984818, 6.667739, 7.347052, 8.026969", \
+					  "4.633200, 5.382488, 6.069987, 6.750683, 7.432790, 8.114171", \
+					  "4.707434, 5.456013, 6.143881, 6.824407, 7.507399, 8.188986");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.253861, 2.418657, 3.733623, 5.079307, 6.452967, 7.826809", \
+					  "1.252599, 2.423729, 3.730510, 5.085997, 6.448600, 7.826339", \
+					  "1.254953, 2.426490, 3.725330, 5.084608, 6.443677, 7.818973");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.749587, 6.463200, 7.500299, 8.328769, 9.079288, 9.795193", \
+					  "4.833681, 6.550093, 7.583613, 8.413705, 9.163875, 9.878484", \
+					  "4.907571, 6.622319, 7.657326, 8.487274, 9.237715, 9.952116");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.334194, 4.138164, 5.382644, 6.535713, 7.703404, 8.895343", \
+					  "2.335834, 4.141382, 5.380150, 6.539001, 7.704640, 8.910355", \
+					  "2.335676, 4.138529, 5.381078, 6.533088, 7.704934, 8.911485");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.648574, 5.701613, 6.723609, 7.744144, 8.766512, 9.786218", \
+					  "4.718757, 5.771857, 6.794487, 7.813346, 8.835434, 9.855163", \
+					  "4.776618, 5.829700, 6.852057, 7.870941, 8.893759, 9.913387");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.516230, 3.422290, 5.454437, 7.512982, 9.578606, 11.654390", \
+					  "1.513387, 3.416616, 5.451422, 7.515427, 9.581911, 11.657870", \
+					  "1.509830, 3.418340, 5.453232, 7.515081, 9.580686, 11.656870");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("5.208927, 7.171961, 8.414459, 9.507079, 10.555120, 11.584790", \
+					  "5.279920, 7.242015, 8.486057, 9.578960, 10.625650, 11.654240", \
+					  "5.338431, 7.300975, 8.544166, 9.636897, 10.684310, 11.713540");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.977232, 5.111390, 6.839296, 8.623990, 10.475900, 12.389150", \
+					  "2.973532, 5.111881, 6.852797, 8.600418, 10.464610, 12.395280", \
+					  "2.976738, 5.111487, 6.846883, 8.618326, 10.469660, 12.380120");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.372232, 4.988960, 5.512921, 6.026580, 6.540331, 7.050886", \
+					  "4.452494, 5.070695, 5.595472, 6.110049, 6.620706, 7.131365", \
+					  "4.520298, 5.140250, 5.665226, 6.179819, 6.690624, 7.201316");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.150312, 1.963482, 2.899331, 3.892298, 4.896451, 5.929004", \
+					  "1.149921, 1.964329, 2.906398, 3.891766, 4.902131, 5.922882", \
+					  "1.150334, 1.966683, 2.907787, 3.893418, 4.902544, 5.922747");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.393652, 5.872674, 6.829328, 7.547919, 8.168571, 8.740101", \
+					  "4.475346, 5.950969, 6.906651, 7.627232, 8.248583, 8.822247", \
+					  "4.545786, 6.020801, 6.976500, 7.697498, 8.318847, 8.892528");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.902569, 3.572786, 4.611072, 5.514686, 6.381832, 7.250952", \
+					  "1.904032, 3.574333, 4.608455, 5.506172, 6.377035, 7.248725", \
+					  "1.905834, 3.574047, 4.607907, 5.505065, 6.377408, 7.249109");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.037465, 5.588679, 7.061844, 8.529117, 9.996077, 11.462650", \
+					  "4.136475, 5.687696, 7.160698, 8.627952, 10.095340, 11.561540", \
+					  "4.228065, 5.779248, 7.252470, 8.719748, 10.186500, 11.653260");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.063963, 4.679715, 7.406916, 10.214710, 13.004840, 15.837060", \
+					  "2.063398, 4.678933, 7.410691, 10.211380, 13.005040, 15.837560", \
+					  "2.063665, 4.679736, 7.405045, 10.214790, 13.003610, 15.836580");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("4.285643, 7.196411, 9.611143, 11.632970, 13.433430, 15.100770", \
+					  "4.382783, 7.296032, 9.708924, 11.728650, 13.527520, 15.198940", \
+					  "4.474829, 7.387219, 9.800463, 11.820330, 13.618870, 15.290730");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.265575, 7.649918, 10.823140, 13.654320, 16.328790, 18.945450", \
+					  "3.275110, 7.643775, 10.833540, 13.666140, 16.324310, 18.949460", \
+					  "3.273521, 7.639671, 10.834660, 13.646260, 16.327940, 18.919950");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.462769, 4.220496, 4.781265, 5.297620, 5.797955, 6.291864", \
+					  "3.561974, 4.319771, 4.880573, 5.396730, 5.897379, 6.391441", \
+					  "3.653332, 4.411137, 4.971935, 5.488105, 5.988732, 6.482785");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.156857, 2.055231, 2.893757, 3.749085, 4.628439, 5.509835", \
+					  "1.157401, 2.057096, 2.889561, 3.751942, 4.632275, 5.519811", \
+					  "1.157382, 2.057097, 2.889826, 3.751870, 4.632139, 5.519258");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.392741, 4.418190, 5.418436, 6.387713, 7.304362, 8.155493", \
+					  "3.491765, 4.517191, 5.518072, 6.486640, 7.402250, 8.254883", \
+					  "3.583130, 4.608573, 5.609420, 6.578017, 7.493653, 8.346178");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.253013, 3.077881, 4.766147, 6.196102, 7.451339, 8.590201", \
+					  "1.253954, 3.085517, 4.768529, 6.191354, 7.441292, 8.589927", \
+					  "1.253384, 3.085097, 4.768632, 6.192365, 7.442014, 8.591102");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.476953, 4.393003, 5.163215, 5.907566, 6.643879, 7.377632", \
+					  "3.577095, 4.492867, 5.262802, 6.007186, 6.743255, 7.477756", \
+					  "3.668485, 4.584225, 5.354174, 6.098558, 6.834630, 7.569116");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.402933, 2.652501, 3.954038, 5.287912, 6.660017, 8.025572", \
+					  "1.401510, 2.651552, 3.954262, 5.295188, 6.651595, 8.025667", \
+					  "1.401358, 2.651094, 3.954272, 5.295114, 6.651725, 8.025536");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.418453, 4.935998, 6.401990, 7.738780, 8.941839, 10.032150", \
+					  "3.517933, 5.032673, 6.501348, 7.841063, 9.042020, 10.136720", \
+					  "3.609335, 5.123508, 6.593043, 7.933378, 9.134134, 10.224350");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.726615, 4.437439, 6.596281, 8.380196, 9.953697, 11.423730", \
+					  "1.722668, 4.438682, 6.584733, 8.378589, 9.970496, 11.422660", \
+					  "1.722098, 4.440665, 6.590902, 8.379100, 9.965500, 11.420900");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.232359, 3.901572, 4.365655, 4.774987, 5.162617, 5.540898", \
+					  "3.331453, 4.000671, 4.464753, 4.874084, 5.261716, 5.639996", \
+					  "3.422653, 4.091879, 4.555954, 4.965282, 5.352915, 5.731204");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.018932, 1.737546, 2.369271, 3.001427, 3.641837, 4.298304", \
+					  "1.018830, 1.737566, 2.369217, 3.001413, 3.641918, 4.298311", \
+					  "1.018809, 1.737579, 2.369162, 3.001390, 3.641963, 4.298252");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.164936, 3.954074, 4.708371, 5.457085, 6.182681, 6.877118", \
+					  "3.264006, 4.053163, 4.807566, 5.556150, 6.281764, 6.976196", \
+					  "3.355182, 4.144342, 4.898880, 5.647309, 6.372944, 7.067372");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("1.030285, 2.379292, 3.729585, 4.925961, 5.988426, 6.950663", \
+					  "1.030140, 2.379195, 3.729431, 4.925842, 5.988307, 6.950724", \
+					  "1.029981, 2.378980, 3.729178, 4.925685, 5.988204, 6.950753");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.292941, 2.292942, 2.292943, 2.292944, 2.292945, 2.292946", \
+					  "2.407683, 2.407684, 2.407685, 2.407686, 2.407687, 2.407688", \
+					  "2.585563, 2.593482, 2.593483, 2.593484, 2.593485, 2.593486");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.273217, 2.273218, 2.273219, 2.273220, 2.273221, 2.273222", \
+					  "2.388128, 2.393950, 2.393951, 2.393952, 2.393953, 2.393954", \
+					  "2.573533, 2.573534, 2.573535, 2.573536, 2.573537, 2.573538");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.503705, 2.503706, 2.503707, 2.503708, 2.503709, 2.503710", \
+					  "2.627335, 2.627336, 2.627337, 2.627338, 2.627339, 2.627340", \
+					  "2.806057, 2.806058, 2.806059, 2.806060, 2.806061, 2.806062");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.493146, 2.493147, 2.493148, 2.493149, 2.493150, 2.493151", \
+					  "2.616203, 2.616204, 2.616205, 2.616206, 2.616207, 2.616208", \
+					  "2.793525, 2.793526, 2.793527, 2.793528, 2.793529, 2.793530");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.282467, 2.282468, 2.282469, 2.282470, 2.282471, 2.282472", \
+					  "2.404759, 2.404760, 2.404761, 2.404762, 2.404763, 2.404764", \
+					  "2.583088, 2.583089, 2.583090, 2.583091, 2.583092, 2.583093");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.258032, 2.258033, 2.258034, 2.258035, 2.258036, 2.258037", \
+					  "2.381182, 2.381183, 2.381184, 2.381185, 2.381186, 2.381187", \
+					  "2.557897, 2.557898, 2.557899, 2.557900, 2.557901, 2.557902");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.466491, 2.466492, 2.466493, 2.466494, 2.466495, 2.466496", \
+					  "2.589489, 2.589490, 2.589491, 2.589492, 2.589493, 2.589494", \
+					  "2.767270, 2.767271, 2.767272, 2.767273, 2.767274, 2.767275");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.457851, 2.457852, 2.457853, 2.457854, 2.457855, 2.457856", \
+					  "2.580364, 2.580365, 2.580366, 2.580367, 2.580368, 2.580369", \
+					  "2.756959, 2.756960, 2.756961, 2.756962, 2.756963, 2.756964");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.732840, 2.732841, 2.732842, 2.732843, 2.732844, 2.732845", \
+					  "2.859358, 2.859359, 2.859360, 2.859361, 2.859362, 2.859363", \
+					  "3.039945, 3.039946, 3.039947, 3.039948, 3.039949, 3.039950");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.732088, 2.732089, 2.732090, 2.732091, 2.732092, 2.732093", \
+					  "2.859464, 2.859465, 2.859466, 2.859467, 2.859468, 2.859469", \
+					  "3.035526, 3.035527, 3.035528, 3.035529, 3.035530, 3.035531");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.150222, 3.150223, 3.150224, 3.150225, 3.150226, 3.150227", \
+					  "3.278979, 3.278980, 3.278981, 3.278982, 3.278983, 3.278984", \
+					  "3.459443, 3.459444, 3.459445, 3.459446, 3.459447, 3.459448");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.152080, 3.152081, 3.152082, 3.152083, 3.152084, 3.152085", \
+					  "3.280589, 3.280590, 3.280591, 3.280592, 3.280593, 3.280594", \
+					  "3.458999, 3.459000, 3.459001, 3.459002, 3.459003, 3.459004");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.715066, 2.715067, 2.715068, 2.715069, 2.715070, 2.715071", \
+					  "2.840878, 2.840879, 2.840880, 2.840881, 2.840882, 2.840883", \
+					  "3.021939, 3.021940, 3.021941, 3.021942, 3.021943, 3.021944");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("2.718937, 2.718938, 2.718939, 2.718940, 2.718941, 2.718942", \
+					  "2.842963, 2.843812, 2.843813, 2.843814, 2.843815, 2.843816", \
+					  "3.021451, 3.021452, 3.021453, 3.021454, 3.021455, 3.021456");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.125538, 3.125539, 3.125540, 3.125541, 3.125542, 3.125543", \
+					  "3.252736, 3.252737, 3.252738, 3.252739, 3.252740, 3.252741", \
+					  "3.434933, 3.434934, 3.434935, 3.434936, 3.434937, 3.434938");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.096000, 9.096000, 15.096000, 21.096000, 27.096000, 33.096000");
+					values("3.125773, 3.125774, 3.125775, 3.125776, 3.125777, 3.125778", \
+					  "3.252278, 3.252279, 3.252280, 3.252281, 3.252282, 3.252283", \
+					  "3.432930, 3.433172, 3.433173, 3.433174, 3.433175, 3.433176");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-15.668990, -15.738800, -15.769070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("15.849820, 15.847340, 15.854350");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.662075, 1.652618, 1.642995, 1.730616, 1.693885, 1.639815", \
+					  "1.157086, 1.136998, 1.130283, 1.056992, 1.148279, 1.086983", \
+					  "1.133560, 1.130804, 1.108665, 0.993254, 1.139728, 1.191344");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.954382, 1.974500, 2.115823, 2.013906, 2.049686, 2.056416", \
+					  "1.623999, 1.615424, 1.759543, 1.617829, 1.703154, 1.681451", \
+					  "1.560150, 1.632126, 1.721735, 1.829072, 1.831721, 1.658594");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.862415, 1.851539, 1.849991, 1.844339, 1.844214, 1.847296", \
+					  "1.349104, 1.358981, 1.334756, 1.427251, 1.356174, 1.280461", \
+					  "1.332706, 1.326234, 1.322478, 1.283572, 1.314213, 1.335918");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.068150, 2.101621, 2.146254, 2.150728, 2.087763, 2.032740", \
+					  "1.717833, 1.676634, 1.842868, 1.840518, 1.874239, 1.842907", \
+					  "1.712912, 1.723127, 1.739312, 1.817560, 1.836654, 1.749593");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.840460, 0.826950, 0.815760, 0.781340, 0.768720, 0.753820", \
+					  "1.079350, 1.066810, 1.054480, 1.024080, 1.003150, 0.992200", \
+					  "1.041980, 1.030550, 1.015520, 0.989300, 0.976170, 0.965550");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.282100, 1.312380, 1.359630, 1.439920, 1.462460, 1.476590", \
+					  "0.969030, 0.999390, 1.046480, 1.128980, 1.150480, 1.164960", \
+					  "0.965850, 0.995750, 1.042650, 1.124720, 1.147140, 1.161310");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.184650, 1.172780, 1.160420, 1.127620, 1.118440, 1.108130", \
+					  "1.261400, 1.249520, 1.241620, 1.213210, 1.198260, 1.178730", \
+					  "1.446510, 1.436500, 1.427900, 1.391610, 1.379130, 1.363060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.538850, 1.578830, 1.650440, 1.808550, 1.856920, 1.897830", \
+					  "1.379440, 1.418600, 1.490090, 1.650700, 1.702330, 1.740280", \
+					  "1.288420, 1.333630, 1.399860, 1.573270, 1.621920, 1.662080");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.762245, 1.752079, 1.746493, 1.787478, 1.769049, 1.743556", \
+					  "1.253095, 1.247990, 1.232519, 1.242122, 1.252226, 1.183722", \
+					  "1.233133, 1.228519, 1.215572, 1.138413, 1.226971, 1.263631");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.011266, 2.038061, 2.131038, 2.082317, 2.068725, 2.044578", \
+					  "1.670916, 1.646029, 1.801206, 1.729173, 1.788696, 1.762179", \
+					  "1.636531, 1.677627, 1.730523, 1.823316, 1.834188, 1.704093");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.012555, 0.999865, 0.988090, 0.954480, 0.943580, 0.930975", \
+					  "1.170375, 1.158165, 1.148050, 1.118645, 1.100705, 1.085465", \
+					  "1.244245, 1.233525, 1.221710, 1.190455, 1.177650, 1.164305");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.410475, 1.445605, 1.505035, 1.624235, 1.659690, 1.687210", \
+					  "1.174235, 1.208995, 1.268285, 1.389840, 1.426405, 1.452620", \
+					  "1.127135, 1.164690, 1.221255, 1.348995, 1.384530, 1.411695");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.656663, 3.782963, 3.933481, 4.357072, 4.558938, 4.759621", \
+					  "3.775409, 3.901691, 4.052213, 4.475536, 4.677734, 4.878447", \
+					  "3.898796, 4.025165, 4.175372, 4.599725, 4.801004, 5.000745");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.378661, 0.545195, 0.765143, 1.506222, 1.894486, 2.278443", \
+					  "0.379214, 0.545653, 0.764962, 1.506241, 1.894480, 2.278210", \
+					  "0.378253, 0.546975, 0.762753, 1.507766, 1.893084, 2.278791");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.125338, 3.224632, 3.340757, 3.650295, 3.792534, 3.931919", \
+					  "3.266998, 3.366318, 3.482415, 3.791985, 3.934272, 4.073675", \
+					  "3.446344, 3.545789, 3.661049, 3.971237, 4.113499, 4.252983");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.204019, 0.323509, 0.480685, 0.970524, 1.217121, 1.469078", \
+					  "0.204433, 0.323479, 0.480641, 0.970535, 1.215460, 1.468399", \
+					  "0.204299, 0.324271, 0.479241, 0.970425, 1.216844, 1.468443");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.792021, 3.918364, 4.068927, 4.492463, 4.694305, 4.895019", \
+					  "3.910806, 4.037152, 4.187712, 4.611248, 4.813089, 5.013804", \
+					  "4.034804, 4.160857, 4.311447, 4.734786, 4.936548, 5.137112");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.378843, 0.545015, 0.765263, 1.506434, 1.894438, 2.278643", \
+					  "0.378798, 0.545037, 0.765266, 1.506432, 1.894438, 2.278645", \
+					  "0.378450, 0.539573, 0.765157, 1.507063, 1.890932, 2.277679");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.313773, 3.412703, 3.528060, 3.838295, 3.980612, 4.120038", \
+					  "3.454273, 3.553059, 3.669021, 3.979083, 4.121466, 4.260940", \
+					  "3.632639, 3.732045, 3.847095, 4.157617, 4.299763, 4.439051");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.203895, 0.327146, 0.481271, 0.968877, 1.218890, 1.468959", \
+					  "0.204571, 0.324905, 0.481249, 0.969890, 1.216605, 1.465621", \
+					  "0.204320, 0.324000, 0.481039, 0.969117, 1.218125, 1.467357");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.566822, 2.692669, 2.842882, 3.268087, 3.469535, 3.669575", \
+					  "2.686898, 2.812324, 2.963834, 3.387735, 3.589505, 3.790170", \
+					  "2.790723, 2.916450, 3.067819, 3.490505, 3.692249, 3.892941");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.375531, 0.537235, 0.759476, 1.504215, 1.887149, 2.279273", \
+					  "0.376755, 0.536973, 0.759105, 1.506327, 1.891779, 2.278178", \
+					  "0.375607, 0.537037, 0.759653, 1.503617, 1.889114, 2.276874");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.630766, 2.729486, 2.845113, 3.155626, 3.297698, 3.437393", \
+					  "2.598814, 2.697533, 2.813264, 3.123580, 3.265734, 3.405566", \
+					  "2.708487, 2.806130, 2.921417, 3.232284, 3.374504, 3.514210");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.204159, 0.323578, 0.478742, 0.971508, 1.218082, 1.468857", \
+					  "0.204079, 0.323924, 0.478808, 0.969637, 1.219369, 1.469720", \
+					  "0.204057, 0.324571, 0.478586, 0.971204, 1.216003, 1.469551");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.755074, 2.880337, 3.032189, 3.456750, 3.658448, 3.859200", \
+					  "2.887312, 3.012819, 3.164256, 3.587074, 3.788848, 3.989415", \
+					  "3.042914, 3.168957, 3.320366, 3.745539, 3.946730, 4.147516");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.376211, 0.538505, 0.758386, 1.504528, 1.890090, 2.277421", \
+					  "0.375181, 0.536419, 0.757933, 1.504080, 1.887673, 2.277641", \
+					  "0.375922, 0.536255, 0.761288, 1.504882, 1.892806, 2.280075");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("4.287553, 4.386222, 4.501420, 4.812299, 4.954770, 5.094029", \
+					  "4.003669, 4.102334, 4.217529, 4.528084, 4.670655, 4.810411", \
+					  "4.019235, 4.117995, 4.233107, 4.543256, 4.685687, 4.825084");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.204868, 0.325379, 0.480026, 0.971681, 1.217127, 1.464864", \
+					  "0.204989, 0.325368, 0.479713, 0.971483, 1.220100, 1.468923", \
+					  "0.205087, 0.325043, 0.479540, 0.972019, 1.218707, 1.466230");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_4v50.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_4v50.lib
new file mode 100644
index 0000000..80ff9ec
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__ss_125C_4v50.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 4.649860e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.004650";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023873;
+			rise_capacitance_range(0.023211,0.024883);
+			capacitance : 0.023751;
+			fall_capacitance : 0.023629;
+			fall_capacitance_range(0.023052,0.024275);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.279469, 2.275953, 2.352443");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.585117, 2.168187, 2.256801");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032392;
+			rise_capacitance_range(0.031913,0.033295);
+			capacitance : 0.032277;
+			fall_capacitance : 0.032162;
+			fall_capacitance_range(0.031905,0.032550);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.264871, 2.657728, 2.738992");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.683812, 2.857735, 2.969519");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.015181;
+			rise_capacitance_range(0.014412,0.016153);
+			capacitance : 0.014830;
+			fall_capacitance : 0.014479;
+			fall_capacitance_range(0.014098,0.014882);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.382021, 1.470896, 1.500474");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.529250, 1.354223, 1.401709");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.088992;
+			rise_capacitance_range(0.081570,0.100195);
+			capacitance : 0.088740;
+			fall_capacitance : 0.087478;
+			fall_capacitance_range(0.082565,0.093517);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.080154;
+			rise_capacitance_range(0.064295,0.092415);
+			capacitance : 0.079631;
+			fall_capacitance : 0.079108;
+			fall_capacitance_range(0.060051,0.095688);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.511063, 0.478333, 0.509369");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.460341, 2.736764, 2.983732");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049111;
+			rise_capacitance_range(0.048162,0.049865);
+			capacitance : 0.050462;
+			fall_capacitance : 0.051812;
+			fall_capacitance_range(0.051005,0.052283);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.060912, 1.593377, 1.403057");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.292314, 2.458590, 2.520151");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.037909;
+			rise_capacitance_range(0.036322,0.039273);
+			capacitance : 0.037757;
+			fall_capacitance : 0.037605;
+			fall_capacitance_range(0.037484,0.037746);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.250892, -0.242351, -0.244740");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.289987, 0.284793, 0.290783");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031449;
+			rise_capacitance_range(0.031102,0.031932);
+			capacitance : 0.031258;
+			fall_capacitance : 0.031068;
+			fall_capacitance_range(0.030982,0.031201);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.206901, -0.207944, -0.203268");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.210035, 0.205176, 0.203621");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.033542;
+			rise_capacitance_range(0.033174,0.034056);
+			capacitance : 0.033340;
+			fall_capacitance : 0.033138;
+			fall_capacitance_range(0.033038,0.033272);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.241491, -0.242944, -0.237870");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.244692, 0.239430, 0.238217");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.900766;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("47.272552, 46.658502, 44.959572, 44.819952, 40.389282, 41.327542", \
+					  "47.289791, 46.711521, 45.202631, 44.671941, 40.137221, 39.930041", \
+					  "47.349650, 46.771620, 45.654810, 43.624400, 42.865680, 42.314660");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("51.605943, 52.145013, 52.335813, 52.432243, 52.466653, 52.273003", \
+					  "51.614677, 52.079657, 52.086207, 53.294967, 50.675377, 54.820047", \
+					  "51.647447, 52.170107, 52.413757, 52.421077, 52.507777, 52.419747");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.768642, 46.417722, 44.994082, 43.511222, 41.791472, 40.250632", \
+					  "46.784771, 46.422041, 44.957391, 43.341831, 42.642031, 40.193951", \
+					  "46.720730, 46.523700, 45.201020, 43.728990, 42.146240, 40.307450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("48.364373, 50.687263, 51.350013, 51.569713, 51.668793, 51.699953", \
+					  "48.263927, 50.776717, 51.340327, 51.440627, 51.687337, 51.633107", \
+					  "48.207557, 50.836927, 51.337467, 51.670037, 51.653407, 51.757267");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("76.438992, 77.605072, 77.414152, 76.885702, 76.112762, 75.025472", \
+					  "76.413181, 77.610391, 77.414801, 76.911001, 76.123231, 75.038051", \
+					  "76.745980, 77.569510, 77.376600, 76.707940, 75.850790, 74.721260");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("78.647393, 81.771583, 82.512543, 82.861093, 83.087443, 83.246633", \
+					  "78.576947, 81.732047, 82.284397, 82.970237, 83.021527, 83.347437", \
+					  "78.672627, 81.915067, 82.203147, 83.064307, 83.230407, 83.204877");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("71.503022, 76.233462, 76.413112, 75.738832, 74.996212, 73.655732", \
+					  "71.512961, 76.213321, 76.419941, 75.750731, 75.021211, 73.654531", \
+					  "71.579320, 76.570000, 76.330340, 75.984390, 74.788740, 72.793380");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("68.009483, 72.181473, 75.318583, 77.177863, 78.747113, 79.612463", \
+					  "67.788667, 71.574637, 75.054487, 77.238877, 79.214117, 79.365787", \
+					  "67.562387, 71.318947, 74.955877, 77.147727, 79.194897, 79.436917");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("61.853052, 62.175102, 61.410892, 61.775632, 57.137642, 58.284182", \
+					  "61.805001, 62.202161, 61.392201, 61.846301, 56.812621, 58.586171", \
+					  "62.166440, 62.101740, 61.664820, 60.611490, 58.911240, 57.840350");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("65.811183, 67.203513, 67.638003, 67.835833, 67.929113, 68.002293", \
+					  "65.759277, 67.168697, 67.598977, 67.758087, 68.021607, 67.967797", \
+					  "65.690817, 67.153317, 67.620457, 67.824477, 68.082677, 67.979907");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("59.636772, 61.396262, 60.921072, 59.341392, 59.432202, 56.431612", \
+					  "59.604721, 61.271071, 61.009011, 59.112551, 59.587141, 56.328531", \
+					  "59.692750, 61.205780, 61.055510, 59.207740, 59.654860, 56.274610");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("58.208633, 61.988123, 64.108273, 65.337513, 65.902503, 66.255043", \
+					  "58.153867, 61.972267, 64.187347, 65.268057, 65.964787, 66.281917", \
+					  "58.082267, 62.031957, 64.144087, 65.222157, 66.003867, 66.300357");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("91.025262, 92.647332, 92.566402, 92.262272, 91.389152, 90.466572", \
+					  "91.035741, 92.676491, 92.590481, 92.287831, 91.501181, 90.583351", \
+					  "90.717540, 92.654300, 92.634430, 92.397810, 91.668710, 90.787470");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("90.971953, 95.908643, 97.228783, 97.791053, 98.139553, 98.356063", \
+					  "90.825057, 96.008617, 97.116367, 97.990427, 98.122667, 98.319457", \
+					  "91.166077, 95.957287, 97.306937, 97.896747, 98.199347, 98.402177");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("82.661822, 89.265872, 90.852362, 91.406942, 90.459362, 89.333672", \
+					  "82.648861, 89.299971, 90.812891, 91.387221, 90.529221, 89.392071", \
+					  "82.668840, 89.066910, 90.536220, 91.101760, 90.498210, 89.375480");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("77.711363, 82.334903, 85.971813, 88.765723, 90.650113, 92.211173", \
+					  "77.335927, 82.603957, 85.971577, 88.536627, 90.521477, 92.471707", \
+					  "77.017677, 82.344687, 86.325997, 88.217967, 90.488137, 92.303327");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.201490, 45.329590, 44.165360, 42.188620, 40.840390, 38.585650", \
+					  "38.213652, 37.315492, 36.054002, 34.542242, 32.005122, 31.112522", \
+					  "35.932390, 35.049000, 34.467090, 31.687370, 31.687450, 27.646220");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.240735, 3.229295, 3.225212, 3.222328, 3.220154, 3.218528", \
+					  "2.543569, 3.480758, 3.727788, 3.838448, 3.901098, 3.941538", \
+					  "3.886110, 4.815677, 5.084947, 5.184157, 5.242617, 5.281107");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("45.667550, 45.151470, 44.082060, 42.789260, 39.536200, 38.523760", \
+					  "37.525132, 36.914892, 35.282782, 33.634322, 33.288342, 30.415222", \
+					  "35.338260, 34.720080, 33.441850, 31.913110, 29.791130, 28.665220");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.239195, 3.187330, 3.195385, 3.188728, 3.185645, 3.183883", \
+					  "2.494349, 3.601478, 3.802518, 3.895898, 3.950388, 3.986168", \
+					  "3.992930, 4.827407, 5.057937, 5.167867, 5.143807, 5.189797");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("70.144260, 70.094190, 69.261740, 68.460030, 67.207410, 65.778750", \
+					  "62.145952, 61.754222, 61.094422, 60.007542, 58.812432, 58.184972", \
+					  "59.680790, 59.549020, 58.745440, 57.950370, 56.646050, 55.354260");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.488552, 5.439499, 5.436187, 5.443984, 5.446481, 5.446782", \
+					  "12.951748, 15.397588, 16.238848, 16.656438, 16.907788, 17.075678", \
+					  "15.336057, 18.142437, 19.086877, 19.555507, 19.835647, 20.022777");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("65.149000, 67.976120, 67.974920, 67.089690, 66.193200, 64.520830", \
+					  "56.973662, 59.656172, 59.754242, 58.922852, 57.973652, 56.461072", \
+					  "54.599390, 57.814180, 57.542270, 56.688670, 55.839830, 54.503170");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.410738, 5.362179, 5.429114, 5.474206, 5.491500, 5.495888", \
+					  "13.146758, 15.893518, 16.097508, 16.488668, 16.789958, 17.005468", \
+					  "15.457827, 18.208187, 19.195057, 19.705397, 20.000927, 20.192927");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("60.343150, 59.660090, 58.934870, 57.016640, 57.209450, 54.359350", \
+					  "52.195282, 51.422362, 50.624082, 49.583432, 47.596322, 47.567742", \
+					  "49.682150, 49.047240, 48.237840, 47.172410, 45.264480, 45.320340");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.515824, 5.499098, 5.498545, 5.495519, 5.492567, 5.490207", \
+					  "8.542138, 10.430108, 10.966848, 11.223248, 11.371958, 11.468668", \
+					  "10.005797, 11.832677, 12.325577, 12.559987, 12.699817, 12.792407");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("57.801900, 59.086470, 57.920680, 56.856940, 55.348420, 53.854360", \
+					  "49.671582, 50.461732, 49.576192, 48.591922, 46.804492, 45.646922", \
+					  "47.296250, 48.231520, 47.268710, 46.444170, 44.394290, 43.259460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.486421, 5.442961, 5.447732, 5.453469, 5.457520, 5.458174", \
+					  "8.594488, 10.570068, 11.057718, 11.284728, 11.422558, 11.516558", \
+					  "10.183917, 12.009577, 12.619647, 12.847877, 12.952337, 13.024487");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("83.861130, 83.873600, 83.241830, 82.580160, 81.311740, 80.173810", \
+					  "75.731802, 75.393552, 74.794002, 73.992772, 73.063272, 71.990092", \
+					  "73.100520, 72.920280, 72.342710, 71.522810, 70.626110, 69.431830");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("7.774000, 7.717276, 7.698658, 7.698397, 7.704361, 7.707979", \
+					  "18.707468, 22.000818, 23.166128, 23.800228, 24.146658, 24.392648", \
+					  "21.246457, 24.697397, 25.963427, 26.621247, 27.068137, 27.375297");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("75.631990, 80.599260, 80.793480, 80.825780, 79.754750, 79.111050", \
+					  "67.138092, 72.258712, 72.341322, 72.370392, 71.346752, 70.066812", \
+					  "64.691140, 69.791060, 69.994630, 69.975510, 68.840050, 67.574960");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("7.726582, 7.628660, 7.728652, 7.758732, 7.738671, 7.729207", \
+					  "18.791898, 22.054508, 23.274198, 23.800518, 24.181128, 24.449338", \
+					  "21.319997, 24.973937, 26.433737, 26.978807, 27.340777, 27.634757");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("44.343660, 44.496070, 44.957100, 44.701020, 44.379330, 43.439920", \
+					  "36.656552, 36.889742, 37.083712, 36.767172, 37.465202, 36.292492", \
+					  "34.521310, 34.864650, 34.619050, 34.739190, 35.425390, 36.181530");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.832047, -118.739196, -240.256096, -361.762996, -483.266596, -604.768996", \
+					  "-0.002741, -121.844562, -243.435562, -364.979762, -486.505862, -608.023162", \
+					  "0.573215, -121.413873, -242.949573, -364.454973, -485.949773, -607.448973");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("41.168730, 43.082040, 43.773080, 43.711880, 42.603200, 45.833830", \
+					  "33.452982, 35.431112, 36.178722, 35.969082, 35.840702, 36.877482", \
+					  "31.355550, 33.356960, 33.947200, 34.068760, 34.085430, 34.256740");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.818465, -118.798696, -240.317396, -361.824896, -483.328796, -604.831096", \
+					  "0.038355, -121.824062, -243.421862, -364.967762, -486.494462, -608.011962", \
+					  "1.158378, -120.633673, -242.252173, -363.813673, -485.337273, -606.852273");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("61.789000, 63.709830, 63.975370, 64.063920, 64.118430, 64.236550", \
+					  "54.173892, 56.069262, 56.303602, 56.410062, 56.473772, 56.535492", \
+					  "52.144360, 54.003880, 54.163900, 54.363700, 54.372260, 54.333340");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.015477, -115.522896, -237.026296, -358.529996, -480.032796, -601.534796", \
+					  "10.610098, -111.464462, -233.191462, -354.809962, -476.382262, -597.930962", \
+					  "11.758137, -110.379773, -232.095373, -353.666173, -475.199973, -596.726573");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("52.149600, 54.491600, 56.892900, 58.482040, 59.828370, 60.256820", \
+					  "44.252502, 46.710782, 49.150502, 50.828662, 52.078062, 52.677262", \
+					  "41.980850, 44.494740, 46.944040, 48.664210, 49.978260, 50.732470");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.901720, -115.631496, -237.167396, -358.679596, -480.184696, -601.686796", \
+					  "10.729348, -111.321562, -233.040562, -354.658962, -476.231762, -597.780762", \
+					  "12.052717, -110.070373, -231.814473, -353.436073, -475.005073, -596.549273");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("53.919270, 54.634630, 54.736190, 54.847630, 54.966780, 54.653740", \
+					  "46.180482, 46.886332, 47.208852, 46.984062, 47.402292, 47.549322", \
+					  "44.174580, 44.900090, 45.040550, 45.141510, 45.202710, 45.222530");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.096703, -115.500896, -237.025296, -358.537296, -480.044396, -601.549996", \
+					  "5.629868, -116.432562, -238.093562, -359.711962, -481.262162, -602.795162", \
+					  "6.685467, -115.327773, -236.912473, -358.462073, -480.001473, -601.531073");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.944780, 49.567690, 51.531410, 52.436690, 52.832990, 52.729060", \
+					  "39.135552, 41.929082, 43.890022, 44.825362, 45.194322, 44.954282", \
+					  "37.007190, 39.697800, 41.615160, 42.714230, 43.123170, 43.519340");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.978411, -115.666296, -237.182396, -358.696396, -480.206196, -601.713096", \
+					  "5.728628, -116.264062, -237.952462, -359.538162, -481.087462, -602.619462", \
+					  "6.480147, -115.199673, -236.918273, -358.527273, -480.094373, -601.637373");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("69.925000, 73.306060, 73.649180, 73.929580, 73.986350, 74.215200", \
+					  "62.258172, 65.668302, 66.029432, 66.267872, 66.351852, 66.552212", \
+					  "60.338770, 63.626290, 64.047640, 64.332590, 64.384620, 64.430630");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.284244, -112.317596, -233.813196, -355.326696, -476.832596, -598.336196", \
+					  "15.890548, -106.199472, -227.930862, -349.361762, -470.940262, -592.499262", \
+					  "17.230467, -105.217943, -227.031273, -348.353573, -469.965273, -591.558073");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("58.127110, 60.277780, 62.694500, 65.062550, 66.408920, 68.238390", \
+					  "50.145552, 52.420282, 54.940522, 57.342552, 58.752332, 60.580212", \
+					  "47.862730, 50.250120, 52.808720, 55.115930, 56.877530, 58.180060");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("9.097364, -112.468396, -234.005096, -355.485396, -476.978896, -598.476496", \
+					  "16.158768, -105.933982, -227.681562, -349.331662, -470.948062, -592.528462", \
+					  "17.378117, -104.516283, -226.549373, -348.071573, -469.503673, -590.952973");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("134.290029, 138.099831, 137.382911, 136.435486, 132.927022, 131.193854", \
+					  "134.273757, 138.101742, 137.449837, 136.327352, 133.088464, 130.926674", \
+					  "134.410313, 138.115890, 137.613438, 135.841130, 134.096117, 131.103665");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("134.832581, 141.055128, 144.115956, 145.942758, 147.147821, 147.914156", \
+					  "134.579587, 140.979149, 143.909921, 146.124476, 146.807224, 148.551814", \
+					  "134.511714, 140.932074, 144.076932, 145.866124, 147.340129, 147.951144");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("69.103113, -51.609335, -173.802279, -296.379519, -419.184176, -542.248738", \
+					  "63.047254, -58.263449, -180.653356, -303.214315, -426.120239, -548.842980", \
+					  "61.704692, -59.454623, -181.810305, -304.428871, -427.245937, -550.187641");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("59.031150, 60.884000, 61.983652, 62.621334, 62.857659, 63.416770", \
+					  "56.503513, 60.679217, 62.389615, 63.297875, 64.028759, 64.481853", \
+					  "56.351804, 60.587728, 62.369183, 63.470121, 64.216679, 64.796274");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.750088, 5.008366, 6.254528, 7.502156, 8.745905, 10.008590", \
+					  "3.823617, 5.081903, 6.330059, 7.574372, 8.817707, 10.082210", \
+					  "3.862430, 5.120812, 6.369404, 7.612937, 8.866325, 10.108150");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.525536, 4.093223, 6.694233, 9.344528, 11.990970, 14.623690", \
+					  "1.525714, 4.092050, 6.708789, 9.353080, 11.994110, 14.627770", \
+					  "1.527726, 4.088994, 6.719307, 9.344955, 11.956020, 14.622860");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.878976, 4.952206, 5.976354, 6.998535, 8.018492, 9.039718", \
+					  "4.007136, 5.078944, 6.104038, 7.126195, 8.146154, 9.166662", \
+					  "4.173176, 5.246187, 6.270104, 7.289840, 8.315242, 9.328285");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.285089, 3.164246, 5.099984, 7.070216, 9.034293, 11.016900", \
+					  "1.281017, 3.162424, 5.102578, 7.067986, 9.034756, 11.021030", \
+					  "1.283884, 3.161159, 5.105218, 7.076116, 9.054927, 11.024520");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("4.478705, 6.189715, 7.496940, 8.755827, 10.003440, 11.257000", \
+					  "4.552058, 6.263242, 7.570437, 8.829348, 10.077080, 11.330590", \
+					  "4.591319, 6.302089, 7.609032, 8.867809, 10.114740, 11.368550");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.656789, 5.001767, 7.347833, 9.779539, 12.329520, 14.890600", \
+					  "2.656997, 5.001821, 7.347341, 9.780188, 12.329790, 14.890650", \
+					  "2.658345, 4.994821, 7.349832, 9.777461, 12.329230, 14.885670");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("4.104398, 6.011496, 7.532887, 8.831766, 10.014950, 11.134810", \
+					  "4.232093, 6.139114, 7.658872, 8.961067, 10.148370, 11.264910", \
+					  "4.398102, 6.305903, 7.826467, 9.128815, 10.312200, 11.430560");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.087962, 4.839934, 6.964268, 8.904733, 10.758180, 12.627440", \
+					  "2.088109, 4.853308, 6.957278, 8.903585, 10.763560, 12.627580", \
+					  "2.086519, 4.849398, 6.950986, 8.895395, 10.781160, 12.603150");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.249498, 3.727059, 4.151465, 4.570173, 4.985250, 5.402011", \
+					  "3.323101, 3.800669, 4.225079, 4.643790, 5.058868, 5.475551", \
+					  "3.361146, 3.839054, 4.263353, 4.681477, 5.097978, 5.513340");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.751687, 1.512644, 2.344419, 3.196670, 4.065112, 4.927736", \
+					  "0.751637, 1.512633, 2.344400, 3.196622, 4.065136, 4.927230", \
+					  "0.749522, 1.507815, 2.345123, 3.194408, 4.063896, 4.933441");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.410548, 3.894936, 4.274770, 4.629641, 4.976768, 5.319950", \
+					  "3.538088, 4.022991, 4.402250, 4.757662, 5.103761, 5.446489", \
+					  "3.704440, 4.189308, 4.568457, 4.923860, 5.269754, 5.612684");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.677844, 1.298269, 1.905676, 2.523544, 3.158208, 3.799379", \
+					  "0.675913, 1.298034, 1.905614, 2.528710, 3.161370, 3.803718", \
+					  "0.676551, 1.299557, 1.905804, 2.528706, 3.158216, 3.800121");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.327049, 4.432652, 5.130001, 5.676612, 6.159238, 6.609086", \
+					  "3.400614, 4.506184, 5.203528, 5.750132, 6.232728, 6.682611", \
+					  "3.438126, 4.544440, 5.241725, 5.788636, 6.269065, 6.720716");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.398410, 2.641911, 3.473289, 4.231969, 4.985309, 5.751503", \
+					  "1.398392, 2.641872, 3.473286, 4.231933, 4.985429, 5.751484", \
+					  "1.395718, 2.641445, 3.473221, 4.232676, 4.985601, 5.753228");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.373029, 4.095322, 4.775050, 5.404407, 5.985128, 6.522932", \
+					  "3.500710, 4.223038, 4.902762, 5.532064, 6.113015, 6.650647", \
+					  "3.666930, 4.389165, 5.068567, 5.698615, 6.279246, 6.816790");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.783269, 2.039640, 3.089242, 3.991854, 4.788077, 5.522245", \
+					  "0.783276, 2.039944, 3.091852, 3.989297, 4.792384, 5.537738", \
+					  "0.783326, 2.039086, 3.090334, 3.991676, 4.797266, 5.540408");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.397328, 4.056695, 4.684083, 5.308839, 5.931412, 6.554306", \
+					  "3.470838, 4.130221, 4.757536, 5.382345, 6.004819, 6.627712", \
+					  "3.509190, 4.168313, 4.795416, 5.420319, 6.042808, 6.666431");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.921431, 2.136450, 3.416172, 4.718344, 6.036423, 7.350953", \
+					  "0.922543, 2.134946, 3.412204, 4.719340, 6.036274, 7.351559", \
+					  "0.922039, 2.134145, 3.418118, 4.723574, 6.035041, 7.347821");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.545335, 4.163427, 4.695810, 5.212303, 5.723756, 6.234124", \
+					  "3.673281, 4.291370, 4.823468, 5.340055, 5.851255, 6.361463", \
+					  "3.839401, 4.457562, 4.989502, 5.506237, 6.017332, 6.527510");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.829036, 1.740293, 2.677171, 3.639329, 4.601601, 5.579410", \
+					  "0.829174, 1.742787, 2.678471, 3.638233, 4.604739, 5.583972", \
+					  "0.829625, 1.738392, 2.680257, 3.637238, 4.607271, 5.586314");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.682912, 4.994550, 5.815895, 6.512642, 7.163289, 7.797485", \
+					  "3.756423, 5.067798, 5.889324, 6.585965, 7.236810, 7.871017", \
+					  "3.794822, 5.106252, 5.927714, 6.624157, 7.275198, 7.909340");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.815785, 3.277837, 4.423011, 5.560989, 6.731854, 7.926755", \
+					  "1.815759, 3.278083, 4.421846, 5.561203, 6.731832, 7.926593", \
+					  "1.815446, 3.274644, 4.421770, 5.559942, 6.731646, 7.927609");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.551974, 4.606249, 5.557836, 6.401208, 7.150899, 7.843640", \
+					  "3.679647, 4.733849, 5.685522, 6.528689, 7.281091, 7.971306", \
+					  "3.845930, 4.900520, 5.851794, 6.695056, 7.447426, 8.137189");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.117963, 2.866085, 4.216587, 5.359766, 6.420202, 7.402823", \
+					  "1.118124, 2.865684, 4.216230, 5.369738, 6.425823, 7.422175", \
+					  "1.117417, 2.868675, 4.217406, 5.369344, 6.420464, 7.422690");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.165313, 3.562456, 3.888194, 4.204403, 4.519185, 4.831949", \
+					  "3.238889, 3.635941, 3.961782, 4.278030, 4.592781, 4.905543", \
+					  "3.277002, 3.673527, 4.000670, 4.316746, 4.630227, 4.942869");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.686443, 1.215834, 1.820805, 2.449952, 3.091911, 3.734659", \
+					  "0.686404, 1.216319, 1.820705, 2.449051, 3.092096, 3.733996", \
+					  "0.686536, 1.218555, 1.822991, 2.450497, 3.087638, 3.733290");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.315402, 3.740895, 4.048277, 4.326413, 4.592770, 4.853950", \
+					  "3.443143, 3.868622, 4.175939, 4.454152, 4.720459, 4.982184", \
+					  "3.609338, 4.035255, 4.342177, 4.620613, 4.887141, 5.148368");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.588041, 1.081479, 1.534560, 1.985429, 2.452240, 2.916221", \
+					  "0.588149, 1.081297, 1.530998, 1.989745, 2.449201, 2.920670", \
+					  "0.586309, 1.081880, 1.533590, 1.987057, 2.453708, 2.925631");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.145334, 4.079703, 4.717273, 5.201782, 5.606905, 5.977486", \
+					  "3.218487, 4.153262, 4.790743, 5.275129, 5.680377, 6.050926", \
+					  "3.257219, 4.191497, 4.829026, 5.313256, 5.718635, 6.088961");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.128849, 2.261934, 2.969016, 3.563245, 4.135388, 4.698739", \
+					  "1.127546, 2.261678, 2.968995, 3.562715, 4.134769, 4.698053", \
+					  "1.129084, 2.261708, 2.968973, 3.562567, 4.132508, 4.694685");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.273820, 3.830429, 4.353915, 4.852376, 5.321209, 5.762768", \
+					  "3.401502, 3.958117, 4.481481, 4.980043, 5.448809, 5.890219", \
+					  "3.567744, 4.124310, 4.647793, 5.146271, 5.615811, 6.057683");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.619288, 1.581244, 2.444769, 3.187503, 3.862427, 4.460667", \
+					  "0.619202, 1.580828, 2.444170, 3.190683, 3.857928, 4.467692", \
+					  "0.619654, 1.582194, 2.444541, 3.187254, 3.857470, 4.474137");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.294497, 4.547367, 5.792084, 7.041048, 8.302510, 9.534963", \
+					  "3.322215, 4.575030, 5.820989, 7.064557, 8.306992, 9.581497", \
+					  "3.336862, 4.589714, 5.832737, 7.081407, 8.325182, 9.593424");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.544957, 4.096634, 6.720849, 9.352641, 11.971820, 14.621690", \
+					  "1.544543, 4.095825, 6.705666, 9.353839, 11.994400, 14.615940", \
+					  "1.544804, 4.094753, 6.707647, 9.340067, 11.981280, 14.586960");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("4.001643, 5.727395, 7.035875, 8.294257, 9.541111, 10.794390", \
+					  "4.029149, 5.754752, 7.063221, 8.321244, 9.570429, 10.822500", \
+					  "4.043530, 5.768838, 7.080549, 8.336579, 9.584187, 10.826840");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.702603, 5.050163, 7.380633, 9.804658, 12.341670, 14.898290", \
+					  "2.700972, 5.053715, 7.373217, 9.817318, 12.343360, 14.902750", \
+					  "2.701212, 5.049424, 7.382125, 9.829648, 12.344540, 14.910460");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.830731, 3.305885, 3.727016, 4.143241, 4.559904, 4.974984", \
+					  "2.877380, 3.353828, 3.775275, 4.191800, 4.606841, 5.021564", \
+					  "2.903920, 3.380375, 3.801648, 4.217528, 4.634440, 5.049650");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.809498, 1.534607, 2.355527, 3.205878, 4.064548, 4.929789", \
+					  "0.811053, 1.536497, 2.357462, 3.204878, 4.069550, 4.938859", \
+					  "0.810816, 1.537708, 2.355660, 3.207132, 4.063775, 4.930720");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.893007, 3.995234, 4.701482, 5.248456, 5.733261, 6.181858", \
+					  "2.941272, 4.042840, 4.748987, 5.296066, 5.780743, 6.229647", \
+					  "2.969117, 4.068800, 4.774906, 5.322778, 5.807339, 6.257774");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.413474, 2.681552, 3.521963, 4.278124, 5.027235, 5.789275", \
+					  "1.413212, 2.680750, 3.521156, 4.278825, 5.025311, 5.787355", \
+					  "1.414398, 2.676747, 3.522185, 4.281852, 5.033193, 5.776321");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.927989, 3.582911, 4.206912, 4.830504, 5.451691, 6.073920", \
+					  "2.955000, 3.609900, 4.233504, 4.857086, 5.478307, 6.099982", \
+					  "2.969524, 3.624442, 4.248288, 4.871346, 5.492610, 6.114332");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.965762, 2.144168, 3.416582, 4.722341, 6.036828, 7.353503", \
+					  "0.963419, 2.149870, 3.428417, 4.726533, 6.029286, 7.343761", \
+					  "0.962008, 2.149942, 3.428184, 4.725275, 6.027185, 7.339297");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("3.181106, 4.505424, 5.332907, 6.031004, 6.684227, 7.318291", \
+					  "3.210702, 4.534791, 5.362496, 6.059881, 6.712775, 7.346726", \
+					  "3.225575, 4.548710, 5.376942, 6.076462, 6.727391, 7.361308");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.840380, 3.331319, 4.476665, 5.594284, 6.767720, 7.955011", \
+					  "1.835996, 3.327040, 4.476441, 5.597105, 6.769936, 7.959451", \
+					  "1.838255, 3.331776, 4.473848, 5.604377, 6.769909, 7.959920");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.717254, 3.117288, 3.441660, 3.755839, 4.068890, 4.380657", \
+					  "2.759733, 3.161613, 3.485553, 3.800151, 4.113039, 4.424118", \
+					  "2.784301, 3.187216, 3.511383, 3.826091, 4.138835, 4.449926");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.749797, 1.255820, 1.841018, 2.465088, 3.102190, 3.742920", \
+					  "0.747987, 1.257001, 1.845185, 2.467137, 3.093849, 3.739648", \
+					  "0.747692, 1.258010, 1.846834, 2.468288, 3.092799, 3.741254");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.689875, 3.609157, 4.252991, 4.741300, 5.152047, 5.522459", \
+					  "2.735060, 3.653909, 4.297642, 4.785021, 5.196049, 5.567099", \
+					  "2.761438, 3.680063, 4.324421, 4.810646, 5.221763, 5.593627");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.144516, 2.294491, 3.008736, 3.618932, 4.190529, 4.745784", \
+					  "1.146101, 2.292947, 3.007687, 3.618204, 4.189111, 4.749910", \
+					  "1.147208, 2.291576, 3.006291, 3.618827, 4.186574, 4.749312");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.501586, 3.572951, 4.597156, 5.617430, 6.639877, 7.663950", \
+					  "2.573166, 3.645190, 4.667529, 5.689037, 6.707467, 7.727921", \
+					  "2.612928, 3.684286, 4.708469, 5.728854, 6.750992, 7.775763");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.312335, 3.176968, 5.103433, 7.068146, 9.046366, 11.004890", \
+					  "1.309512, 3.169151, 5.115321, 7.075406, 9.049415, 11.027720", \
+					  "1.312164, 3.176978, 5.103060, 7.066208, 9.048423, 10.999660");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.705231, 4.612223, 6.140514, 7.444035, 8.626939, 9.749320", \
+					  "2.778066, 4.683770, 6.209198, 7.514809, 8.699151, 9.819196", \
+					  "2.817237, 4.725401, 6.248694, 7.551846, 8.738488, 9.861445");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.096293, 4.865674, 6.992149, 8.929109, 10.803380, 12.652610", \
+					  "2.097499, 4.869305, 6.972011, 8.919780, 10.804510, 12.632260", \
+					  "2.094069, 4.870974, 6.992214, 8.914673, 10.788290, 12.633800");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.136494, 2.633919, 3.014932, 3.370201, 3.717077, 4.059966", \
+					  "2.208185, 2.705407, 3.086483, 3.441750, 3.788624, 4.131514", \
+					  "2.247371, 2.744759, 3.125785, 3.481017, 3.827934, 4.170766");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.711515, 1.339887, 1.937657, 2.546708, 3.174886, 3.810061", \
+					  "0.711646, 1.338931, 1.937582, 2.546594, 3.174918, 3.810146", \
+					  "0.711383, 1.339833, 1.938592, 2.548019, 3.173564, 3.809135");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.110466, 2.819013, 3.491284, 4.119624, 4.700319, 5.237426", \
+					  "2.181357, 2.889871, 3.562147, 4.191108, 4.771110, 5.308445", \
+					  "2.221743, 2.929373, 3.602640, 4.230221, 4.809853, 5.348802");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.816591, 2.047613, 3.094590, 3.998617, 4.804592, 5.544438", \
+					  "0.816105, 2.047786, 3.095143, 3.997213, 4.804337, 5.545548", \
+					  "0.816549, 2.048239, 3.094642, 3.994059, 4.802039, 5.542411");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.145772, 2.766100, 3.297384, 3.812327, 4.324667, 4.835075", \
+					  "2.218232, 2.838200, 3.369690, 3.885435, 4.396548, 4.906602", \
+					  "2.257461, 2.877274, 3.408055, 3.923863, 4.434207, 4.945063");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.867758, 1.763623, 2.692961, 3.639117, 4.608473, 5.578400", \
+					  "0.867471, 1.764922, 2.691056, 3.644257, 4.610940, 5.591291", \
+					  "0.868573, 1.764644, 2.691213, 3.646591, 4.616211, 5.586461");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("2.142003, 3.184380, 4.136359, 4.982164, 5.735540, 6.427475", \
+					  "2.214241, 3.256680, 4.208401, 5.053070, 5.807597, 6.499172", \
+					  "2.253469, 3.296271, 4.247613, 5.090426, 5.846772, 6.539419");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.129377, 2.879138, 4.229635, 5.386835, 6.439750, 7.433134", \
+					  "1.128712, 2.876494, 4.230361, 5.385105, 6.439047, 7.429589", \
+					  "1.127307, 2.877694, 4.233134, 5.388983, 6.443067, 7.421095");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.996744, 2.430061, 2.741242, 3.020598, 3.287198, 3.548784", \
+					  "2.068586, 2.501925, 2.813099, 3.092447, 3.359058, 3.620527", \
+					  "2.107505, 2.541105, 2.852328, 3.131518, 3.398329, 3.659285");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.626350, 1.121274, 1.570233, 2.019993, 2.480005, 2.944021", \
+					  "0.626173, 1.121204, 1.570592, 2.020512, 2.480349, 2.945679", \
+					  "0.625491, 1.120755, 1.569474, 2.020192, 2.477270, 2.946978");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.965921, 2.511637, 3.029616, 3.524975, 3.994071, 4.435468", \
+					  "2.037764, 2.583453, 3.101432, 3.596792, 4.065878, 4.507273", \
+					  "2.076669, 2.622735, 3.139931, 3.636174, 4.104867, 4.546772");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("0.665278, 1.601969, 2.454290, 3.202276, 3.867191, 4.484106", \
+					  "0.665058, 1.601875, 2.454282, 3.202165, 3.867080, 4.484163", \
+					  "0.665651, 1.601111, 2.456763, 3.199298, 3.869103, 4.484972");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.477243, 1.477244, 1.477245, 1.477246, 1.477247, 1.477248", \
+					  "1.598375, 1.598376, 1.598377, 1.598378, 1.598379, 1.598380", \
+					  "1.767822, 1.767823, 1.767824, 1.767825, 1.767826, 1.767827");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.456339, 1.456340, 1.456341, 1.456342, 1.456343, 1.456344", \
+					  "1.582039, 1.582040, 1.582041, 1.582042, 1.582043, 1.582044", \
+					  "1.747357, 1.747358, 1.747359, 1.747360, 1.747361, 1.747362");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.626178, 1.626179, 1.626180, 1.626181, 1.626182, 1.626183", \
+					  "1.751784, 1.751785, 1.751786, 1.751787, 1.751788, 1.751789", \
+					  "1.919613, 1.919614, 1.919615, 1.919616, 1.919617, 1.919618");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.612751, 1.612752, 1.612753, 1.612754, 1.612755, 1.612756", \
+					  "1.738344, 1.738345, 1.738346, 1.738347, 1.738348, 1.738349", \
+					  "1.905501, 1.905502, 1.905503, 1.905504, 1.905505, 1.905506");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.462069, 1.462070, 1.462071, 1.462072, 1.462073, 1.462074", \
+					  "1.588508, 1.588509, 1.588510, 1.588511, 1.588512, 1.588513", \
+					  "1.755318, 1.755319, 1.755320, 1.755321, 1.755322, 1.755323");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.448817, 1.448818, 1.448819, 1.448820, 1.448821, 1.448822", \
+					  "1.574473, 1.574474, 1.574475, 1.574476, 1.574477, 1.574478", \
+					  "1.740938, 1.740939, 1.740940, 1.740941, 1.740942, 1.740943");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.600618, 1.600619, 1.600620, 1.600621, 1.600622, 1.600623", \
+					  "1.726880, 1.726881, 1.726882, 1.726883, 1.726884, 1.726885", \
+					  "1.893025, 1.893026, 1.893027, 1.893028, 1.893029, 1.893030");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.588024, 1.588025, 1.588026, 1.588027, 1.588028, 1.588029", \
+					  "1.713151, 1.713152, 1.713153, 1.713154, 1.713155, 1.713156", \
+					  "1.878557, 1.878558, 1.878559, 1.878560, 1.878561, 1.878562");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.730954, 1.730955, 1.730956, 1.730957, 1.730958, 1.730959", \
+					  "1.860572, 1.860573, 1.860574, 1.860575, 1.860576, 1.860577", \
+					  "2.029191, 2.029192, 2.029193, 2.029194, 2.029195, 2.029196");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.732078, 1.732079, 1.732080, 1.732081, 1.732082, 1.732083", \
+					  "1.859169, 1.859170, 1.859171, 1.859172, 1.859173, 1.859174", \
+					  "2.026240, 2.026241, 2.026242, 2.026243, 2.026244, 2.026245");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.978551, 1.978552, 1.978553, 1.978554, 1.978555, 1.978556", \
+					  "2.107584, 2.107585, 2.107586, 2.107587, 2.107588, 2.107589", \
+					  "2.277901, 2.277902, 2.277903, 2.277904, 2.277905, 2.277906");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.977355, 1.977356, 1.977357, 1.977358, 1.977359, 1.977360", \
+					  "2.105720, 2.105721, 2.105722, 2.105723, 2.105724, 2.105725", \
+					  "2.274501, 2.274502, 2.274503, 2.274504, 2.274505, 2.274506");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.716817, 1.716818, 1.716819, 1.716820, 1.716821, 1.716822", \
+					  "1.845945, 1.845946, 1.845947, 1.845948, 1.845949, 1.845950", \
+					  "2.016353, 2.016354, 2.016355, 2.016356, 2.016357, 2.016358");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.721688, 1.721689, 1.721690, 1.721691, 1.721692, 1.721693", \
+					  "1.849282, 1.849283, 1.849284, 1.849285, 1.849286, 1.849287", \
+					  "2.017358, 2.017359, 2.017360, 2.017361, 2.017362, 2.017363");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.959759, 1.959760, 1.959761, 1.959762, 1.959763, 1.959764", \
+					  "2.089096, 2.089876, 2.089877, 2.089878, 2.089879, 2.089880", \
+					  "2.260083, 2.260084, 2.260085, 2.260086, 2.260087, 2.260088");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.900766, 8.900766, 14.900766, 20.900766, 26.900766, 32.900766");
+					values("1.958828, 1.958829, 1.958830, 1.958831, 1.958832, 1.958833", \
+					  "2.086160, 2.086455, 2.086456, 2.086457, 2.086458, 2.086459", \
+					  "2.256894, 2.256895, 2.256896, 2.256897, 2.256898, 2.256899");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-33.769830, -33.827230, -33.951910");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("33.599260, 34.081320, 34.295160");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.114275, 4.031112, 3.932117, 3.901132, 3.876023, 3.804438", \
+					  "3.030169, 2.894322, 2.845784, 2.831503, 2.800557, 2.731898", \
+					  "3.087893, 2.864265, 2.913248, 2.612821, 2.850253, 2.474817");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.701608, 4.718966, 4.782956, 5.143052, 4.911798, 4.992500", \
+					  "3.887627, 3.949772, 3.830506, 4.254922, 4.352579, 3.647950", \
+					  "3.877559, 4.020499, 3.830145, 4.062968, 3.437895, 3.517882");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.595482, 4.511417, 4.456142, 4.384580, 4.343367, 4.334237", \
+					  "3.482838, 3.408798, 3.316140, 3.148093, 3.147112, 3.278661", \
+					  "3.556880, 3.400682, 3.382858, 3.295586, 3.285900, 3.199173");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.955247, 4.995791, 5.098958, 5.239636, 5.247801, 5.278064", \
+					  "4.148885, 4.281580, 4.252996, 4.439508, 4.492379, 4.787505", \
+					  "4.199483, 4.235877, 4.231877, 4.191052, 4.154670, 4.228608");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.546730, 2.458540, 2.392910, 2.267570, 2.219650, 2.173530", \
+					  "2.646080, 2.559030, 2.495530, 2.366420, 2.309070, 2.288390", \
+					  "2.761340, 2.671950, 2.608180, 2.480580, 2.443160, 2.385810");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.740490, 2.773070, 2.859190, 3.020960, 3.061500, 3.103090", \
+					  "2.300240, 2.331660, 2.419180, 2.580840, 2.633480, 2.708890", \
+					  "2.359810, 2.378270, 2.434500, 2.602530, 2.652650, 2.677090");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.963540, 2.878250, 2.817470, 2.693220, 2.649200, 2.612330", \
+					  "3.187300, 3.101000, 3.041250, 2.918230, 2.872210, 2.815000", \
+					  "3.542940, 3.445840, 3.383610, 3.260160, 3.229190, 3.191340");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.811550, 3.865250, 4.004710, 4.338850, 4.448910, 4.530680", \
+					  "3.411510, 3.460520, 3.602150, 3.930030, 4.039470, 4.125500", \
+					  "3.276230, 3.331630, 3.479540, 3.802720, 3.908610, 4.001700");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.354878, 4.271265, 4.194129, 4.142856, 4.109695, 4.069337", \
+					  "3.256503, 3.151560, 3.080962, 2.989798, 2.973834, 3.005280", \
+					  "3.322387, 3.132474, 3.148053, 2.954204, 3.068077, 2.836995");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.828428, 4.857378, 4.940957, 5.191344, 5.079800, 5.135282", \
+					  "4.018256, 4.115676, 4.041751, 4.347215, 4.422479, 4.217728", \
+					  "4.038521, 4.128188, 4.031011, 4.127010, 3.796283, 3.873245");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.755135, 2.668395, 2.605190, 2.480395, 2.434425, 2.392930", \
+					  "2.916690, 2.830015, 2.768390, 2.642325, 2.590640, 2.551695", \
+					  "3.152140, 3.058895, 2.995895, 2.870370, 2.836175, 2.788575");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.276020, 3.319160, 3.431950, 3.679905, 3.755205, 3.816885", \
+					  "2.855875, 2.896090, 3.010665, 3.255435, 3.336475, 3.417195", \
+					  "2.818020, 2.854950, 2.957020, 3.202625, 3.280630, 3.339395");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.289359, 2.362635, 2.453363, 2.707803, 2.828545, 2.949046", \
+					  "2.382367, 2.455625, 2.546344, 2.800790, 2.921526, 3.042035", \
+					  "2.456900, 2.529886, 2.619671, 2.875459, 2.996091, 3.116485");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.272083, 0.361524, 0.505846, 0.960525, 1.196946, 1.444904", \
+					  "0.272146, 0.361257, 0.505606, 0.960557, 1.197046, 1.444900", \
+					  "0.271967, 0.368241, 0.505854, 0.961649, 1.198225, 1.445123");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.896571, 1.961458, 2.040692, 2.261064, 2.363174, 2.463805", \
+					  "2.027322, 2.091241, 2.171124, 2.390898, 2.493022, 2.593636", \
+					  "2.178254, 2.243034, 2.322854, 2.542600, 2.644730, 2.745314");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.137184, 0.221854, 0.335154, 0.687808, 0.868412, 1.047326", \
+					  "0.137082, 0.222191, 0.334832, 0.687287, 0.866999, 1.049467", \
+					  "0.137308, 0.222320, 0.334320, 0.687970, 0.868063, 1.049671");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.385201, 2.459254, 2.548270, 2.803343, 2.924212, 3.044455", \
+					  "2.477963, 2.552345, 2.641872, 2.896452, 3.017537, 3.138084", \
+					  "2.552753, 2.626122, 2.715326, 2.971173, 3.092042, 3.212295");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.275092, 0.367995, 0.505285, 0.961195, 1.201799, 1.440614", \
+					  "0.274328, 0.368652, 0.506563, 0.960373, 1.200991, 1.442440", \
+					  "0.277400, 0.363330, 0.504012, 0.963744, 1.202574, 1.444253");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.992782, 2.057586, 2.136800, 2.357193, 2.459246, 2.559918", \
+					  "2.121253, 2.185998, 2.265670, 2.485518, 2.587565, 2.688171", \
+					  "2.275175, 2.339891, 2.419170, 2.639569, 2.741618, 2.842287");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.139464, 0.222266, 0.335536, 0.687573, 0.868533, 1.049181", \
+					  "0.137398, 0.224254, 0.334335, 0.688244, 0.868188, 1.048306", \
+					  "0.138987, 0.222278, 0.335530, 0.687721, 0.868516, 1.049255");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.629516, 1.702928, 1.792201, 2.048573, 2.169459, 2.289904", \
+					  "1.715090, 1.788420, 1.877370, 2.132600, 2.252837, 2.373380", \
+					  "1.749423, 1.822559, 1.911487, 2.167432, 2.288888, 2.409611");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.272317, 0.361850, 0.497632, 0.961791, 1.200678, 1.441217", \
+					  "0.270809, 0.361381, 0.497141, 0.962057, 1.199958, 1.441345", \
+					  "0.271155, 0.361431, 0.498277, 0.964508, 1.201416, 1.442469");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.433426, 1.498031, 1.577656, 1.797722, 1.899636, 2.000355", \
+					  "1.516433, 1.580828, 1.660273, 1.880545, 1.982519, 2.083332", \
+					  "1.687728, 1.752587, 1.831851, 2.052547, 2.154849, 2.254941");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.138960, 0.221719, 0.333024, 0.687825, 0.866066, 1.047008", \
+					  "0.138468, 0.222019, 0.332608, 0.687862, 0.866744, 1.046994", \
+					  "0.137462, 0.221858, 0.332990, 0.687346, 0.867240, 1.047517");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.761225, 1.834487, 1.924223, 2.178086, 2.299255, 2.419598", \
+					  "1.872078, 1.945264, 2.034792, 2.289423, 2.410782, 2.531590", \
+					  "1.971471, 2.044840, 2.134113, 2.389997, 2.511579, 2.631504");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.270900, 0.361685, 0.498467, 0.960407, 1.199199, 1.441787", \
+					  "0.270074, 0.361120, 0.496703, 0.961456, 1.198934, 1.439721", \
+					  "0.270842, 0.361942, 0.498981, 0.963130, 1.202183, 1.442850");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.252039, 2.316421, 2.395885, 2.615281, 2.717544, 2.818146", \
+					  "2.240670, 2.304895, 2.384318, 2.604266, 2.706693, 2.807446", \
+					  "2.394165, 2.457063, 2.537373, 2.757371, 2.859884, 2.960603");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.139113, 0.223630, 0.333879, 0.688866, 0.868140, 1.047241", \
+					  "0.140548, 0.223687, 0.334188, 0.687670, 0.867289, 1.047787", \
+					  "0.139439, 0.223027, 0.334033, 0.688765, 0.867599, 1.048589");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_2v50.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_2v50.lib
new file mode 100644
index 0000000..5d56262
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_2v50.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 1.141690e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.001142";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023259;
+			rise_capacitance_range(0.022229,0.023827);
+			capacitance : 0.022599;
+			fall_capacitance : 0.021940;
+			fall_capacitance_range(0.021424,0.022921);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.064598, 0.588355, 0.610394");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.129824, 0.553032, 0.606329");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031960;
+			rise_capacitance_range(0.030892,0.032607);
+			capacitance : 0.031213;
+			fall_capacitance : 0.030467;
+			fall_capacitance_range(0.029719,0.031724);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.049109, 0.696440, 0.814496");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.147765, 0.819563, 0.891858");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014419;
+			rise_capacitance_range(0.013476,0.015069);
+			capacitance : 0.013872;
+			fall_capacitance : 0.013326;
+			fall_capacitance_range(0.012895,0.014026);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.086066, 0.393927, 0.391712");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.117869, 0.320472, 0.352363");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.085782;
+			rise_capacitance_range(0.080547,0.094954);
+			capacitance : 0.085624;
+			fall_capacitance : 0.084827;
+			fall_capacitance_range(0.081431,0.088229);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.072436;
+			rise_capacitance_range(0.059652,0.082399);
+			capacitance : 0.072162;
+			fall_capacitance : 0.071887;
+			fall_capacitance_range(0.057386,0.084604);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.165712, 0.070188, 0.047807");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.391281, 0.795512, 0.857156");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.047792;
+			rise_capacitance_range(0.046363,0.049146);
+			capacitance : 0.048558;
+			fall_capacitance : 0.049324;
+			fall_capacitance_range(0.047386,0.050571);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.038182, 0.403219, 0.423653");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.308282, 0.705824, 0.675167");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.036607;
+			rise_capacitance_range(0.035076,0.037910);
+			capacitance : 0.036392;
+			fall_capacitance : 0.036177;
+			fall_capacitance_range(0.035100,0.037197);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.076606, -0.070650, -0.071371");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.082460, 0.083414, 0.087243");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.029680;
+			rise_capacitance_range(0.029375,0.029981);
+			capacitance : 0.029965;
+			fall_capacitance : 0.030249;
+			fall_capacitance_range(0.030096,0.030514);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.060530, -0.059750, -0.058334");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.062064, 0.059817, 0.059689");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031749;
+			rise_capacitance_range(0.031466,0.032056);
+			capacitance : 0.032047;
+			fall_capacitance : 0.032345;
+			fall_capacitance_range(0.032181,0.032629);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.071197, -0.070372, -0.068919");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.072913, 0.070424, 0.070493");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 3.095415;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.172446, 13.967566, 13.651896, 13.053046, 12.503256, 11.850696", \
+					  "14.165710, 13.954340, 13.385710, 12.941120, 12.444590, 10.913570", \
+					  "14.143611, 13.963431, 13.329051, 13.161511, 12.024641, 11.958511");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.671910, 15.838810, 15.872250, 15.874530, 15.891870, 15.876610", \
+					  "15.664386, 15.836676, 15.903416, 15.854026, 16.008606, 16.150776", \
+					  "15.694047, 15.796267, 15.836497, 15.866457, 16.084117, 15.531267");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.103176, 13.944396, 13.522736, 12.966846, 12.429526, 11.803436", \
+					  "14.090460, 13.854870, 13.291410, 12.589240, 12.405010, 13.010720", \
+					  "14.115041, 13.905661, 13.573241, 13.206591, 12.692081, 11.769411");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.671360, 15.424950, 15.611090, 15.689290, 15.703220, 15.684180", \
+					  "14.656466, 15.399656, 15.634126, 15.773806, 15.539766, 15.660606", \
+					  "14.662747, 15.449597, 15.686027, 15.458397, 16.204177, 16.286617");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.770016, 21.920746, 21.775026, 21.510116, 21.172076, 20.959316", \
+					  "21.845120, 21.795340, 21.790060, 21.558330, 21.264360, 20.864020", \
+					  "21.764541, 21.933231, 21.856371, 21.728781, 21.382811, 21.051851");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.698510, 23.485920, 23.665690, 23.764900, 23.825450, 23.857570", \
+					  "22.605136, 23.415176, 23.672556, 23.754466, 23.805846, 23.854496", \
+					  "22.649317, 23.359877, 23.699537, 23.845117, 23.791627, 23.736437");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.884686, 21.745186, 21.639436, 21.406266, 21.179026, 20.944656", \
+					  "20.830070, 21.939980, 21.493410, 21.492280, 20.922060, 21.163740", \
+					  "20.918311, 21.354721, 21.645451, 21.373521, 21.156851, 20.769201");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.557370, 20.514030, 21.401360, 22.094680, 22.568160, 22.852260", \
+					  "19.391806, 20.400236, 21.369396, 22.067606, 22.460316, 22.893786", \
+					  "19.292927, 20.425877, 21.247837, 22.140227, 22.390197, 22.933487");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.954606, 17.953416, 17.811806, 17.534386, 17.000366, 16.444276", \
+					  "18.003650, 17.930690, 17.521790, 17.276030, 17.729670, 15.032650", \
+					  "17.983751, 17.866651, 17.741421, 17.372711, 16.871421, 16.432661");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.363300, 19.735630, 19.829320, 19.879230, 19.912690, 19.943390", \
+					  "19.380866, 19.759746, 19.819826, 19.882746, 19.894356, 19.949686", \
+					  "19.341697, 19.777077, 19.853527, 19.850207, 19.941407, 19.961357");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.595856, 17.814426, 17.560236, 17.312336, 16.854816, 16.317966", \
+					  "17.571110, 17.745490, 17.552380, 17.227840, 16.937650, 16.278730", \
+					  "17.578791, 17.787931, 17.595091, 17.374721, 16.878071, 16.398811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.097850, 18.092080, 18.827190, 19.189830, 19.369670, 19.501110", \
+					  "16.995326, 18.082736, 18.829516, 19.154296, 19.368376, 19.431686", \
+					  "16.984317, 18.157827, 18.574737, 19.186187, 19.386217, 19.354747");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.379046, 25.771856, 25.683266, 25.711826, 25.589566, 25.207056", \
+					  "25.349250, 25.727560, 26.064490, 25.536530, 25.441880, 24.521670", \
+					  "25.404441, 25.744601, 25.747251, 25.362411, 25.362161, 24.824891");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.839250, 27.111930, 27.437990, 27.581310, 27.677960, 27.744920", \
+					  "25.847786, 27.113926, 27.435616, 27.582266, 27.670716, 27.683766", \
+					  "25.891537, 27.015867, 27.504307, 27.545847, 27.720577, 27.853607");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.896616, 25.301606, 25.434696, 25.268936, 25.043706, 24.733706", \
+					  "23.887540, 25.388900, 25.451570, 25.152810, 25.193450, 24.711270", \
+					  "23.974571, 25.247941, 25.305811, 25.244101, 25.077411, 24.788191");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.997140, 22.990350, 23.927640, 24.755490, 25.442730, 25.935100", \
+					  "21.756846, 23.134556, 23.837936, 24.809546, 25.377536, 25.929276", \
+					  "21.643257, 23.008997, 23.783397, 24.735167, 25.418167, 25.845737");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.611319, 13.311289, 12.595049, 12.373979, 11.634609, 10.111955", \
+					  "11.892407, 11.566527, 11.010287, 10.547827, 9.551637, 8.800197", \
+					  "10.713313, 10.407203, 10.124823, 9.592203, 9.171343, 8.633383");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.685687, 0.683011, 0.680627, 0.679596, 0.678988, 0.678581", \
+					  "0.387657, 0.534061, 0.570020, 0.585755, 0.594596, 0.600214", \
+					  "0.678716, 0.863185, 0.933091, 0.963451, 0.980290, 0.990976");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.470539, 13.201739, 12.838269, 12.361129, 11.781129, 11.282599", \
+					  "11.780807, 11.479347, 11.195837, 10.868087, 10.146027, 9.670417", \
+					  "10.601623, 10.344753, 9.845363, 9.588703, 9.090243, 8.493493");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.683202, 0.683671, 0.682542, 0.681590, 0.680935, 0.680467", \
+					  "0.372680, 0.530105, 0.571883, 0.590890, 0.601682, 0.608632", \
+					  "0.654730, 0.933019, 0.983254, 1.004951, 1.017142, 1.024905");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("19.684539, 19.393909, 19.174439, 18.871289, 18.568889, 18.190239", \
+					  "17.912747, 17.787017, 17.434297, 17.203107, 16.892957, 16.131157", \
+					  "16.828323, 16.630443, 16.405163, 15.909793, 15.751093, 15.330923");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.174041, 1.165814, 1.165597, 1.164623, 1.163715, 1.162959", \
+					  "1.885535, 2.002825, 2.043571, 2.067641, 2.083447, 2.094260", \
+					  "3.762766, 4.468931, 4.694971, 4.807110, 4.874048, 4.918449");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.599759, 19.078589, 18.885899, 18.613199, 18.297939, 17.815819", \
+					  "16.877517, 17.342767, 17.150107, 16.913857, 16.464707, 16.287527", \
+					  "15.756993, 16.212333, 16.030933, 15.753523, 15.335493, 15.120873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.175928, 1.179379, 1.162575, 1.161396, 1.160447, 1.159674", \
+					  "1.829773, 1.983463, 2.029835, 2.048699, 2.058539, 2.064544", \
+					  "3.763490, 4.457637, 4.737753, 4.854853, 4.927092, 4.972481");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.784339, 16.564299, 16.296869, 15.894739, 15.653749, 14.782499", \
+					  "15.110977, 14.820027, 14.379467, 14.214087, 13.164917, 12.403787", \
+					  "13.888823, 13.665573, 13.363133, 12.986933, 12.601983, 12.133063");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.118004, 1.117097, 1.116200, 1.115777, 1.115502, 1.115293", \
+					  "1.834839, 2.182118, 2.270269, 2.312032, 2.336465, 2.352512", \
+					  "2.359238, 2.847329, 2.978527, 3.039016, 3.073682, 3.096103");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.380539, 16.452459, 16.055859, 15.770359, 15.312679, 14.769449", \
+					  "14.645687, 14.677977, 14.354627, 13.839707, 13.728427, 12.647107", \
+					  "13.430213, 13.483953, 13.217863, 12.952363, 12.279463, 12.062663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.111684, 1.127343, 1.127575, 1.126380, 1.125025, 1.123853", \
+					  "1.837106, 2.122348, 2.233834, 2.289262, 2.322196, 2.343218", \
+					  "2.375494, 2.829798, 2.957014, 3.014422, 3.047028, 3.067803");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.698459, 22.799149, 22.489639, 22.117949, 21.754749, 21.524699", \
+					  "21.021857, 20.949957, 20.689787, 20.372797, 20.081467, 19.689587", \
+					  "19.885383, 19.786563, 19.437993, 19.223523, 18.946313, 18.499043");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.602437, 1.603618, 1.614863, 1.610426, 1.602458, 1.597351", \
+					  "3.285988, 3.533710, 3.682647, 3.798817, 3.851135, 3.880589", \
+					  "5.371829, 6.262102, 6.586791, 6.758397, 6.864411, 6.935736");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.168679, 21.990809, 22.009019, 21.857029, 21.492789, 21.231889", \
+					  "19.356217, 20.393507, 20.291077, 20.038457, 19.770657, 19.465567", \
+					  "18.231093, 19.163233, 19.124993, 18.924483, 18.538463, 18.205243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.605456, 1.601070, 1.601097, 1.590588, 1.587699, 1.586888", \
+					  "3.257748, 3.562997, 3.735594, 3.801228, 3.836810, 3.858497", \
+					  "5.429732, 6.329733, 6.650349, 6.826948, 6.931717, 7.002204");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.026239, 14.064259, 14.063689, 13.902369, 14.451479, 14.706999", \
+					  "12.321717, 12.403257, 12.412877, 12.362327, 12.530707, 12.576647", \
+					  "11.211563, 11.273883, 11.303243, 11.286343, 11.338493, 11.350153");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.449925, -37.046493, -74.546033, -112.045903, -149.545803, -187.045803", \
+					  "-0.001173, -37.675584, -75.219334, -112.738974, -150.250074, -187.757274", \
+					  "-0.235017, -37.923658, -75.470418, -112.991558, -150.503458, -188.011158");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("13.057749, 13.648409, 13.824489, 13.939559, 14.111359, 14.227699", \
+					  "11.340977, 11.955957, 12.188257, 12.161507, 11.977207, 12.275957", \
+					  "10.213873, 10.837913, 11.058633, 11.057393, 10.952123, 11.129803");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.438627, -37.059043, -74.558403, -112.058103, -149.557803, -187.057703", \
+					  "0.057917, -37.559284, -75.090344, -112.604674, -150.112974, -187.618374", \
+					  "-0.026973, -37.647528, -75.174388, -112.685358, -150.191358, -187.694958");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.820989, 19.311149, 19.398219, 19.428469, 19.444769, 19.462979", \
+					  "17.131257, 17.618377, 17.714287, 17.742837, 17.759397, 17.770247", \
+					  "15.952213, 16.469853, 16.626673, 16.649743, 16.649133, 16.614743");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.955335, -36.524163, -74.023813, -111.523903, -149.024003, -186.524103", \
+					  "2.761556, -34.736784, -72.586084, -109.918574, -147.445474, -185.077874", \
+					  "2.950249, -34.808718, -72.399148, -109.943458, -147.470858, -184.988758");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("15.938929, 16.409849, 17.084199, 17.725599, 18.096529, 18.369509", \
+					  "14.177637, 14.677577, 15.375757, 16.035087, 16.396407, 16.677887", \
+					  "12.975983, 13.504363, 14.261383, 14.879463, 15.322683, 15.549293");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.951787, -36.541293, -74.039133, -111.538303, -149.038003, -186.538003", \
+					  "2.820124, -34.866924, -72.442394, -109.979974, -147.502074, -185.016374", \
+					  "2.967075, -34.718698, -72.301118, -109.840158, -147.363558, -184.879358");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.659029, 16.866609, 16.908989, 16.931049, 16.943079, 16.946999", \
+					  "14.958747, 15.150437, 15.212077, 15.250367, 15.231487, 15.230527", \
+					  "13.844983, 14.084083, 14.103723, 14.120643, 14.133473, 14.095223");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.973653, -36.525173, -74.025193, -111.525203, -149.025203, -186.525303", \
+					  "1.609918, -36.064074, -73.615554, -111.140974, -148.656174, -186.166274", \
+					  "1.460228, -36.211778, -73.763918, -111.289458, -148.804658, -186.314658");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("14.570859, 15.247679, 15.866959, 16.187119, 16.363619, 16.438489", \
+					  "12.837307, 13.550227, 14.169777, 14.491967, 14.688997, 14.777567", \
+					  "11.654483, 12.387733, 13.025543, 13.360163, 13.591623, 13.580603");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("0.953414, -36.552913, -74.053113, -111.553003, -149.052903, -186.552803", \
+					  "1.606748, -36.089334, -73.647634, -111.175174, -148.691074, -186.201374", \
+					  "1.495719, -36.241788, -73.814418, -111.344958, -148.862758, -186.374058");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.998059, 21.828579, 22.150829, 22.120889, 22.151309, 22.175639", \
+					  "19.339777, 20.174347, 20.462377, 20.423327, 20.487817, 20.480217", \
+					  "18.213453, 19.046713, 19.294943, 19.325513, 19.332613, 19.372133");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.490781, -36.005573, -73.530063, -111.032803, -148.533703, -186.034103", \
+					  "4.320138, -33.336414, -70.979174, -108.517974, -146.042174, -183.558674", \
+					  "4.364826, -33.410948, -70.931758, -108.446658, -145.957158, -183.464858");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.600209, 17.982769, 18.637919, 19.309089, 19.880629, 20.244909", \
+					  "15.793677, 16.224777, 16.889197, 17.573097, 18.172177, 18.554817", \
+					  "14.611603, 15.063473, 15.733483, 16.418713, 16.997183, 17.460043");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("1.475011, -36.021273, -73.526083, -111.024903, -148.524103, -186.023603", \
+					  "4.331312, -33.395224, -70.986404, -108.541174, -146.076374, -183.600074", \
+					  "4.536335, -33.213088, -70.785748, -108.327058, -145.898658, -183.418558");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.939112, 39.604799, 39.269775, 38.690939, 37.943084, 37.065277", \
+					  "38.935728, 39.584293, 39.137705, 38.443545, 38.084668, 36.624093", \
+					  "38.970764, 39.451042, 39.198422, 38.706087, 37.861362, 36.998382");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("39.224173, 40.798425, 41.643132, 42.207315, 42.597938, 42.848785", \
+					  "39.074654, 40.785677, 41.625597, 42.219690, 42.531379, 42.888520", \
+					  "39.039962, 40.747847, 41.546467, 42.156901, 42.734122, 42.875814");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.760838, -18.685460, -56.494599, -94.305307, -132.225624, -170.324035", \
+					  "18.263094, -19.338312, -57.257680, -95.077446, -133.121949, -171.237618", \
+					  "17.106026, -20.560269, -58.386331, -96.242142, -134.167259, -172.083460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("17.603563, 18.065038, 18.385796, 18.584315, 18.819693, 18.959786", \
+					  "16.574053, 17.275823, 17.695282, 17.941855, 18.116133, 18.268291", \
+					  "16.634269, 17.707469, 18.241172, 18.545890, 18.754092, 18.895081");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.671389, 6.341292, 8.003804, 9.663312, 11.322940, 12.988240", \
+					  "4.777940, 6.447793, 8.107398, 9.772504, 11.426340, 13.085580", \
+					  "4.856459, 6.525815, 8.189864, 9.848959, 11.510760, 13.168150");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.921157, 5.163303, 8.440547, 11.712080, 14.980990, 18.235380", \
+					  "1.925355, 5.150127, 8.426831, 11.695830, 14.955080, 18.232670", \
+					  "1.923592, 5.151587, 8.400638, 11.702550, 14.975610, 18.252130");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.779650, 5.946779, 7.061733, 8.170054, 9.277948, 10.387240", \
+					  "4.920888, 6.088343, 7.202027, 8.312530, 9.421093, 10.527970", \
+					  "5.108125, 6.275468, 7.389849, 8.498900, 9.606810, 10.715810");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.500856, 3.453001, 5.501647, 7.572172, 9.666421, 11.761310", \
+					  "1.500603, 3.452981, 5.500028, 7.572846, 9.652571, 11.743020", \
+					  "1.499298, 3.445762, 5.486747, 7.573548, 9.648878, 11.748090");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("5.672408, 7.615440, 9.296945, 10.956540, 12.618880, 14.275530", \
+					  "5.778372, 7.723391, 9.403648, 11.062720, 12.723530, 14.385870", \
+					  "5.855152, 7.799886, 9.480211, 11.142450, 12.803700, 14.461940");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.130487, 5.884317, 8.891269, 11.994540, 15.205280, 18.422990", \
+					  "3.129967, 5.887407, 8.872649, 11.971300, 15.202880, 18.434660", \
+					  "3.136655, 5.883478, 8.868335, 11.996500, 15.228050, 18.420800");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.968585, 7.202959, 9.068237, 10.607270, 11.964050, 13.224730", \
+					  "5.110184, 7.342813, 9.204937, 10.748630, 12.107860, 13.362870", \
+					  "5.296981, 7.530057, 9.391352, 10.935310, 12.292210, 13.550420");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.412489, 5.775600, 8.157569, 10.237280, 12.247680, 14.143990", \
+					  "2.411188, 5.778132, 8.159865, 10.231300, 12.205050, 14.141230", \
+					  "2.409791, 5.768588, 8.157086, 10.236560, 12.215810, 14.133350");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.034211, 4.626237, 5.185955, 5.741261, 6.295854, 6.849766", \
+					  "4.139842, 4.732628, 5.291739, 5.847608, 6.401777, 6.955626", \
+					  "4.218402, 4.811219, 5.370485, 5.925507, 6.480109, 7.034517");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.842163, 1.837670, 2.890065, 3.959414, 5.049103, 6.139923", \
+					  "0.841070, 1.835344, 2.894155, 3.960338, 5.049815, 6.140940", \
+					  "0.841836, 1.835790, 2.891420, 3.971274, 5.056584, 6.146347");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.232683, 4.783843, 5.200472, 5.586831, 5.964302, 6.336131", \
+					  "4.373943, 4.925079, 5.341709, 5.728278, 6.105608, 6.478508", \
+					  "4.561127, 5.112342, 5.528972, 5.915548, 6.292893, 6.665803");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.824755, 1.482360, 2.100858, 2.745713, 3.408354, 4.087621", \
+					  "0.824800, 1.479007, 2.100779, 2.742659, 3.407679, 4.079394", \
+					  "0.825023, 1.479428, 2.100281, 2.742119, 3.406967, 4.080140");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.279188, 5.579378, 6.339522, 6.967926, 7.553803, 8.119604", \
+					  "4.385039, 5.686401, 6.446702, 7.074523, 7.659491, 8.225306", \
+					  "4.464397, 5.763692, 6.525342, 7.152629, 7.738453, 8.303886");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.769944, 3.055794, 3.969447, 4.866167, 5.801989, 6.759560", \
+					  "1.768854, 3.057452, 3.969594, 4.861592, 5.799591, 6.757707", \
+					  "1.770553, 3.052273, 3.971061, 4.864938, 5.794484, 6.760487");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.152367, 4.934913, 5.697053, 6.443608, 7.151736, 7.809778", \
+					  "4.293611, 5.076097, 5.838855, 6.584764, 7.291997, 7.951931", \
+					  "4.480980, 5.263300, 6.026138, 6.771797, 7.479021, 8.138391");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.879755, 2.280497, 3.576009, 4.679270, 5.632514, 6.479118", \
+					  "0.879847, 2.279395, 3.575382, 4.676339, 5.632359, 6.481660", \
+					  "0.879866, 2.278782, 3.579579, 4.673977, 5.631225, 6.478677");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.207014, 5.058848, 5.892160, 6.725530, 7.555308, 8.386369", \
+					  "4.313682, 5.165480, 5.998573, 6.830499, 7.661014, 8.491807", \
+					  "4.392744, 5.244195, 6.077805, 6.909260, 7.738874, 8.569672");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.086571, 2.646880, 4.259041, 5.893638, 7.542503, 9.170807", \
+					  "1.088282, 2.645451, 4.264122, 5.889639, 7.529227, 9.165160", \
+					  "1.088344, 2.647669, 4.253073, 5.898797, 7.529122, 9.167654");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.394010, 5.078075, 5.657774, 6.218102, 6.774553, 7.329976", \
+					  "4.535295, 5.219640, 5.799031, 6.359674, 6.915460, 7.470529", \
+					  "4.723591, 5.407151, 5.986045, 6.546938, 7.103021, 7.659338");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.008819, 1.939307, 2.912436, 3.909185, 4.936966, 5.962730", \
+					  "1.008847, 1.939207, 2.910104, 3.916345, 4.929947, 5.969662", \
+					  "1.008983, 1.939369, 2.911522, 3.917288, 4.935571, 5.964186");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.753110, 6.194663, 7.139448, 7.999526, 8.838396, 9.670047", \
+					  "4.857694, 6.296860, 7.243635, 8.106406, 8.944552, 9.775611", \
+					  "4.937829, 6.376151, 7.323001, 8.185419, 9.024101, 9.854911");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.220832, 3.763574, 5.116339, 6.542309, 8.042449, 9.562346", \
+					  "2.223266, 3.767444, 5.110985, 6.533522, 8.027170, 9.579443", \
+					  "2.220915, 3.766928, 5.109454, 6.531307, 8.029052, 9.576017");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.345164, 5.500297, 6.626021, 7.662721, 8.588960, 9.417097", \
+					  "4.486404, 5.641533, 6.766703, 7.804125, 8.731496, 9.564163", \
+					  "4.673538, 5.828402, 6.954298, 7.991336, 8.913594, 9.751142");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.255987, 3.321975, 4.978676, 6.322038, 7.496853, 8.608173", \
+					  "1.256144, 3.322673, 4.977651, 6.314683, 7.499729, 8.585868", \
+					  "1.256043, 3.324912, 4.982474, 6.324380, 7.505682, 8.594072");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.946201, 4.417800, 4.842097, 5.260436, 5.677284, 6.093078", \
+					  "4.051969, 4.523390, 4.947852, 5.365625, 5.782461, 6.198248", \
+					  "4.130480, 4.601656, 5.026179, 5.444425, 5.861314, 6.277180");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.744067, 1.444914, 2.214862, 3.014807, 3.814303, 4.640635", \
+					  "0.742369, 1.443648, 2.213165, 3.014454, 3.815794, 4.617711", \
+					  "0.744090, 1.445702, 2.219027, 3.013374, 3.809592, 4.629479");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.110614, 4.608696, 4.948733, 5.253323, 5.543473, 5.827617", \
+					  "4.251854, 4.749943, 5.089973, 5.394564, 5.684905, 5.968944", \
+					  "4.439244, 4.936949, 5.277434, 5.581943, 5.872280, 6.156317");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.710286, 1.253389, 1.717087, 2.178757, 2.658321, 3.154944", \
+					  "0.710168, 1.253373, 1.714427, 2.183421, 2.663582, 3.149099", \
+					  "0.710645, 1.252757, 1.713754, 2.183616, 2.662705, 3.146625");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.028797, 5.191592, 5.884332, 6.414952, 6.887016, 7.331807", \
+					  "4.134797, 5.296157, 5.991570, 6.520934, 6.992554, 7.436510", \
+					  "4.213739, 5.374867, 6.069969, 6.601454, 7.071228, 7.513904");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.452760, 2.648753, 3.398144, 4.069912, 4.740185, 5.432661", \
+					  "1.452793, 2.649242, 3.394866, 4.070648, 4.737061, 5.431623", \
+					  "1.452814, 2.649235, 3.393050, 4.070727, 4.738666, 5.437895");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.041890, 4.642068, 5.217238, 5.786809, 6.344730, 6.879907", \
+					  "4.183178, 4.783239, 5.358645, 5.928413, 6.485601, 7.020160", \
+					  "4.370635, 4.970686, 5.545984, 6.115894, 6.673047, 7.207667");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.691501, 1.739008, 2.770547, 3.699085, 4.509845, 5.245411", \
+					  "0.691306, 1.733437, 2.771062, 3.696977, 4.505241, 5.232205", \
+					  "0.691424, 1.732900, 2.771249, 3.696305, 4.503272, 5.234560");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.028631, 5.692728, 7.350981, 9.014600, 10.669730, 12.327570", \
+					  "4.105474, 5.769528, 7.429919, 9.086724, 10.745730, 12.410070", \
+					  "4.168347, 5.832371, 7.492853, 9.149621, 10.808710, 12.473460");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.939797, 5.155011, 8.426242, 11.701380, 14.946970, 18.199250", \
+					  "1.937042, 5.146916, 8.429378, 11.701740, 14.961320, 18.248180", \
+					  "1.936709, 5.146461, 8.429311, 11.701970, 14.959970, 18.246320");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("5.014523, 6.971993, 8.652291, 10.313320, 11.971420, 13.635200", \
+					  "5.092414, 7.049520, 8.732073, 10.390950, 12.053070, 13.711350", \
+					  "5.152028, 7.115719, 8.795176, 10.456710, 12.112720, 13.770460");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.209855, 5.940527, 8.899497, 12.006740, 15.193070, 18.426870", \
+					  "3.210270, 5.941953, 8.897652, 12.025550, 15.211890, 18.447190", \
+					  "3.214439, 5.935941, 8.888339, 12.020760, 15.202710, 18.416700");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.479721, 4.070015, 4.625860, 5.180161, 5.732586, 6.285241", \
+					  "3.569234, 4.159507, 4.715085, 5.269467, 5.821867, 6.374547", \
+					  "3.642111, 4.232561, 4.788535, 5.342420, 5.895583, 6.448577");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.918303, 1.860298, 2.903631, 3.965479, 5.056414, 6.142451", \
+					  "0.922307, 1.860209, 2.903566, 3.969236, 5.056217, 6.142503", \
+					  "0.923423, 1.862731, 2.906174, 3.961595, 5.055821, 6.143328");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.682323, 5.011060, 5.780809, 6.416259, 7.000523, 7.566916", \
+					  "3.771913, 5.100731, 5.870894, 6.506403, 7.092000, 7.658280", \
+					  "3.845180, 5.173934, 5.943851, 6.579417, 7.165094, 7.731453");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.802003, 3.128294, 4.057066, 4.949193, 5.864726, 6.806816", \
+					  "1.803156, 3.126542, 4.058500, 4.949477, 5.853001, 6.819175", \
+					  "1.803004, 3.126731, 4.057872, 4.949516, 5.850394, 6.820094");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.547906, 4.393061, 5.223819, 6.053790, 6.882372, 7.712790", \
+					  "3.624729, 4.469793, 5.300320, 6.130664, 6.959421, 7.789666", \
+					  "3.687282, 4.532512, 5.362884, 6.193409, 7.022278, 7.852427");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.126780, 2.656338, 4.255096, 5.894347, 7.529215, 9.159759", \
+					  "1.127112, 2.656681, 4.256621, 5.892814, 7.529386, 9.166356", \
+					  "1.126672, 2.657418, 4.257593, 5.891003, 7.529406, 9.166506");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("4.047419, 5.521111, 6.474715, 7.335403, 8.172371, 9.005912", \
+					  "4.125036, 5.597947, 6.550896, 7.413464, 8.252353, 9.084573", \
+					  "4.188133, 5.661072, 6.614143, 7.475866, 8.314740, 9.147711");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.273891, 3.848932, 5.184888, 6.586234, 8.061797, 9.581126", \
+					  "2.274233, 3.851052, 5.184712, 6.597740, 8.069668, 9.587035", \
+					  "2.274285, 3.851350, 5.185095, 6.594093, 8.070290, 9.591948");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.347577, 3.824544, 4.245822, 4.662456, 5.078002, 5.492925", \
+					  "3.433298, 3.911834, 4.333421, 4.749671, 5.165184, 5.580091", \
+					  "3.502138, 3.981818, 4.403335, 4.819241, 5.235204, 5.650186");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.840955, 1.488576, 2.242390, 3.020741, 3.826857, 4.637500", \
+					  "0.841503, 1.491843, 2.244482, 3.021246, 3.829199, 4.639727", \
+					  "0.841877, 1.491570, 2.240735, 3.028575, 3.817588, 4.628520");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.398533, 4.568452, 5.279927, 5.816511, 6.291729, 6.735437", \
+					  "3.486180, 4.654170, 5.366009, 5.903535, 6.378779, 6.824317", \
+					  "3.556451, 4.724736, 5.436760, 5.974272, 6.449967, 6.895386");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.472954, 2.716038, 3.481406, 4.160239, 4.825615, 5.498535", \
+					  "1.475576, 2.717398, 3.479401, 4.157780, 4.825460, 5.499283", \
+					  "1.475669, 2.714423, 3.479373, 4.162833, 4.820622, 5.495611");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.080088, 4.248550, 5.360337, 6.469989, 7.578719, 8.685657", \
+					  "3.186053, 4.354257, 5.466025, 6.575824, 7.684497, 8.791318", \
+					  "3.266863, 4.434934, 5.546816, 6.656589, 7.765253, 8.872112");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.543286, 3.460135, 5.500910, 7.575953, 9.648192, 11.737380", \
+					  "1.542380, 3.467569, 5.500728, 7.574134, 9.658228, 11.729530", \
+					  "1.542313, 3.467752, 5.500737, 7.573323, 9.661863, 11.727420");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("3.246159, 5.477533, 7.354595, 8.902813, 10.268200, 11.524120", \
+					  "3.351157, 5.582491, 7.459882, 9.005559, 10.368000, 11.630640", \
+					  "3.431896, 5.663244, 7.540655, 9.086357, 10.448900, 11.711580");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.414907, 5.789995, 8.180339, 10.276260, 12.257570, 14.186400", \
+					  "2.414045, 5.792059, 8.184729, 10.270810, 12.257090, 14.175140", \
+					  "2.414050, 5.792010, 8.184691, 10.271210, 12.257550, 14.174600");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.654454, 3.232588, 3.653988, 4.041951, 4.419412, 4.791933", \
+					  "2.759660, 3.337705, 3.759108, 4.147072, 4.524519, 4.897031", \
+					  "2.840567, 3.418694, 3.840074, 4.228033, 4.605484, 4.978000");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.873157, 1.536422, 2.153162, 2.785392, 3.433815, 4.101029", \
+					  "0.872823, 1.536501, 2.153211, 2.785354, 3.433254, 4.101396", \
+					  "0.873769, 1.536454, 2.153042, 2.785309, 3.433388, 4.101224");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.594008, 3.366979, 4.125339, 4.869138, 5.578025, 6.243722", \
+					  "2.699125, 3.472089, 4.230431, 4.974249, 5.683146, 6.348450", \
+					  "2.780066, 3.553101, 4.310341, 5.055119, 5.764109, 6.429501");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.925537, 2.295386, 3.594182, 4.688957, 5.647486, 6.506379", \
+					  "0.925508, 2.295393, 3.593871, 4.688783, 5.645326, 6.505499", \
+					  "0.925989, 2.292742, 3.594814, 4.693104, 5.653499, 6.506921");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.660687, 3.351490, 3.930617, 4.491151, 5.046903, 5.603126", \
+					  "2.765579, 3.456478, 4.036193, 4.597039, 5.153117, 5.707956", \
+					  "2.846430, 3.537250, 4.116959, 4.677567, 5.234261, 5.788143");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.054025, 1.974965, 2.930880, 3.929208, 4.942328, 5.970969", \
+					  "1.055152, 1.976814, 2.930805, 3.923993, 4.937113, 5.974098", \
+					  "1.054738, 1.975992, 2.927115, 3.927624, 4.944195, 5.965664");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.601017, 3.745605, 4.870088, 5.912977, 6.841240, 7.684155", \
+					  "2.706045, 3.850615, 4.975288, 6.017914, 6.950692, 7.788381", \
+					  "2.786856, 3.931462, 5.055948, 6.098732, 7.031199, 7.869461");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.269200, 3.329468, 5.000361, 6.340962, 7.532612, 8.626412", \
+					  "1.269295, 3.333884, 4.997346, 6.341917, 7.530071, 8.619350", \
+					  "1.268106, 3.332573, 4.990997, 6.358894, 7.532376, 8.624636");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.477014, 2.988701, 3.338520, 3.645986, 3.937477, 4.221990", \
+					  "2.582629, 3.094007, 3.443854, 3.751218, 4.042701, 4.327123", \
+					  "2.663479, 3.174670, 3.524502, 3.831971, 4.123461, 4.407955");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.771321, 1.303001, 1.767493, 2.230897, 2.704123, 3.185571", \
+					  "0.771385, 1.302612, 1.765510, 2.227897, 2.700783, 3.190564", \
+					  "0.771788, 1.303000, 1.767491, 2.230794, 2.703957, 3.186036");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.420715, 3.015731, 3.586294, 4.153115, 4.711754, 5.249682", \
+					  "2.526324, 3.121105, 3.691364, 4.258653, 4.816724, 5.354489", \
+					  "2.606698, 3.201723, 3.772286, 4.339102, 4.897730, 5.435658");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("0.764035, 1.765123, 2.790296, 3.718011, 4.540668, 5.273768", \
+					  "0.766168, 1.763185, 2.787811, 3.720507, 4.539640, 5.269480", \
+					  "0.764117, 1.765126, 2.790257, 3.718108, 4.540608, 5.273720");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.751158, 1.751159, 1.751160, 1.751161, 1.751162, 1.751163", \
+					  "1.891092, 1.891093, 1.891094, 1.891095, 1.891096, 1.891097", \
+					  "2.073661, 2.073662, 2.073663, 2.073664, 2.073665, 2.073666");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.735964, 1.735965, 1.735966, 1.735967, 1.735968, 1.735969", \
+					  "1.877115, 1.877116, 1.877117, 1.877118, 1.877119, 1.877120", \
+					  "2.059563, 2.059564, 2.059565, 2.059566, 2.059567, 2.059568");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.904807, 1.904808, 1.904809, 1.904810, 1.904811, 1.904812", \
+					  "2.043665, 2.043666, 2.043667, 2.043668, 2.043669, 2.043670", \
+					  "2.230968, 2.230969, 2.230970, 2.230971, 2.230972, 2.230973");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.897593, 1.897594, 1.897595, 1.897596, 1.897597, 1.897598", \
+					  "2.033316, 2.033317, 2.033318, 2.033319, 2.033320, 2.033321", \
+					  "2.219751, 2.219752, 2.219753, 2.219754, 2.219755, 2.219756");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.741803, 1.741804, 1.741805, 1.741806, 1.741807, 1.741808", \
+					  "1.877718, 1.877719, 1.877720, 1.877721, 1.877722, 1.877723", \
+					  "2.066124, 2.067462, 2.067463, 2.067464, 2.067465, 2.067466");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.732314, 1.732315, 1.732316, 1.732317, 1.732318, 1.732319", \
+					  "1.859499, 1.859500, 1.859501, 1.859502, 1.859503, 1.859504", \
+					  "2.056226, 2.056227, 2.056228, 2.056229, 2.056230, 2.056231");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.874179, 1.874180, 1.874181, 1.874182, 1.874183, 1.874184", \
+					  "2.012368, 2.012369, 2.012370, 2.012371, 2.012372, 2.012373", \
+					  "2.201298, 2.201299, 2.201300, 2.201301, 2.201302, 2.201303");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("1.870031, 1.870032, 1.870033, 1.870034, 1.870035, 1.870036", \
+					  "2.007711, 2.007712, 2.007713, 2.007714, 2.007715, 2.007716", \
+					  "2.195106, 2.195107, 2.195108, 2.195109, 2.195110, 2.195111");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.099117, 2.099118, 2.099119, 2.099120, 2.099121, 2.099122", \
+					  "2.241233, 2.241234, 2.241235, 2.241236, 2.241237, 2.241238", \
+					  "2.428290, 2.428789, 2.428790, 2.428791, 2.428792, 2.428793");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.102021, 2.102022, 2.102023, 2.102024, 2.102025, 2.102026", \
+					  "2.239634, 2.239635, 2.239636, 2.239637, 2.239638, 2.239639", \
+					  "2.426692, 2.426693, 2.426694, 2.426695, 2.426696, 2.426697");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.426968, 2.426969, 2.426970, 2.426971, 2.426972, 2.426973", \
+					  "2.569356, 2.569357, 2.569358, 2.569359, 2.569360, 2.569361", \
+					  "2.759580, 2.759581, 2.759582, 2.759583, 2.759584, 2.759585");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.429224, 2.429225, 2.429226, 2.429227, 2.429228, 2.429229", \
+					  "2.568390, 2.568391, 2.568392, 2.568393, 2.568394, 2.568395", \
+					  "2.759674, 2.759675, 2.759676, 2.759677, 2.759678, 2.759679");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.080333, 2.080334, 2.080335, 2.080336, 2.080337, 2.080338", \
+					  "2.220368, 2.220369, 2.220370, 2.220371, 2.220372, 2.220373", \
+					  "2.408652, 2.409775, 2.409776, 2.409777, 2.409778, 2.409779");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.084994, 2.084995, 2.084996, 2.084997, 2.084998, 2.084999", \
+					  "2.223547, 2.223548, 2.223549, 2.223550, 2.223551, 2.223552", \
+					  "2.411413, 2.411896, 2.411897, 2.411898, 2.411899, 2.411900");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.408674, 2.408675, 2.408676, 2.408677, 2.408678, 2.408679", \
+					  "2.550752, 2.550753, 2.550754, 2.550755, 2.550756, 2.550757", \
+					  "2.742989, 2.742990, 2.742991, 2.742992, 2.742993, 2.742994");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("3.095415, 9.095415, 15.095415, 21.095415, 27.095415, 33.095415");
+					values("2.409070, 2.409071, 2.409072, 2.409073, 2.409074, 2.409075", \
+					  "2.548207, 2.548208, 2.548209, 2.548210, 2.548211, 2.548212", \
+					  "2.740283, 2.740284, 2.740285, 2.740286, 2.740287, 2.740288");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-10.986680, -10.912520, -10.964100");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("11.012840, 11.160420, 11.151230");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.060288, 1.060834, 1.061327, 1.059078, 1.061203, 1.064638", \
+					  "0.748079, 0.731843, 0.692690, 0.653410, 0.699872, 0.820300", \
+					  "0.746911, 0.740829, 0.758013, 0.718602, 0.770590, 0.713480");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.282316, 1.301441, 1.321883, 1.349746, 1.353681, 1.380278", \
+					  "1.074817, 1.076030, 1.123297, 1.118481, 1.185499, 1.102450", \
+					  "1.046244, 1.051243, 1.097820, 1.139012, 1.092787, 1.156238");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.196552, 1.192485, 1.200721, 1.201523, 1.202907, 1.204162", \
+					  "0.883010, 0.883956, 0.848229, 0.825735, 0.882667, 0.894321", \
+					  "0.884994, 0.886138, 0.906168, 0.886329, 0.890749, 0.892087");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.365432, 1.390498, 1.417765, 1.457713, 1.470306, 1.469583", \
+					  "1.180157, 1.173558, 1.208647, 1.218509, 1.217569, 1.197748", \
+					  "1.121476, 1.123992, 1.231813, 1.183493, 1.238375, 1.116370");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.606880, 0.603900, 0.600080, 0.585970, 0.577950, 0.567750", \
+					  "0.568750, 0.566250, 0.562550, 0.539040, 0.540770, 0.529700", \
+					  "0.621910, 0.618630, 0.614970, 0.587060, 0.584490, 0.574650");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.780120, 0.804280, 0.836540, 0.889270, 0.904650, 0.914940", \
+					  "0.444650, 0.468400, 0.501230, 0.554680, 0.568720, 0.578440", \
+					  "0.501430, 0.525460, 0.558330, 0.609670, 0.625990, 0.636380");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.792890, 0.790440, 0.787970, 0.773180, 0.761810, 0.761560", \
+					  "0.754520, 0.752580, 0.747320, 0.733890, 0.724180, 0.714060", \
+					  "0.886210, 0.883760, 0.878040, 0.862410, 0.856640, 0.856240");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.112660, 1.142590, 1.188960, 1.286440, 1.316760, 1.340440", \
+					  "0.711860, 0.741330, 0.787650, 0.883560, 0.917230, 0.941400", \
+					  "0.738100, 0.767610, 0.815220, 0.914330, 0.945520, 0.969350");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.128420, 1.126660, 1.131024, 1.130300, 1.132055, 1.134400", \
+					  "0.815545, 0.807899, 0.770460, 0.739572, 0.791270, 0.857311", \
+					  "0.815952, 0.813484, 0.832091, 0.802466, 0.830669, 0.802783");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.323874, 1.345970, 1.369824, 1.403729, 1.411993, 1.424930", \
+					  "1.127487, 1.124794, 1.165972, 1.168495, 1.201534, 1.150099", \
+					  "1.083860, 1.087617, 1.164817, 1.161252, 1.165581, 1.136304");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.699885, 0.697170, 0.694025, 0.679575, 0.669880, 0.664655", \
+					  "0.661635, 0.659415, 0.654935, 0.636465, 0.632475, 0.621880", \
+					  "0.754060, 0.751195, 0.746505, 0.724735, 0.720565, 0.715445");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("0.946390, 0.973435, 1.012750, 1.087855, 1.110705, 1.127690", \
+					  "0.578255, 0.604865, 0.644440, 0.719120, 0.742975, 0.759920", \
+					  "0.619765, 0.646535, 0.686775, 0.762000, 0.785755, 0.802865");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.789367, 2.888932, 3.006854, 3.343136, 3.505418, 3.667151", \
+					  "2.914315, 3.013378, 3.131785, 3.468144, 3.630356, 3.792103", \
+					  "3.026143, 3.125932, 3.243507, 3.579599, 3.742264, 3.903725");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.273570, 0.394991, 0.566362, 1.146847, 1.450803, 1.760296", \
+					  "0.273082, 0.398613, 0.566729, 1.146764, 1.449021, 1.761350", \
+					  "0.273556, 0.395731, 0.566121, 1.149508, 1.452264, 1.761320");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.406604, 2.480913, 2.566408, 2.798474, 2.905083, 3.009848", \
+					  "2.559004, 2.633154, 2.718680, 2.950865, 3.057425, 3.162178", \
+					  "2.746251, 2.820328, 2.905918, 3.138003, 3.244594, 3.349373");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.152169, 0.238228, 0.350567, 0.711975, 0.894085, 1.078835", \
+					  "0.151583, 0.238460, 0.349599, 0.711290, 0.893281, 1.079115", \
+					  "0.151304, 0.238677, 0.350521, 0.711743, 0.893865, 1.078895");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.887031, 2.987899, 3.105681, 3.441701, 3.603730, 3.765518", \
+					  "3.011614, 3.111490, 3.229002, 3.564981, 3.727482, 3.888780", \
+					  "3.124458, 3.225449, 3.342783, 3.678575, 3.841191, 4.002674");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.273560, 0.397918, 0.566032, 1.148487, 1.458013, 1.760406", \
+					  "0.273535, 0.396603, 0.567651, 1.151477, 1.454018, 1.761403", \
+					  "0.278153, 0.397510, 0.565970, 1.150088, 1.451949, 1.760695");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.558298, 2.632226, 2.718532, 2.950440, 3.056959, 3.161588", \
+					  "2.709824, 2.784231, 2.869956, 3.101722, 3.208223, 3.312929", \
+					  "2.897616, 2.971632, 3.057905, 3.289854, 3.396374, 3.500962");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.151338, 0.238933, 0.351154, 0.711772, 0.893491, 1.079356", \
+					  "0.151857, 0.239239, 0.349901, 0.711230, 0.893452, 1.078983", \
+					  "0.151333, 0.238799, 0.351127, 0.711538, 0.894099, 1.079030");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.952792, 2.052578, 2.170420, 2.507589, 2.669598, 2.830808", \
+					  "2.074197, 2.173408, 2.291338, 2.628498, 2.790596, 2.952528", \
+					  "2.168740, 2.268315, 2.386193, 2.722954, 2.884043, 3.045246");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.271778, 0.394596, 0.564747, 1.148122, 1.451818, 1.758887", \
+					  "0.271658, 0.393976, 0.563171, 1.150717, 1.452909, 1.759292", \
+					  "0.271763, 0.393987, 0.564726, 1.151648, 1.450608, 1.756115");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.080167, 2.154070, 2.239781, 2.471042, 2.578185, 2.683040", \
+					  "2.040618, 2.114854, 2.200184, 2.432526, 2.539093, 2.643614", \
+					  "2.193589, 2.267438, 2.353151, 2.585184, 2.691694, 2.796473");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.151761, 0.236668, 0.349193, 0.711763, 0.894560, 1.078795", \
+					  "0.151523, 0.237495, 0.349827, 0.710668, 0.892817, 1.079045", \
+					  "0.151845, 0.236936, 0.348682, 0.711414, 0.892332, 1.076448");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.087609, 2.187618, 2.305997, 2.641807, 2.803426, 2.965792", \
+					  "2.229041, 2.328836, 2.445974, 2.783820, 2.946124, 3.107460", \
+					  "2.378931, 2.479169, 2.596239, 2.932339, 3.094405, 3.255797");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.272414, 0.392743, 0.564981, 1.148218, 1.453980, 1.759948", \
+					  "0.271843, 0.393661, 0.563264, 1.150061, 1.455704, 1.759383", \
+					  "0.271363, 0.394231, 0.562920, 1.148778, 1.451468, 1.756743");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("3.350785, 3.423757, 3.510018, 3.742441, 3.849164, 3.953799", \
+					  "3.089192, 3.163120, 3.248965, 3.480713, 3.587356, 3.691884", \
+					  "3.201254, 3.274855, 3.360452, 3.592977, 3.699635, 3.804175");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.152509, 0.237596, 0.349521, 0.711346, 0.892641, 1.079263", \
+					  "0.152411, 0.237430, 0.349754, 0.711660, 0.892373, 1.078475", \
+					  "0.152537, 0.237650, 0.349575, 0.711114, 0.893543, 1.079240");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_3v30.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_3v30.lib
new file mode 100644
index 0000000..2be4f80
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_3v30.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 1.993120e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.001993";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023162;
+			rise_capacitance_range(0.022485,0.024166);
+			capacitance : 0.023079;
+			fall_capacitance : 0.022995;
+			fall_capacitance_range(0.022026,0.023673);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.200894, 1.138501, 1.158017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.356275, 1.079638, 1.057671");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.031899;
+			rise_capacitance_range(0.031110,0.032962);
+			capacitance : 0.031767;
+			fall_capacitance : 0.031635;
+			fall_capacitance_range(0.030618,0.032147);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.200296, 1.402887, 1.431804");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.400867, 1.535693, 1.574616");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.014741;
+			rise_capacitance_range(0.013761,0.015595);
+			capacitance : 0.014425;
+			fall_capacitance : 0.014109;
+			fall_capacitance_range(0.013334,0.014669);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.262798, 0.734078, 0.743520");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.296104, 0.640173, 0.683786");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.087794;
+			rise_capacitance_range(0.081911,0.097646);
+			capacitance : 0.087467;
+			fall_capacitance : 0.085843;
+			fall_capacitance_range(0.080879,0.090523);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.078829;
+			rise_capacitance_range(0.063884,0.090321);
+			capacitance : 0.078932;
+			fall_capacitance : 0.079034;
+			fall_capacitance_range(0.060668,0.095409);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.261971, 0.202499, 0.196808");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.832744, 1.425233, 1.553308");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.047780;
+			rise_capacitance_range(0.047327,0.048470);
+			capacitance : 0.049423;
+			fall_capacitance : 0.051066;
+			fall_capacitance_range(0.048901,0.053233);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.011991, 0.817879, 0.824389");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.724896, 1.305015, 1.319454");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.036957;
+			rise_capacitance_range(0.035376,0.038598);
+			capacitance : 0.036918;
+			fall_capacitance : 0.036879;
+			fall_capacitance_range(0.035940,0.037749);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.129304, -0.121866, -0.123302");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.149265, 0.153391, 0.152415");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030143;
+			rise_capacitance_range(0.029667,0.030390);
+			capacitance : 0.030504;
+			fall_capacitance : 0.030864;
+			fall_capacitance_range(0.030379,0.031592);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.106263, -0.106545, -0.105837");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.113362, 0.109963, 0.106411");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032186;
+			rise_capacitance_range(0.031676,0.032449);
+			capacitance : 0.032576;
+			fall_capacitance : 0.032965;
+			fall_capacitance_range(0.032438,0.033797);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.124388, -0.125019, -0.124541");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.132872, 0.128874, 0.125145");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.977816;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.676234, 24.411824, 24.028134, 23.291704, 22.416454, 21.385144", \
+					  "24.657236, 24.447666, 23.913046, 23.541896, 21.788846, 21.899466", \
+					  "24.681202, 24.359882, 23.994152, 22.411812, 21.581112, 19.752102");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.136205, 27.408135, 27.506635, 27.507285, 27.525185, 27.529365", \
+					  "27.135059, 27.342739, 27.494019, 27.306749, 27.563969, 27.548939", \
+					  "27.138465, 27.422465, 27.537515, 27.420235, 27.271535, 27.780715");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.501014, 24.337014, 23.823334, 23.138864, 22.172384, 21.232554", \
+					  "24.504476, 24.294526, 23.958846, 22.456526, 21.084976, 21.392756", \
+					  "24.537722, 24.287792, 23.641442, 23.247142, 21.718952, 20.364252");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.580945, 26.681325, 27.011115, 27.132605, 27.226475, 27.156965", \
+					  "25.410919, 26.660469, 27.312129, 27.121289, 26.757119, 26.925139", \
+					  "25.442985, 26.692685, 27.010015, 27.171465, 27.149645, 27.169595");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("38.901544, 39.248464, 39.223594, 39.142974, 38.808124, 38.146844", \
+					  "38.938416, 39.263496, 39.076646, 38.978176, 38.482236, 38.108536", \
+					  "38.982002, 39.279632, 39.023082, 38.776182, 38.477102, 37.806862");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("40.153915, 41.431735, 42.007475, 42.133175, 42.333635, 42.419905", \
+					  "40.313729, 41.709619, 42.239289, 41.779779, 42.724769, 41.515289", \
+					  "39.983725, 41.644675, 41.870075, 42.481485, 42.116215, 42.463145");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.878354, 38.768344, 38.714624, 38.470884, 38.044514, 37.534184", \
+					  "36.813516, 38.702226, 38.380676, 38.415366, 37.947746, 37.536586", \
+					  "37.004532, 38.333682, 38.781832, 38.624592, 38.450702, 37.566572");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("34.836055, 37.232265, 38.409195, 39.476035, 40.359145, 40.530995", \
+					  "34.808269, 36.571219, 38.096069, 39.456369, 40.115189, 40.672149", \
+					  "34.484545, 36.499885, 38.100885, 39.366755, 40.213495, 40.710885");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.749604, 31.901844, 31.578184, 31.118854, 30.499214, 30.093034", \
+					  "31.782416, 31.810906, 31.655196, 31.004456, 30.836716, 28.385326", \
+					  "31.693622, 31.812192, 31.216842, 31.143882, 30.133932, 32.081912");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("33.942045, 34.678265, 34.874525, 34.996145, 35.019115, 35.153425", \
+					  "33.834069, 34.671969, 34.848889, 35.049739, 34.796599, 35.075269", \
+					  "33.988635, 34.760875, 34.815565, 34.967225, 35.017955, 35.083935");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.915324, 31.481824, 31.191964, 30.840354, 30.381774, 29.396244", \
+					  "30.901506, 31.608946, 31.310696, 30.875306, 30.539426, 30.275096", \
+					  "30.896342, 31.228242, 31.150162, 30.672732, 30.713402, 28.524942");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.314485, 32.004755, 33.160985, 33.736365, 34.070575, 34.268655", \
+					  "30.284889, 32.273489, 33.277679, 33.702289, 34.073749, 34.211909", \
+					  "30.043955, 32.010215, 33.199775, 33.780295, 34.045105, 34.194555");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("45.672214, 46.319324, 46.428434, 46.294294, 45.975814, 45.645144", \
+					  "45.586806, 46.389826, 46.479416, 46.312926, 45.969026, 45.652496", \
+					  "45.579812, 46.394132, 46.383332, 46.304192, 45.962642, 45.648812");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("46.015105, 48.493375, 49.020385, 49.569245, 49.413865, 49.761525", \
+					  "46.180229, 48.473079, 48.575639, 49.930259, 48.749949, 50.230009", \
+					  "45.904645, 48.275315, 48.739785, 49.686845, 48.866085, 49.820645");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("42.427354, 45.360414, 45.952584, 45.774684, 45.309584, 44.905704", \
+					  "42.424876, 45.386416, 45.525956, 45.091546, 45.261816, 44.679036", \
+					  "42.409302, 45.376942, 45.554902, 45.244772, 45.303102, 44.657412");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("39.565265, 41.560425, 43.886535, 44.901125, 45.841395, 46.703775", \
+					  "39.455669, 41.517229, 43.505119, 44.911659, 45.777549, 46.684539", \
+					  "38.986935, 41.132595, 43.805355, 44.771795, 45.799505, 46.322135");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.616766, 23.234356, 22.507036, 21.675536, 20.725986, 19.989966", \
+					  "19.246430, 18.810260, 18.350320, 17.422720, 16.350960, 15.505890", \
+					  "18.888766, 18.474786, 17.987516, 17.401136, 16.244066, 15.162296");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.148200, 2.140257, 2.135179, 2.128516, 2.129931, 2.130747", \
+					  "1.267343, 1.712810, 1.845990, 1.888023, 1.912453, 1.928483", \
+					  "0.928142, 1.431338, 1.550840, 1.437691, 1.480885, 1.511877");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.384436, 23.055076, 22.432476, 21.476446, 21.014756, 19.850406", \
+					  "19.078370, 18.562510, 18.017760, 17.648870, 16.602370, 15.360780", \
+					  "18.723056, 18.332476, 17.625336, 17.455966, 15.543226, 15.713966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.111310, 2.131847, 2.130210, 2.127877, 2.126260, 2.124776", \
+					  "1.278930, 1.688176, 1.802941, 1.857630, 1.890469, 1.916164", \
+					  "0.926713, 1.424847, 1.555565, 1.613175, 1.645140, 1.665369");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("35.036046, 34.815526, 34.437286, 34.082216, 33.698166, 32.798996", \
+					  "30.646520, 30.508040, 29.990160, 29.601510, 29.158570, 28.667540", \
+					  "30.269396, 30.036276, 29.650416, 29.238946, 28.876416, 28.254276");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.656335, 3.649866, 3.647486, 3.644637, 3.641029, 3.637783", \
+					  "6.940224, 8.338404, 8.751744, 8.955504, 9.080114, 9.161544", \
+					  "6.706128, 7.932098, 8.383818, 8.585968, 8.698478, 8.771878");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.991416, 34.090266, 33.712246, 33.446816, 32.980426, 32.413586", \
+					  "28.538120, 29.657860, 29.285650, 29.031760, 28.508670, 28.064570", \
+					  "28.173266, 29.277786, 29.063846, 28.692996, 28.122476, 27.566766");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.632212, 3.700013, 3.672782, 3.638539, 3.626344, 3.621713", \
+					  "6.775494, 8.022584, 8.368494, 8.795764, 9.007164, 9.121424", \
+					  "6.773668, 8.049168, 8.677868, 8.612238, 8.738398, 8.822098");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.087256, 29.794016, 29.350496, 28.913256, 28.201566, 27.525436", \
+					  "25.602890, 25.253550, 24.842310, 24.317120, 23.679060, 22.767900", \
+					  "25.232956, 24.940226, 24.361166, 23.877626, 23.797306, 22.338896");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.825978, 3.832016, 3.836013, 3.846551, 3.844509, 3.842720", \
+					  "4.322637, 5.263947, 5.496202, 5.624744, 5.701287, 5.752315", \
+					  "3.985628, 4.906166, 5.214651, 5.354820, 5.429993, 5.475395");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("29.187806, 29.269276, 28.934296, 28.529846, 27.832586, 27.191486", \
+					  "24.682280, 24.898500, 24.447680, 23.904450, 23.441910, 22.057850", \
+					  "24.194106, 24.580236, 24.127136, 23.521176, 22.842986, 22.283046");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("3.810354, 3.828301, 3.833359, 3.834883, 3.834500, 3.833758", \
+					  "4.336092, 5.283578, 5.518725, 5.654999, 5.727900, 5.773568", \
+					  "4.027893, 4.968300, 5.218355, 5.347793, 5.425433, 5.477552");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("41.466806, 41.258806, 40.884706, 40.477756, 39.972886, 39.580496", \
+					  "36.613130, 36.767530, 36.267720, 35.911220, 35.461270, 35.120440", \
+					  "36.317756, 36.322066, 36.053156, 35.496606, 35.113006, 34.553096");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.308802, 5.359033, 5.366032, 5.369413, 5.372029, 5.373743", \
+					  "9.877804, 11.645454, 12.149534, 12.469664, 12.667614, 12.798154", \
+					  "9.482798, 11.239748, 11.898898, 12.221868, 12.417078, 12.543838");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("37.949056, 39.429066, 40.142536, 39.497636, 39.368116, 39.005526", \
+					  "33.416830, 35.429390, 35.337160, 35.230160, 34.639960, 34.302620", \
+					  "33.038676, 35.213636, 35.119236, 34.808236, 34.300546, 33.887336");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.352421, 5.379873, 5.380817, 5.369138, 5.354814, 5.348879", \
+					  "9.904924, 11.517324, 12.166924, 12.462784, 12.519974, 12.726234", \
+					  "9.623018, 11.382418, 11.949968, 12.129758, 12.260768, 12.350418");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("23.533456, 23.736486, 23.671536, 23.888556, 23.409216, 24.792656", \
+					  "19.308830, 19.447690, 19.423710, 19.683320, 19.223860, 20.264900", \
+					  "19.019946, 19.123036, 19.228886, 19.111396, 19.414806, 19.682886");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.014966, -63.370886, -128.728916, -194.079016, -259.425216, -324.769316", \
+					  "-0.001314, -65.501036, -130.930536, -196.308536, -261.669136, -327.021836", \
+					  "-0.812511, -65.741152, -131.293692, -196.649192, -261.995492, -327.338292");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("22.006316, 23.013386, 23.354616, 23.462866, 23.143466, 23.802616", \
+					  "17.711540, 18.744510, 19.078600, 19.160670, 18.966320, 19.409180", \
+					  "17.369326, 18.450606, 18.725026, 18.800576, 18.906986, 18.711046");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("2.046795, -63.408946, -128.776116, -194.211116, -259.560416, -324.906516", \
+					  "-0.115775, -65.538766, -131.019236, -196.395336, -261.755736, -327.108936", \
+					  "-0.244101, -66.312822, -131.733192, -197.128292, -262.501692, -327.863792");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("32.315226, 33.280536, 33.409216, 33.485066, 33.499906, 33.536386", \
+					  "28.013720, 28.952030, 29.193220, 29.209820, 29.250660, 29.246790", \
+					  "27.715546, 28.653726, 28.897686, 28.924136, 28.956636, 28.947706");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.742125, -60.684736, -126.059116, -191.417616, -256.769116, -322.116916", \
+					  "5.278257, -60.418886, -125.886536, -191.289736, -256.667936, -322.034236", \
+					  "4.967513, -60.647822, -126.085892, -191.470792, -256.839392, -322.197892");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("27.414586, 28.620686, 29.845106, 30.669666, 31.280856, 31.655736", \
+					  "22.998870, 24.250030, 25.508020, 26.410380, 27.031860, 27.437160", \
+					  "22.593816, 23.910216, 25.205916, 26.093046, 26.733966, 27.130596");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.659852, -60.771766, -126.160716, -191.520916, -256.874416, -322.223516", \
+					  "5.390553, -60.317496, -125.738336, -191.146136, -256.525136, -321.890636", \
+					  "5.078850, -60.527082, -125.966592, -191.466792, -256.840192, -322.247392");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.323596, 28.691246, 28.827896, 28.843516, 28.979316, 28.833156", \
+					  "24.029190, 24.411210, 24.554350, 24.608740, 24.725710, 24.560210", \
+					  "23.821826, 24.195156, 24.270216, 24.310296, 24.362416, 24.354946");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.277089, -61.207206, -126.597716, -191.963216, -257.318316, -322.668416", \
+					  "2.680781, -62.953616, -128.377136, -193.759136, -259.124336, -324.481236", \
+					  "1.871145, -63.093792, -129.402592, -194.604192, -259.829892, -324.760692");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("24.890956, 26.147986, 27.151556, 27.710946, 27.779556, 28.100226", \
+					  "20.496240, 21.927160, 22.868340, 23.402880, 23.651520, 23.717820", \
+					  "20.197396, 21.645686, 22.618496, 23.089556, 23.346216, 23.420396");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("4.210023, -61.291966, -126.690916, -192.061016, -257.418816, -322.770616", \
+					  "2.837073, -62.915576, -128.344436, -193.624136, -258.987236, -324.342736", \
+					  "2.531717, -63.442072, -128.891392, -194.280892, -259.645792, -324.999192");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.371536, 38.014626, 38.332996, 38.421506, 38.477206, 38.507246", \
+					  "32.104830, 33.745120, 34.062560, 34.164220, 34.211330, 34.234920", \
+					  "31.788766, 33.549886, 33.747016, 33.935746, 33.876936, 34.064066");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.947841, -58.502286, -123.890716, -189.258416, -254.616016, -319.968116", \
+					  "7.991184, -57.730026, -123.201036, -188.611536, -253.995836, -319.366236", \
+					  "7.648558, -58.066872, -123.553792, -188.969592, -254.353192, -319.719992");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("30.457656, 31.510406, 32.778716, 33.895056, 34.727056, 35.423256", \
+					  "26.060170, 27.106080, 28.322290, 29.649530, 30.389080, 31.100060", \
+					  "25.553296, 26.720816, 28.031436, 29.280936, 30.083796, 30.800246");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.817033, -58.631106, -123.958316, -189.460316, -254.809116, -320.151716", \
+					  "8.134404, -57.569886, -123.127136, -188.568636, -253.951136, -319.315136", \
+					  "7.750628, -58.055302, -123.245092, -188.534292, -253.803292, -319.104692");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("68.930410, 70.457263, 70.235213, 69.518153, 68.401965, 67.084713", \
+					  "68.902312, 70.476002, 70.075119, 69.169049, 67.977697, 66.982324", \
+					  "68.946134, 70.268124, 69.936436, 69.106326, 68.085237, 66.600717");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("69.386005, 72.372570, 73.969212, 74.862995, 75.447347, 75.881152", \
+					  "69.355708, 72.304953, 73.837208, 74.814533, 75.139723, 75.715811", \
+					  "68.993473, 72.109678, 73.769742, 74.911525, 75.119885, 75.886403");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("36.179414, -29.115314, -94.807681, -160.734015, -226.624617, -292.652404", \
+					  "31.252467, -34.132206, -100.010704, -165.829422, -231.854215, -297.964175", \
+					  "30.453722, -34.838679, -100.773054, -166.576418, -232.621114, -298.559032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("31.894868, 32.879570, 33.421689, 33.792091, 33.903249, 34.320675", \
+					  "29.428355, 31.507013, 32.388955, 32.999834, 33.244664, 33.643616", \
+					  "28.814238, 30.947901, 31.896830, 32.356125, 32.722241, 32.966289");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.210643, 4.361239, 5.505371, 6.647099, 7.791081, 8.933081", \
+					  "3.288399, 4.440467, 5.582530, 6.725686, 7.867619, 9.012238", \
+					  "3.323463, 4.475320, 5.618004, 6.761925, 7.904259, 9.049476");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.333759, 3.616074, 5.932574, 8.257108, 10.598030, 12.941110", \
+					  "1.332438, 3.614749, 5.930419, 8.250054, 10.586350, 12.910060", \
+					  "1.333062, 3.613289, 5.934474, 8.247685, 10.571870, 12.893010");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.316531, 4.181237, 5.005797, 5.827308, 6.647747, 7.468604", \
+					  "3.452466, 4.317497, 5.141741, 5.962919, 6.784676, 7.605824", \
+					  "3.615660, 4.480202, 5.304829, 6.126648, 6.947130, 7.767239");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.058469, 2.535147, 4.076908, 5.618324, 7.179503, 8.742538", \
+					  "1.059945, 2.534061, 4.068705, 5.618250, 7.182955, 8.735387", \
+					  "1.059921, 2.533302, 4.070867, 5.619893, 7.161548, 8.751102");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.876697, 5.293056, 6.460187, 7.604524, 8.746168, 9.888325", \
+					  "3.954378, 5.371267, 6.536814, 7.682533, 8.824808, 9.966966", \
+					  "3.989469, 5.405968, 6.571527, 7.717345, 8.859012, 10.001260");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.231423, 4.218610, 6.330278, 8.547974, 10.786430, 13.066640", \
+					  "2.231173, 4.222314, 6.319020, 8.521735, 10.778140, 13.063080", \
+					  "2.231422, 4.219546, 6.318134, 8.524303, 10.779440, 13.065810");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.476290, 5.074491, 6.368014, 7.456998, 8.432994, 9.346653", \
+					  "3.612437, 5.211697, 6.503288, 7.592785, 8.569411, 9.482675", \
+					  "3.775575, 5.373340, 6.666436, 7.755667, 8.732523, 9.645553");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.715368, 4.058047, 5.789876, 7.329675, 8.799988, 10.250400", \
+					  "1.715209, 4.059401, 5.782016, 7.314193, 8.799738, 10.251600", \
+					  "1.715086, 4.061858, 5.785064, 7.331191, 8.797388, 10.240550");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.767840, 3.185419, 3.572334, 3.955186, 4.337191, 4.718016", \
+					  "2.846018, 3.263808, 3.650105, 4.032546, 4.414199, 4.795528", \
+					  "2.880977, 3.298723, 3.684953, 4.067581, 4.448534, 4.829872");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.612800, 1.302504, 2.047821, 2.801921, 3.581444, 4.345621", \
+					  "0.615353, 1.302254, 2.043926, 2.808369, 3.567941, 4.337012", \
+					  "0.616229, 1.302423, 2.044475, 2.809242, 3.573518, 4.345142");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.929433, 3.327515, 3.634366, 3.920121, 4.199477, 4.475610", \
+					  "3.065212, 3.463679, 3.770460, 4.056385, 4.335640, 4.611755", \
+					  "3.228971, 3.626720, 3.933614, 4.219366, 4.498790, 4.774600");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.570125, 1.058837, 1.530812, 2.017849, 2.519725, 3.025106", \
+					  "0.570536, 1.060338, 1.532706, 2.021263, 2.520049, 3.024871", \
+					  "0.569054, 1.058913, 1.530973, 2.016841, 2.519314, 3.028196");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.890317, 3.831355, 4.392838, 4.847772, 5.260985, 5.655233", \
+					  "2.969159, 3.908264, 4.470903, 4.925408, 5.338600, 5.735254", \
+					  "3.003802, 3.942735, 4.507309, 4.960530, 5.374009, 5.769810");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.228092, 2.199841, 2.881771, 3.523028, 4.188354, 4.872601", \
+					  "1.224079, 2.201301, 2.877937, 3.524004, 4.190908, 4.862966", \
+					  "1.226417, 2.201312, 2.877129, 3.525926, 4.185618, 4.863291");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.886488, 3.467413, 4.026152, 4.555825, 5.048495, 5.506505", \
+					  "3.022596, 3.603744, 4.162362, 4.692084, 5.184632, 5.642778", \
+					  "3.185356, 3.766545, 4.325072, 4.854676, 5.347683, 5.804422");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.633783, 1.662956, 2.555123, 3.314682, 3.984590, 4.599923", \
+					  "0.632753, 1.661006, 2.553177, 3.314843, 3.986204, 4.600003", \
+					  "0.632830, 1.660600, 2.554620, 3.316260, 3.989459, 4.595839");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.893525, 3.485969, 4.060071, 4.633099, 5.203499, 5.778837", \
+					  "2.971206, 3.563997, 4.137787, 4.710760, 5.282466, 5.854006", \
+					  "3.006575, 3.599370, 4.172656, 4.746044, 5.317421, 5.888523");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.775504, 1.867391, 3.005345, 4.158008, 5.323220, 6.488393", \
+					  "0.774516, 1.861765, 3.009526, 4.160435, 5.314332, 6.468844", \
+					  "0.773972, 1.865259, 3.009667, 4.158874, 5.313114, 6.470612");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.042175, 3.544605, 3.972881, 4.388516, 4.800059, 5.211316", \
+					  "3.178334, 3.680747, 4.108922, 4.524722, 4.936437, 5.347533", \
+					  "3.341558, 3.843673, 4.272407, 4.687911, 5.099539, 5.510370");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.697910, 1.406079, 2.144569, 2.902355, 3.668053, 4.434983", \
+					  "0.697513, 1.405010, 2.143644, 2.898715, 3.666950, 4.437161", \
+					  "0.697337, 1.409498, 2.141537, 2.898380, 3.667113, 4.440417");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.213049, 4.282549, 4.967001, 5.572643, 6.153436, 6.727317", \
+					  "3.291388, 4.363256, 5.045075, 5.651021, 6.231946, 6.805438", \
+					  "3.326277, 4.395316, 5.080020, 5.684518, 6.265452, 6.840842");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.557186, 2.722178, 3.694696, 4.702263, 5.763382, 6.837984", \
+					  "1.558087, 2.720823, 3.693903, 4.701524, 5.749653, 6.834363", \
+					  "1.558105, 2.722548, 3.690669, 4.703966, 5.757436, 6.833187");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.028219, 3.883092, 4.685184, 5.403965, 6.045196, 6.625478", \
+					  "3.164363, 4.019038, 4.821579, 5.540414, 6.179568, 6.763456", \
+					  "3.327473, 4.181811, 4.984393, 5.703328, 6.344971, 6.925924");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.904276, 2.373258, 3.515524, 4.473800, 5.328806, 6.122882", \
+					  "0.904280, 2.370929, 3.518404, 4.469089, 5.322857, 6.122066", \
+					  "0.904246, 2.374572, 3.515864, 4.478899, 5.325858, 6.122030");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.700941, 3.038145, 3.332055, 3.620883, 3.907917, 4.193942", \
+					  "2.778622, 3.116259, 3.410648, 3.699816, 3.985833, 4.271937", \
+					  "2.813358, 3.150991, 3.444979, 3.733676, 4.020684, 4.306744");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.548911, 1.033029, 1.576099, 2.137855, 2.708118, 3.281556", \
+					  "0.547775, 1.032381, 1.575449, 2.139526, 2.707719, 3.280815", \
+					  "0.547697, 1.032814, 1.575659, 2.137972, 2.708321, 3.282092");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.848521, 3.201331, 3.450159, 3.674784, 3.889414, 4.099650", \
+					  "2.984654, 3.337365, 3.586310, 3.810853, 4.025469, 4.235708", \
+					  "3.147653, 3.500133, 3.749351, 3.973916, 4.188528, 4.398726");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.493347, 0.888900, 1.240057, 1.597268, 1.962511, 2.333326", \
+					  "0.493270, 0.888700, 1.241412, 1.596662, 1.958957, 2.326665", \
+					  "0.493254, 0.888227, 1.241199, 1.596220, 1.959309, 2.326118");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.723220, 3.541762, 4.058472, 4.450972, 4.789829, 5.104576", \
+					  "2.801673, 3.619987, 4.136168, 4.527625, 4.867539, 5.182542", \
+					  "2.836348, 3.654731, 4.170998, 4.563166, 4.902280, 5.217320");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.995065, 1.900607, 2.462070, 2.956551, 3.441947, 3.929606", \
+					  "0.995010, 1.900783, 2.461830, 2.955060, 3.439988, 3.931017", \
+					  "0.994986, 1.900723, 2.461819, 2.954808, 3.439415, 3.931657");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.806491, 3.253023, 3.678587, 4.091451, 4.486381, 4.859751", \
+					  "2.942624, 3.388954, 3.814673, 4.227688, 4.622285, 4.995529", \
+					  "3.105358, 3.551575, 3.977226, 4.390450, 4.785114, 5.159262");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.500566, 1.276489, 2.003372, 2.634777, 3.201187, 3.714057", \
+					  "0.500591, 1.274755, 2.004577, 2.638240, 3.201242, 3.711676", \
+					  "0.500930, 1.276071, 2.003639, 2.638799, 3.200871, 3.708398");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.793777, 3.940844, 5.082526, 6.225856, 7.368722, 8.515762", \
+					  "2.838190, 3.984405, 5.127073, 6.270252, 7.411685, 8.554523", \
+					  "2.862421, 4.007766, 5.151265, 6.294408, 7.437843, 8.578687");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.345229, 3.610226, 5.937367, 8.266110, 10.591720, 12.887150", \
+					  "1.346140, 3.615205, 5.947111, 8.267373, 10.576360, 12.894420", \
+					  "1.346083, 3.614375, 5.921734, 8.263881, 10.589550, 12.915470");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("3.449518, 4.875780, 6.042923, 7.186503, 8.329278, 9.471555", \
+					  "3.494349, 4.921055, 6.085987, 7.229922, 8.373932, 9.516039", \
+					  "3.518386, 4.944924, 6.110420, 7.254752, 8.397377, 9.538645");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.275587, 4.262769, 6.340457, 8.529192, 10.790200, 13.070520", \
+					  "2.277054, 4.256416, 6.336426, 8.526261, 10.809780, 13.060160", \
+					  "2.277763, 4.261512, 6.338284, 8.537193, 10.787200, 13.071460");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.402015, 2.817528, 3.201650, 3.582667, 3.963765, 4.344034", \
+					  "2.461136, 2.876694, 3.260562, 3.642185, 4.022599, 4.403975", \
+					  "2.491641, 2.907935, 3.291555, 3.672214, 4.053713, 4.434377");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.661975, 1.321493, 2.056546, 2.805905, 3.575970, 4.346004", \
+					  "0.663031, 1.321734, 2.054112, 2.813788, 3.577941, 4.346774", \
+					  "0.663841, 1.321760, 2.053158, 2.810911, 3.576019, 4.345096");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.506788, 3.454254, 4.022353, 4.480378, 4.894568, 5.290097", \
+					  "2.567603, 3.513095, 4.081978, 4.540108, 4.954444, 5.350012", \
+					  "2.598447, 3.544477, 4.115502, 4.571179, 4.985712, 5.382081");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.237202, 2.246364, 2.931080, 3.577090, 4.225648, 4.897443", \
+					  "1.237330, 2.245088, 2.931358, 3.577320, 4.225539, 4.896864", \
+					  "1.237009, 2.242554, 2.933647, 3.575301, 4.228436, 4.905161");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.466652, 3.054104, 3.626123, 4.196516, 4.767454, 5.338724", \
+					  "2.510640, 3.097723, 3.670540, 4.240395, 4.812399, 5.383370", \
+					  "2.534601, 3.121509, 3.694644, 4.264474, 4.836541, 5.407452");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.805617, 1.875429, 3.007987, 4.161017, 5.324983, 6.484370", \
+					  "0.805939, 1.873911, 3.007338, 4.162540, 5.318796, 6.487763", \
+					  "0.804206, 1.871679, 3.008627, 4.161414, 5.319384, 6.487989");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.759051, 3.844870, 4.534922, 5.140984, 5.721573, 6.295494", \
+					  "2.803371, 3.892037, 4.578909, 5.184293, 5.765341, 6.340300", \
+					  "2.827834, 3.917291, 4.603371, 5.208789, 5.789760, 6.364686");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.583383, 2.773299, 3.745406, 4.738303, 5.777323, 6.853758", \
+					  "1.586257, 2.774791, 3.742015, 4.736348, 5.784080, 6.845587", \
+					  "1.586177, 2.773002, 3.738957, 4.739682, 5.781571, 6.856209");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.307480, 2.647591, 2.939374, 3.226234, 3.512709, 3.798410", \
+					  "2.362465, 2.704252, 2.995831, 3.282624, 3.569413, 3.855107", \
+					  "2.391655, 2.734251, 3.026226, 3.313222, 3.599531, 3.885095");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.607444, 1.063120, 1.591327, 2.146771, 2.715639, 3.286206", \
+					  "0.607112, 1.067398, 1.594589, 2.148726, 2.713965, 3.283378", \
+					  "0.607078, 1.067406, 1.595327, 2.146510, 2.717038, 3.288159");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.319611, 3.132669, 3.658727, 4.051873, 4.394566, 4.710710", \
+					  "2.376288, 3.188723, 3.714557, 4.110399, 4.452152, 4.768879", \
+					  "2.406905, 3.218417, 3.745408, 4.140909, 4.482466, 4.799125");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.007336, 1.937569, 2.511145, 3.006604, 3.492192, 3.977419", \
+					  "1.009390, 1.935235, 2.510673, 3.009395, 3.491177, 3.973281", \
+					  "1.009740, 1.936117, 2.511145, 3.008086, 3.491516, 3.972661");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.128053, 2.992474, 3.816250, 4.637625, 5.458239, 6.279480", \
+					  "2.204225, 3.069132, 3.892796, 4.713255, 5.535175, 6.356163", \
+					  "2.243120, 3.107907, 3.931518, 4.752234, 5.573836, 6.394091");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.084549, 2.541581, 4.072047, 5.626411, 7.189450, 8.752283", \
+					  "1.085509, 2.542961, 4.072928, 5.613402, 7.183476, 8.748142", \
+					  "1.085456, 2.543243, 4.073103, 5.619583, 7.172832, 8.746882");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("2.270860, 3.869623, 5.169941, 6.261005, 7.242766, 8.156825", \
+					  "2.347476, 3.946295, 5.246549, 6.337736, 7.319510, 8.233583", \
+					  "2.385758, 3.984249, 5.285661, 6.376544, 7.353430, 8.272432");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.726032, 4.073374, 5.799238, 7.340867, 8.820966, 10.270970", \
+					  "1.725867, 4.073451, 5.799225, 7.341729, 8.820374, 10.270240", \
+					  "1.727057, 4.076543, 5.801236, 7.349744, 8.819346, 10.266670");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.828632, 2.241330, 2.550667, 2.837455, 3.116027, 3.392292", \
+					  "1.905151, 2.317893, 2.627210, 2.913994, 3.192553, 3.468901", \
+					  "1.943907, 2.356684, 2.666005, 2.952789, 3.231390, 3.507723");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.602778, 1.098225, 1.567065, 2.047624, 2.534319, 3.038465", \
+					  "0.602938, 1.097868, 1.567058, 2.047711, 2.535284, 3.039100", \
+					  "0.602969, 1.097788, 1.567057, 2.047774, 2.535613, 3.039317");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.796798, 2.369279, 2.923291, 3.452892, 3.947465, 4.407384", \
+					  "1.873388, 2.445824, 2.999821, 3.529436, 4.023756, 4.483788", \
+					  "1.912112, 2.484609, 3.038620, 3.568235, 4.062831, 4.522746");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.665286, 1.667860, 2.561154, 3.328192, 4.000231, 4.615166", \
+					  "0.664653, 1.669279, 2.561891, 3.328251, 4.001642, 4.615397", \
+					  "0.665738, 1.667741, 2.561156, 3.328240, 4.000179, 4.615231");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.832568, 2.337702, 2.765632, 3.180839, 3.592472, 4.003532", \
+					  "1.909685, 2.414706, 2.842711, 3.257962, 3.669286, 4.080529", \
+					  "1.947933, 2.452976, 2.880900, 3.296098, 3.707768, 4.118816");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.730839, 1.430085, 2.151133, 2.908439, 3.669971, 4.436867", \
+					  "0.730879, 1.428288, 2.154734, 2.908225, 3.667225, 4.439218", \
+					  "0.732075, 1.430122, 2.151058, 2.908252, 3.670076, 4.436898");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.810009, 2.655837, 3.459408, 4.179739, 4.823935, 5.408284", \
+					  "1.887186, 2.732984, 3.535640, 4.257818, 4.901635, 5.485187", \
+					  "1.925253, 2.770848, 3.574089, 4.296505, 4.940603, 5.525349");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.912511, 2.378837, 3.526790, 4.489932, 5.349244, 6.151197", \
+					  "0.911534, 2.378158, 3.532684, 4.494985, 5.344410, 6.142400", \
+					  "0.912485, 2.382329, 3.533037, 4.487118, 5.345805, 6.148095");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.707927, 2.069783, 2.324033, 2.549884, 2.765212, 2.975555", \
+					  "1.784444, 2.146726, 2.400572, 2.626473, 2.841930, 3.052337", \
+					  "1.823181, 2.185095, 2.439134, 2.665255, 2.880509, 3.091153");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.532559, 0.923995, 1.275614, 1.627493, 1.987923, 2.351320", \
+					  "0.531729, 0.924373, 1.275813, 1.626921, 1.988177, 2.355142", \
+					  "0.531822, 0.924759, 1.274871, 1.627713, 1.986726, 2.350752");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.674860, 2.115485, 2.536239, 2.948823, 3.344655, 3.720023", \
+					  "1.751655, 2.192120, 2.613471, 3.025407, 3.421643, 3.797603", \
+					  "1.790538, 2.230964, 2.652118, 3.064197, 3.460342, 3.836412");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("0.546509, 1.292431, 2.018611, 2.654910, 3.221854, 3.733439", \
+					  "0.545987, 1.294536, 2.016902, 2.654538, 3.220334, 3.731635", \
+					  "0.547895, 1.292190, 2.015979, 2.651611, 3.216479, 3.730269");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.249743, 1.249744, 1.249745, 1.249746, 1.249747, 1.249748", \
+					  "1.382606, 1.382607, 1.382608, 1.382609, 1.382610, 1.382611", \
+					  "1.546478, 1.546479, 1.546480, 1.546481, 1.546482, 1.546483");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.234875, 1.235531, 1.235532, 1.235533, 1.235534, 1.235535", \
+					  "1.373580, 1.373581, 1.373582, 1.373583, 1.373584, 1.373585", \
+					  "1.533870, 1.533871, 1.533872, 1.533873, 1.533874, 1.533875");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.364481, 1.364482, 1.364483, 1.364484, 1.364485, 1.364486", \
+					  "1.497336, 1.497337, 1.497338, 1.497339, 1.497340, 1.497341", \
+					  "1.662320, 1.662321, 1.662438, 1.662439, 1.662440, 1.662441");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.357694, 1.357695, 1.357696, 1.357697, 1.357698, 1.357699", \
+					  "1.490030, 1.490031, 1.490032, 1.490033, 1.490034, 1.490035", \
+					  "1.654385, 1.654386, 1.654387, 1.654388, 1.654389, 1.654390");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.239672, 1.239673, 1.239674, 1.239675, 1.239676, 1.239677", \
+					  "1.369165, 1.369166, 1.369167, 1.369168, 1.369169, 1.369170", \
+					  "1.538897, 1.538898, 1.538899, 1.538900, 1.538901, 1.538902");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.228603, 1.228604, 1.228605, 1.228606, 1.228607, 1.228608", \
+					  "1.363315, 1.363316, 1.363317, 1.363318, 1.363319, 1.363320", \
+					  "1.528212, 1.528213, 1.528214, 1.528215, 1.528216, 1.528217");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.341984, 1.341985, 1.341986, 1.341987, 1.341988, 1.341989", \
+					  "1.475453, 1.475454, 1.475455, 1.475456, 1.475457, 1.475458", \
+					  "1.640628, 1.640629, 1.641926, 1.641927, 1.641928, 1.641929");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.337061, 1.337062, 1.337063, 1.337064, 1.337065, 1.337066", \
+					  "1.469790, 1.469791, 1.469792, 1.469793, 1.469794, 1.469795", \
+					  "1.634165, 1.634166, 1.634167, 1.634168, 1.634169, 1.634170");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.483727, 1.483728, 1.483729, 1.483730, 1.483731, 1.483732", \
+					  "1.619498, 1.619499, 1.619500, 1.619501, 1.619502, 1.619503", \
+					  "1.790267, 1.790268, 1.790269, 1.790270, 1.790271, 1.790272");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.486903, 1.486904, 1.486905, 1.486906, 1.486907, 1.486908", \
+					  "1.621994, 1.621995, 1.621996, 1.621997, 1.621998, 1.621999", \
+					  "1.790771, 1.790772, 1.790773, 1.790774, 1.790775, 1.790776");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.701908, 1.701909, 1.701910, 1.701911, 1.701912, 1.701913", \
+					  "1.837461, 1.837462, 1.837463, 1.837464, 1.837465, 1.837466", \
+					  "2.007692, 2.007693, 2.007694, 2.007695, 2.007696, 2.007697");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.702619, 1.702620, 1.702621, 1.702622, 1.702623, 1.702624", \
+					  "1.838581, 1.838582, 1.838583, 1.838584, 1.838585, 1.838586", \
+					  "2.008246, 2.008247, 2.008248, 2.008249, 2.008250, 2.008251");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.469611, 1.469612, 1.469613, 1.469614, 1.469615, 1.469616", \
+					  "1.606753, 1.606754, 1.606755, 1.606756, 1.606757, 1.606758", \
+					  "1.775516, 1.775517, 1.775518, 1.775519, 1.775520, 1.775521");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.474128, 1.474367, 1.474368, 1.474369, 1.474370, 1.474371", \
+					  "1.609010, 1.609011, 1.609012, 1.609013, 1.609014, 1.609015", \
+					  "1.776733, 1.776734, 1.776735, 1.776736, 1.776737, 1.776738");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.686819, 1.686820, 1.686821, 1.686822, 1.686823, 1.686824", \
+					  "1.824147, 1.824148, 1.824149, 1.824150, 1.824151, 1.824152", \
+					  "1.993673, 1.993674, 1.993675, 1.993676, 1.993677, 1.993678");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.977816, 8.977816, 14.977816, 20.977816, 26.977816, 32.977816");
+					values("1.685899, 1.685900, 1.685901, 1.685902, 1.685903, 1.685904", \
+					  "1.822306, 1.822307, 1.822308, 1.822309, 1.822310, 1.822311", \
+					  "1.992403, 1.992404, 1.992405, 1.992406, 1.992407, 1.992408");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-18.435160, -18.686500, -18.421100");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("18.707760, 18.504420, 18.755360");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.862772, 1.800632, 1.864410, 1.735632, 1.674364, 1.955537", \
+					  "1.427199, 1.415787, 1.418529, 1.347284, 1.261023, 1.390354", \
+					  "1.426878, 1.319352, 1.395056, 1.363863, 1.301598, 1.370341");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.292926, 2.316753, 2.339877, 2.604459, 2.426569, 2.699396", \
+					  "1.949125, 2.044847, 1.929772, 2.202473, 2.057346, 2.031809", \
+					  "1.916424, 1.968080, 1.949079, 1.994281, 2.022616, 2.177227");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.120845, 2.130426, 2.103897, 2.120527, 2.146185, 2.051462", \
+					  "1.679346, 1.615417, 1.634408, 1.630507, 1.600713, 1.597973", \
+					  "1.686567, 1.601337, 1.666127, 1.547997, 1.554746, 1.725426");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.431296, 2.461672, 2.555872, 2.523903, 2.620906, 2.485308", \
+					  "2.110332, 2.119186, 2.197651, 2.154544, 2.118869, 2.420736", \
+					  "2.054819, 2.176866, 2.169650, 2.020939, 2.215940, 2.091417");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.181940, 1.158190, 1.139590, 1.093610, 1.066850, 1.058160", \
+					  "1.464470, 1.439100, 1.417780, 1.370370, 1.340940, 1.337350", \
+					  "1.243180, 1.202730, 1.189410, 1.125200, 1.132410, 1.125570");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.153830, 1.183300, 1.235800, 1.324580, 1.347550, 1.365440", \
+					  "1.411530, 1.441100, 1.493990, 1.582590, 1.606040, 1.624630", \
+					  "0.911860, 0.939670, 0.993020, 1.080710, 1.107580, 1.122020");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.518940, 1.495880, 1.477640, 1.436890, 1.425470, 1.398850", \
+					  "1.864090, 1.842320, 1.825260, 1.775940, 1.759050, 1.743140", \
+					  "1.698400, 1.673880, 1.656910, 1.608300, 1.586730, 1.572470");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.813060, 1.850430, 1.924960, 2.088990, 2.141800, 2.180850", \
+					  "2.078830, 2.116770, 2.192410, 2.357090, 2.411670, 2.450610", \
+					  "1.415810, 1.454790, 1.529900, 1.696570, 1.750300, 1.791950");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.991809, 1.965529, 1.984153, 1.928079, 1.910274, 2.003500", \
+					  "1.553273, 1.515602, 1.526469, 1.488895, 1.430868, 1.494163", \
+					  "1.556723, 1.460345, 1.530591, 1.455930, 1.428172, 1.547883");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("2.362111, 2.389213, 2.447875, 2.564181, 2.523738, 2.592352", \
+					  "2.029729, 2.082016, 2.063712, 2.178508, 2.088108, 2.226273", \
+					  "1.985622, 2.072473, 2.059365, 2.007610, 2.119278, 2.134322");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.350440, 1.327035, 1.308615, 1.265250, 1.246160, 1.228505", \
+					  "1.664280, 1.640710, 1.621520, 1.573155, 1.549995, 1.540245", \
+					  "1.470790, 1.438305, 1.423160, 1.366750, 1.359570, 1.349020");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("1.483445, 1.516865, 1.580380, 1.706785, 1.744675, 1.773145", \
+					  "1.745180, 1.778935, 1.843200, 1.969840, 2.008855, 2.037620", \
+					  "1.163835, 1.197230, 1.261460, 1.388640, 1.428940, 1.456985");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.941960, 2.008116, 2.087787, 2.318057, 2.428448, 2.538738", \
+					  "2.041256, 2.107295, 2.188146, 2.418236, 2.528521, 2.638759", \
+					  "2.110285, 2.175596, 2.256414, 2.486364, 2.596651, 2.706890");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.205779, 0.293826, 0.415110, 0.822824, 1.034747, 1.248057", \
+					  "0.208028, 0.294646, 0.413404, 0.821352, 1.032602, 1.249538", \
+					  "0.206902, 0.293737, 0.413917, 0.821686, 1.032305, 1.249580");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.651660, 1.706934, 1.772503, 1.950640, 2.033144, 2.114351", \
+					  "1.789986, 1.845255, 1.910348, 2.088545, 2.171204, 2.252196", \
+					  "1.945320, 2.000557, 2.066324, 2.244524, 2.326731, 2.407919");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.110873, 0.178431, 0.266697, 0.544837, 0.686674, 0.829703", \
+					  "0.110234, 0.179252, 0.267376, 0.544976, 0.686334, 0.829866", \
+					  "0.111326, 0.178503, 0.267711, 0.544224, 0.686512, 0.829039");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.013022, 2.078492, 2.159261, 2.389187, 2.499492, 2.609744", \
+					  "2.112764, 2.178294, 2.259064, 2.488996, 2.599321, 2.709578", \
+					  "2.180486, 2.246632, 2.326370, 2.556607, 2.667235, 2.777672");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.207211, 0.293673, 0.414065, 0.821884, 1.032526, 1.249540", \
+					  "0.207112, 0.293528, 0.414253, 0.822046, 1.032798, 1.249341", \
+					  "0.205836, 0.293821, 0.415170, 0.823034, 1.034723, 1.247997");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.746085, 1.801257, 1.866919, 2.044987, 2.127418, 2.208636", \
+					  "1.884066, 1.939227, 2.004686, 2.182908, 2.265407, 2.346608", \
+					  "2.040113, 2.095301, 2.160952, 2.339029, 2.421459, 2.502675");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.110734, 0.178360, 0.267809, 0.545057, 0.686615, 0.830055", \
+					  "0.110793, 0.179419, 0.267414, 0.544986, 0.686804, 0.829798", \
+					  "0.110726, 0.178351, 0.267803, 0.545114, 0.686606, 0.830039");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.376641, 1.442455, 1.522801, 1.752465, 1.863188, 1.973814", \
+					  "1.465019, 1.530384, 1.610624, 1.840572, 1.951197, 2.061912", \
+					  "1.501400, 1.566866, 1.647469, 1.877311, 1.988679, 2.098116");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.204491, 0.290646, 0.409650, 0.820174, 1.034642, 1.245558", \
+					  "0.204613, 0.289897, 0.409419, 0.821324, 1.033218, 1.248848", \
+					  "0.202984, 0.290467, 0.410744, 0.816998, 1.033192, 1.246792");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.339101, 1.393894, 1.459388, 1.637799, 1.720396, 1.801764", \
+					  "1.361568, 1.416483, 1.481898, 1.660313, 1.742844, 1.824134", \
+					  "1.540677, 1.595768, 1.661010, 1.839422, 1.921668, 2.002842");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.111338, 0.179326, 0.265436, 0.543786, 0.686494, 0.828251", \
+					  "0.111711, 0.178617, 0.265762, 0.544364, 0.685434, 0.828307", \
+					  "0.111572, 0.179280, 0.265695, 0.544034, 0.683894, 0.826406");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.474461, 1.539933, 1.619841, 1.849513, 1.960068, 2.070606", \
+					  "1.593775, 1.659432, 1.739685, 1.969890, 2.080651, 2.190839", \
+					  "1.694979, 1.760554, 1.840853, 2.070749, 2.181243, 2.292128");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.203494, 0.290094, 0.409955, 0.821301, 1.034197, 1.249220", \
+					  "0.204913, 0.289986, 0.409761, 0.821724, 1.032519, 1.248584", \
+					  "0.203224, 0.289360, 0.410299, 0.821529, 1.033690, 1.244148");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("2.141697, 2.195952, 2.261335, 2.439670, 2.522182, 2.603471", \
+					  "2.014380, 2.069343, 2.134684, 2.313153, 2.395601, 2.476816", \
+					  "2.198400, 2.253336, 2.318571, 2.497260, 2.579782, 2.661070");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.112107, 0.179748, 0.266223, 0.546671, 0.685655, 0.827727", \
+					  "0.111881, 0.179398, 0.266241, 0.544280, 0.684875, 0.826626", \
+					  "0.111645, 0.179669, 0.266890, 0.544623, 0.686389, 0.828280");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_5v00.lib b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_5v00.lib
new file mode 100644
index 0000000..0d6af05
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/lib/gf180mcu_ef_io__bi_t__tt_025C_5v00.lib
@@ -0,0 +1,1841 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+	cell ("gf180mcu_ef_io__bi_t") {
+		scaling_factors : "gf_factors";
+		area : 26250.000000;
+		pad_cell : true;
+		dont_use : true;
+		dont_touch : true;
+		cell_leakage_power : 4.574200e-03;
+		leakage_power () {
+			when : "!PDRV0 !PDRV1 !CS !SL !IE !OE !PU !PD !A !PAD";
+			value : "0.004574";
+		}
+		pin ("CS") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.023601;
+			rise_capacitance_range(0.022489,0.024297);
+			capacitance : 0.023462;
+			fall_capacitance : 0.023323;
+			fall_capacitance_range(0.022472,0.024091);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.348659, 2.990490, 3.123946");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.635188, 2.676109, 2.860928");
+				}
+			}
+		}
+		pin ("SL") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032123;
+			rise_capacitance_range(0.030938,0.032948);
+			capacitance : 0.031983;
+			fall_capacitance : 0.031844;
+			fall_capacitance_range(0.031108,0.032702);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.907158, 3.436584, 3.504103");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.399669, 3.562510, 3.659893");
+				}
+			}
+		}
+		pin ("IE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.015060;
+			rise_capacitance_range(0.013944,0.015775);
+			capacitance : 0.014811;
+			fall_capacitance : 0.014564;
+			fall_capacitance_range(0.013742,0.015390);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.234415, 1.904054, 2.009840");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("1.218411, 1.816110, 1.889536");
+				}
+			}
+		}
+		pin ("OE") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.090187;
+			rise_capacitance_range(0.083233,0.099282);
+			capacitance : 0.089811;
+			fall_capacitance : 0.087930;
+			fall_capacitance_range(0.083021,0.094881);
+		}
+		pin ("PU") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.085442;
+			rise_capacitance_range(0.067326,0.098523);
+			capacitance : 0.085844;
+			fall_capacitance : 0.086245;
+			fall_capacitance_range(0.063770,0.102107);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.387259, 0.734163, 0.994488");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.402035, 3.493249, 4.010396");
+				}
+			}
+		}
+		pin ("PD") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.049160;
+			rise_capacitance_range(0.047272,0.051457);
+			capacitance : 0.050429;
+			fall_capacitance : 0.051697;
+			fall_capacitance_range(0.049118,0.053152);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.350587, 2.087754, 2.285129");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("2.295997, 3.086559, 3.556019");
+				}
+			}
+		}
+		pin ("A") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.038030;
+			rise_capacitance_range(0.035931,0.039169);
+			capacitance : 0.037889;
+			fall_capacitance : 0.037748;
+			fall_capacitance_range(0.036678,0.038340);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.298622, -0.278490, -0.280025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.348518, 0.360522, 0.347854");
+				}
+			}
+		}
+		pin ("PDRV0") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.030545;
+			rise_capacitance_range(0.029700,0.031146);
+			capacitance : 0.030628;
+			fall_capacitance : 0.030710;
+			fall_capacitance_range(0.030525,0.030992);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.245461, -0.252292, -0.253018");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.261452, 0.249714, 0.249819");
+				}
+			}
+		}
+		pin ("PDRV1") {
+			max_transition : 1.000000;
+			direction : "input";
+			input_signal_level : "VDD";
+			rise_capacitance : 0.032590;
+			rise_capacitance_range(0.031681,0.033228);
+			capacitance : 0.032684;
+			fall_capacitance : 0.032777;
+			fall_capacitance_range(0.032581,0.033083);
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-0.286026, -0.295195, -0.296484");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("0.304859, 0.291809, 0.292813");
+				}
+			}
+		}
+		pin ("PAD") {
+			drive_current : 16000.000000;
+			is_pad : true;
+			function : "((A))";
+			three_state : "((!OE))";
+			direction : "inout";
+			max_transition : 1.000000;
+			max_capacitance : 30.000000;
+			input_signal_level : "DVDD";
+			output_signal_level : "DVDD";
+			capacitance : 2.792744;
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("56.812382, 56.488812, 55.349402, 54.892922, 50.529532, 50.030302", \
+					  "56.915530, 56.370190, 55.648640, 53.028520, 55.175580, 48.284930", \
+					  "56.991085, 56.482825, 55.802165, 53.676635, 54.298235, 50.195365");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("62.072262, 62.875282, 62.864672, 63.222212, 63.140372, 62.591112", \
+					  "62.165698, 62.928188, 63.004518, 63.232098, 63.117728, 63.253908", \
+					  "62.316336, 63.069696, 63.135926, 63.387506, 63.334016, 63.177356");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("56.080202, 56.179732, 54.886472, 53.645522, 51.714452, 50.156122", \
+					  "56.419260, 56.173120, 55.009040, 52.529920, 52.280740, 50.984250", \
+					  "56.349365, 56.448175, 55.084295, 55.050435, 52.982745, 54.394825");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("58.769942, 60.389772, 62.029422, 62.076632, 61.671942, 61.983172", \
+					  "58.819688, 61.962588, 61.956408, 62.151308, 62.089038, 62.199188", \
+					  "58.667406, 61.941996, 61.985776, 62.253276, 62.460956, 62.535396");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("93.164812, 94.650732, 94.779212, 93.891452, 93.163192, 92.711282", \
+					  "92.954600, 94.735990, 95.267530, 93.772540, 93.412840, 93.315760", \
+					  "93.620165, 94.881075, 95.133785, 93.918745, 93.437575, 93.301185");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("96.314892, 100.116182, 100.686682, 101.514582, 101.318282, 101.760582", \
+					  "96.081298, 99.339138, 100.891878, 101.368078, 101.614078, 101.827478", \
+					  "96.206156, 99.698446, 101.081746, 101.004946, 102.633846, 101.889246");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("86.865052, 93.188372, 92.892032, 91.396492, 92.798572, 90.103882", \
+					  "87.188200, 92.886370, 94.076980, 91.806170, 91.021200, 90.984200", \
+					  "87.236435, 92.912195, 93.458765, 92.467055, 92.083455, 90.984765");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("84.511952, 89.071852, 94.100052, 92.691912, 100.221082, 99.662982", \
+					  "83.958288, 90.013368, 94.623688, 93.456408, 98.084588, 99.660578", \
+					  "84.399206, 87.293526, 91.784746, 94.911986, 101.520146, 98.218446");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("75.242932, 75.451732, 74.842252, 73.956682, 73.144872, 72.107442", \
+					  "75.353140, 75.176070, 75.010720, 74.153200, 73.680370, 72.702200", \
+					  "75.296825, 75.638455, 74.958885, 74.162705, 73.453295, 72.110115");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("79.684402, 81.357932, 82.459302, 82.080782, 82.502382, 82.790312", \
+					  "79.757068, 81.642188, 82.170068, 82.454308, 82.618798, 82.736828", \
+					  "79.739126, 81.641766, 82.336626, 82.506006, 82.792196, 82.925126");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("71.949582, 74.327452, 73.859212, 72.952882, 71.905062, 73.292182", \
+					  "72.168590, 74.251080, 74.134650, 72.792220, 71.804940, 70.753920", \
+					  "72.188855, 74.468935, 74.662825, 72.472015, 72.501365, 71.405695");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("71.677032, 77.148882, 76.155122, 81.954212, 80.895012, 81.227102", \
+					  "71.403828, 75.703288, 77.409118, 80.883648, 80.326508, 80.950898", \
+					  "71.750746, 76.843246, 79.625666, 80.248136, 80.113506, 80.527886");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("111.295422, 113.035722, 113.206122, 113.048122, 112.306622, 111.596722", \
+					  "111.427290, 113.162990, 112.814790, 113.989490, 112.364490, 111.358290", \
+					  "111.400225, 113.182025, 113.383725, 113.175125, 112.428925, 111.649325");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("111.451282, 117.456082, 119.702882, 119.752682, 120.189782, 121.451282", \
+					  "111.523878, 117.062878, 120.103578, 119.399778, 120.394278, 120.579278", \
+					  "111.591346, 117.114646, 120.391946, 119.226546, 120.325546, 122.379146");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("101.160922, 108.099222, 110.275222, 111.809022, 109.576422, 109.917022", \
+					  "101.334390, 108.679690, 110.741790, 109.881590, 111.349990, 109.062990", \
+					  "101.140525, 108.484025, 110.843425, 110.726025, 110.047425, 110.304325");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("97.034082, 103.499382, 106.115582, 112.048282, 110.586682, 113.849582", \
+					  "96.997618, 102.905678, 106.354078, 111.744278, 111.198078, 114.301378", \
+					  "96.387596, 103.255446, 108.289846, 109.652746, 114.148546, 115.378246");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("54.971526, 54.110956, 53.214616, 50.734636, 51.412556, 46.695426", \
+					  "43.905530, 43.099040, 42.053900, 40.907390, 39.683670, 35.247520", \
+					  "43.632060, 42.722150, 41.707530, 40.340700, 38.648090, 37.157550");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.880120, 10.081990, 10.366570, 10.494040, 10.565310, 10.610870", \
+					  "3.170293, 4.292863, 4.588643, 4.719823, 4.792513, 4.838383", \
+					  "4.517993, 5.554433, 5.829703, 5.986543, 6.078143, 6.142363");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("54.322026, 53.556206, 52.372936, 51.080496, 49.550546, 47.643666", \
+					  "43.322330, 42.583150, 41.478630, 39.652320, 38.511200, 36.294770", \
+					  "42.994870, 42.222370, 41.106170, 39.199600, 38.024740, 36.963290");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("8.926040, 10.022080, 10.376050, 10.474150, 10.541290, 10.586740", \
+					  "3.204403, 4.146193, 4.525753, 4.680243, 4.757693, 4.803323", \
+					  "4.712483, 5.429553, 6.115493, 6.139873, 6.169573, 6.193533");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("85.602826, 85.179246, 84.301936, 83.284476, 82.745816, 81.531186", \
+					  "73.837470, 73.853850, 72.838060, 71.892510, 70.969040, 69.933500", \
+					  "73.263710, 73.299640, 72.783700, 71.371160, 70.956530, 69.373010");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("20.986380, 23.794120, 24.821650, 25.328440, 25.616000, 25.805550", \
+					  "17.214773, 20.800173, 22.027713, 22.640043, 23.019723, 23.268073", \
+					  "18.998873, 22.352313, 23.541483, 23.834223, 24.060583, 24.248843");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("79.173386, 83.157326, 82.527816, 81.903116, 80.485626, 79.360746", \
+					  "67.803060, 72.173770, 71.326400, 70.418800, 69.273210, 68.024500", \
+					  "67.388870, 71.270750, 70.951280, 70.009690, 68.812630, 67.513920");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("21.404620, 24.051090, 24.962030, 25.391270, 25.681690, 25.885060", \
+					  "17.381473, 20.765413, 21.802413, 22.377943, 22.729753, 22.974543", \
+					  "18.712333, 22.186853, 23.299853, 23.879713, 24.206283, 24.424953");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("73.198516, 71.912746, 71.882426, 70.405116, 69.942406, 69.408256", \
+					  "61.815670, 60.839020, 60.170690, 59.114420, 58.426450, 57.343560", \
+					  "61.638390, 60.737270, 59.393270, 59.037200, 57.722920, 56.260280");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.186760, 18.536020, 19.187720, 19.493670, 19.670120, 19.786190", \
+					  "10.587643, 12.913763, 13.543723, 13.850393, 14.027973, 14.144663", \
+					  "12.205873, 14.679403, 15.382133, 15.678333, 15.837443, 15.932273");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("70.251746, 71.380646, 70.504866, 69.507416, 68.444996, 66.597206", \
+					  "58.750450, 59.995700, 59.299350, 57.721320, 56.966260, 55.646190", \
+					  "58.163650, 59.668350, 58.545920, 57.596730, 56.069310, 54.884560");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("16.234350, 18.604650, 19.237160, 19.524080, 19.693790, 19.805620", \
+					  "10.650793, 12.968603, 13.631153, 13.958993, 14.153983, 14.273063", \
+					  "12.359563, 14.108003, 14.869543, 15.474703, 15.817063, 15.978953");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("102.855956, 102.750156, 101.844256, 101.518256, 100.186956, 99.448856", \
+					  "91.437970, 91.015370, 90.472170, 89.561870, 88.351480, 87.585190", \
+					  "91.203250, 90.087850, 90.140350, 88.757950, 88.040340, 87.151470");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.205630, 31.635510, 32.936260, 33.688920, 34.180830, 34.518410", \
+					  "24.513323, 28.640793, 30.213713, 31.020823, 31.518343, 31.867073", \
+					  "26.288663, 30.666333, 32.905753, 33.639523, 33.982143, 34.213683");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("92.974876, 99.271656, 100.026556, 99.344806, 98.264346, 96.988226", \
+					  "81.152680, 87.186380, 87.652690, 87.375490, 86.449450, 85.615160", \
+					  "80.657850, 86.398860, 88.070490, 86.395240, 85.859860, 85.261030");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("28.203000, 32.256070, 33.572960, 34.033520, 34.376610, 34.615470", \
+					  "24.759763, 28.962303, 30.165373, 31.043133, 31.495463, 31.844283", \
+					  "26.559763, 30.556173, 32.814853, 34.204783, 34.719113, 35.022073");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("51.583066, 51.946286, 51.901196, 52.171836, 51.867006, 52.094176", \
+					  "41.126380, 41.193640, 41.470810, 41.310910, 41.394580, 42.406070", \
+					  "40.860920, 41.128670, 41.239830, 41.311860, 41.345980, 41.348470");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("5.890593, -144.387630, -294.628830, -444.641630, -594.644630, -744.645230", \
+					  "-0.001430, -151.053127, -301.205627, -451.265327, -601.295427, -751.311227", \
+					  "0.957914, -149.543207, -298.930307, -449.000807, -599.081207, -749.144307");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("48.361096, 50.051236, 50.979936, 51.171096, 50.915916, 50.700706", \
+					  "37.657530, 39.598510, 40.232220, 40.546740, 40.515780, 40.589060", \
+					  "37.449200, 39.591610, 40.155830, 40.353590, 40.438480, 40.442620");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("6.035566, -144.397030, -294.525430, -444.586230, -594.621430, -744.644330", \
+					  "-0.367976, -151.109827, -301.245327, -450.668827, -600.748627, -750.798627", \
+					  "1.470017, -149.545607, -299.003607, -448.912607, -598.873307, -748.853307");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("72.998926, 75.468616, 76.193606, 75.392756, 76.387306, 76.131766", \
+					  "62.525660, 65.098880, 64.844140, 66.163580, 65.124980, 65.448480", \
+					  "62.489440, 64.962310, 65.276760, 65.405240, 65.482400, 65.498360");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.882090, -131.800930, -282.038130, -432.160630, -582.242130, -732.299730", \
+					  "12.723383, -137.863627, -288.043427, -438.206127, -588.286727, -738.345427", \
+					  "14.205513, -136.233907, -286.627007, -436.862307, -587.739507, -737.088207");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("62.554736, 65.692236, 67.992256, 70.680006, 71.618826, 72.605386", \
+					  "51.864580, 55.129240, 57.150170, 60.642120, 60.926690, 62.677900", \
+					  "51.448640, 54.938100, 57.702680, 59.463570, 60.685980, 61.522380");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("18.930040, -131.644430, -281.835030, -431.943730, -582.017530, -732.069630", \
+					  "12.896913, -137.501527, -288.017727, -438.288327, -587.670027, -737.774727", \
+					  "14.236893, -136.124007, -286.678007, -436.941407, -587.834207, -736.659907");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("63.282436, 64.113216, 64.465916, 64.446656, 64.546146, 64.709166", \
+					  "52.908370, 53.748230, 53.779750, 54.028030, 54.103660, 54.065910", \
+					  "52.854800, 53.742130, 53.852190, 53.975320, 54.048210, 54.079520");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.787750, -137.848530, -288.071430, -438.166530, -588.421830, -738.448230", \
+					  "6.509893, -144.513827, -294.364427, -444.460327, -594.521427, -744.562227", \
+					  "7.953383, -142.736607, -292.911107, -442.936307, -592.978207, -743.044107");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("55.757956, 58.826256, 60.949486, 61.452816, 62.208386, 62.506386", \
+					  "45.187010, 48.437780, 50.104610, 51.099290, 51.742530, 52.094640", \
+					  "44.972900, 48.277990, 50.210680, 51.319730, 51.796750, 51.991960");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("12.875810, -137.590130, -287.716930, -437.800130, -587.852630, -737.887630", \
+					  "6.116253, -143.922327, -293.851927, -444.217627, -594.524527, -744.698927", \
+					  "8.236403, -142.882907, -292.679207, -442.597807, -592.572407, -743.472607");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("83.027306, 87.179476, 87.856726, 88.196776, 88.191246, 88.192256", \
+					  "72.458120, 76.566980, 77.513390, 77.515860, 78.143840, 77.772530", \
+					  "72.690790, 76.846990, 77.591080, 77.784990, 77.884030, 78.016160");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.413280, -125.267230, -275.569830, -425.685230, -575.667530, -725.709530", \
+					  "19.339623, -131.129427, -281.431827, -431.522927, -581.762027, -731.712727", \
+					  "21.690033, -129.511607, -279.559807, -429.990507, -579.919507, -730.094507");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("69.961736, 73.298966, 76.729456, 77.752386, 81.084516, 82.856816", \
+					  "59.262980, 62.759500, 65.753360, 68.080930, 69.888280, 71.320510", \
+					  "58.940130, 62.595770, 65.796620, 68.131610, 69.899590, 71.331750");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("25.495470, -125.122530, -275.372330, -425.664030, -575.782830, -725.850430", \
+					  "19.822313, -130.951227, -281.318527, -431.371327, -581.440927, -731.505127", \
+					  "21.200893, -129.240007, -279.545007, -429.624107, -579.642207, -729.693907");
+				}
+			}
+			internal_power () {
+				related_pin : "A";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("163.142827, 167.855444, 167.522481, 166.398274, 163.784682, 162.478739", \
+					  "163.440250, 167.858875, 168.176035, 165.488412, 165.272537, 161.861635", \
+					  "163.555870, 168.124427, 168.331968, 166.412185, 165.308255, 163.586400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("165.378962, 172.978841, 176.028429, 178.835324, 180.131384, 181.329031", \
+					  "165.176841, 172.889329, 176.628333, 178.672476, 179.860773, 181.377384", \
+					  "165.264480, 172.714692, 177.158069, 178.297787, 181.832189, 181.757712");
+				}
+			}
+			internal_power () {
+				related_pin : "OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("92.457682, -57.092438, -207.885317, -359.108728, -510.027162, -661.735146", \
+					  "74.883017, -74.662329, -225.523366, -376.669587, -527.702370, -679.377328", \
+					  "76.111712, -73.676327, -224.154418, -375.519698, -526.813267, -677.935718");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 6, 12, 18, 24, 30");
+					values("82.069270, 86.944727, 89.066122, 89.961552, 90.893124, 91.426321", \
+					  "66.809137, 72.002858, 73.918367, 75.459857, 76.041973, 76.798563", \
+					  "68.257796, 73.452079, 75.823060, 77.072951, 77.806470, 78.298487");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.170575, 2.946326, 3.717318, 4.489848, 5.262179, 6.019724", \
+					  "2.218632, 2.992711, 3.765407, 4.529559, 5.303298, 6.070945", \
+					  "2.228404, 3.002516, 3.775416, 4.538753, 5.314320, 6.077037");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.882433, 2.446354, 4.049818, 5.654700, 7.255950, 8.861495", \
+					  "0.883867, 2.445552, 4.048534, 5.653156, 7.242218, 8.854042", \
+					  "0.882835, 2.446848, 4.049899, 5.654356, 7.259185, 8.863771");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.238848, 2.875172, 3.484556, 4.092754, 4.699733, 5.305457", \
+					  "2.373882, 3.010343, 3.618902, 4.227474, 4.833065, 5.439984", \
+					  "2.521326, 3.157691, 3.767066, 4.375355, 4.982262, 5.588027");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.719217, 1.828535, 2.971563, 4.125604, 5.287816, 6.446654", \
+					  "0.719290, 1.821794, 2.969099, 4.127650, 5.284416, 6.447499", \
+					  "0.720154, 1.828534, 2.971587, 4.125369, 5.288287, 6.449327");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.594760, 3.630104, 4.425445, 5.197408, 5.967094, 6.736894", \
+					  "2.642151, 3.677532, 4.473992, 5.245161, 6.014189, 6.782936", \
+					  "2.653071, 3.688047, 4.484229, 5.255532, 6.024695, 6.794159");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.539075, 2.951406, 4.373556, 5.889376, 7.428744, 8.990297", \
+					  "1.539295, 2.952644, 4.383920, 5.890933, 7.429473, 8.997142", \
+					  "1.539087, 2.951738, 4.383552, 5.889006, 7.431136, 8.985923");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.370050, 3.487286, 4.372575, 5.131524, 5.822751, 6.482450", \
+					  "2.505043, 3.622292, 4.506557, 5.267108, 5.958547, 6.618360", \
+					  "2.652120, 3.768794, 4.652338, 5.411086, 6.106565, 6.764724");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.165679, 2.767743, 4.000536, 5.130503, 6.228661, 7.307886", \
+					  "1.169356, 2.766972, 3.999436, 5.129965, 6.229128, 7.306786", \
+					  "1.167908, 2.770437, 3.995416, 5.128029, 6.226230, 7.313036");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.876034, 2.165075, 2.425217, 2.683439, 2.939307, 3.195952", \
+					  "1.924040, 2.213184, 2.473662, 2.730696, 2.988353, 3.245453", \
+					  "1.934005, 2.223585, 2.483470, 2.740668, 2.998746, 3.255671");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.433183, 0.893860, 1.402800, 1.922650, 2.453095, 2.981801", \
+					  "0.432224, 0.894047, 1.404201, 1.921170, 2.455124, 2.986226", \
+					  "0.431602, 0.895377, 1.402355, 1.924532, 2.455495, 2.986549");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.973322, 2.257858, 2.481402, 2.691619, 2.897107, 3.101020", \
+					  "2.109109, 2.393196, 2.616749, 2.826960, 3.032471, 3.236357", \
+					  "2.256485, 2.540652, 2.764116, 2.974375, 3.179508, 3.383392");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.377737, 0.742015, 1.099517, 1.467563, 1.836325, 2.211615", \
+					  "0.376919, 0.741555, 1.099256, 1.467296, 1.836143, 2.211117", \
+					  "0.377053, 0.740682, 1.099881, 1.467510, 1.836167, 2.215476");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&!PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.923724, 2.589703, 3.007175, 3.333532, 3.623608, 3.896611", \
+					  "1.971552, 2.637550, 3.055016, 3.381379, 3.672087, 3.944015", \
+					  "1.981957, 2.647861, 3.065383, 3.391723, 3.681821, 3.954753");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.807417, 1.552537, 2.048353, 2.501643, 2.962726, 3.428374", \
+					  "0.807766, 1.552540, 2.048438, 2.501674, 2.963677, 3.429318", \
+					  "0.807094, 1.552597, 2.048292, 2.501837, 2.962748, 3.424228");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.955215, 2.383335, 2.782057, 3.148765, 3.485826, 3.798172", \
+					  "2.090374, 2.518973, 2.917225, 3.284443, 3.621245, 3.933990", \
+					  "2.238132, 2.666185, 3.064983, 3.431637, 3.768756, 4.080718");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.442601, 1.170094, 1.774660, 2.291524, 2.756470, 3.183751", \
+					  "0.441988, 1.171106, 1.774045, 2.293946, 2.754070, 3.185965", \
+					  "0.442434, 1.168480, 1.772965, 2.293352, 2.758352, 3.185779");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.962604, 2.365974, 2.751869, 3.136495, 3.520796, 3.909087", \
+					  "2.011173, 2.414068, 2.800875, 3.186264, 3.571549, 3.957469", \
+					  "2.020810, 2.424094, 2.810017, 3.194436, 3.580779, 3.966648");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.532404, 1.269378, 2.052221, 2.849174, 3.648853, 4.440150", \
+					  "0.531469, 1.271676, 2.055044, 2.849578, 3.652194, 4.451409", \
+					  "0.531238, 1.270893, 2.052240, 2.849865, 3.641185, 4.445819");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.049335, 2.414684, 2.729470, 3.036152, 3.339932, 3.643523", \
+					  "2.184716, 2.550145, 2.864797, 3.171088, 3.475995, 3.780108", \
+					  "2.331870, 2.697211, 3.011795, 3.318315, 3.622755, 3.927059");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.463286, 0.998436, 1.553148, 2.117174, 2.685052, 3.261690", \
+					  "0.463085, 1.000247, 1.548444, 2.119268, 2.682047, 3.256251", \
+					  "0.463258, 1.000410, 1.548488, 2.118983, 2.689337, 3.267410");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&!PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.130878, 2.918872, 3.412952, 3.834685, 4.230140, 4.617163", \
+					  "2.178741, 2.966598, 3.460788, 3.882310, 4.277977, 4.665074", \
+					  "2.189153, 2.977241, 3.471288, 3.891409, 4.287787, 4.676125");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.047861, 1.926227, 2.614512, 3.300608, 4.022398, 4.761501", \
+					  "1.047566, 1.923496, 2.614616, 3.303028, 4.023182, 4.761542", \
+					  "1.046472, 1.922665, 2.609437, 3.308530, 4.018600, 4.752348");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.057031, 2.679857, 3.234613, 3.722705, 4.158954, 4.562577", \
+					  "2.192169, 2.814960, 3.370062, 3.858358, 4.296151, 4.697191", \
+					  "2.339727, 2.962257, 3.517794, 4.005222, 4.443141, 4.845106");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.627900, 1.639721, 2.418181, 3.081918, 3.688768, 4.273091", \
+					  "0.628864, 1.640284, 2.418017, 3.083000, 3.695303, 4.273724", \
+					  "0.627877, 1.638938, 2.417397, 3.080489, 3.690183, 4.271296");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&!SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.825905, 2.064377, 2.263802, 2.458317, 2.650637, 2.843624", \
+					  "1.874095, 2.112510, 2.311644, 2.506254, 2.699551, 2.892353", \
+					  "1.884297, 2.122713, 2.322170, 2.516628, 2.709513, 2.902479");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.392606, 0.718340, 1.084404, 1.472112, 1.862999, 2.258002", \
+					  "0.392329, 0.718052, 1.086671, 1.469452, 1.861154, 2.255884", \
+					  "0.392566, 0.717577, 1.083883, 1.471927, 1.862829, 2.255894");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.921822, 2.169065, 2.349300, 2.513439, 2.671158, 2.825959", \
+					  "2.056994, 2.304329, 2.484452, 2.648562, 2.806227, 2.961155", \
+					  "2.204312, 2.451546, 2.631787, 2.795912, 2.953628, 3.108429");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.328187, 0.615407, 0.879257, 1.149570, 1.424594, 1.703773", \
+					  "0.327824, 0.614618, 0.879493, 1.149270, 1.424230, 1.702871", \
+					  "0.328124, 0.615318, 0.879599, 1.149567, 1.424576, 1.703799");
+				}
+			}
+			timing () {
+				related_pin : "A";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!IE&OE&PDRV0&PDRV1&SL";
+				sdf_cond : "IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.817090, 2.381194, 2.762123, 3.048620, 3.293619, 3.515877", \
+					  "1.864946, 2.429374, 2.809303, 3.096378, 3.341068, 3.562950", \
+					  "1.875110, 2.439821, 2.819806, 3.107139, 3.351736, 3.574199");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.651375, 1.330634, 1.748370, 2.107561, 2.449971, 2.788368", \
+					  "0.651180, 1.331114, 1.745276, 2.108592, 2.446926, 2.790799", \
+					  "0.651648, 1.331029, 1.745125, 2.104374, 2.449497, 2.787163");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.899672, 2.229467, 2.538360, 2.829547, 3.103292, 3.359671", \
+					  "2.034484, 2.364506, 2.673382, 2.964626, 3.238249, 3.494695", \
+					  "2.182248, 2.512393, 2.821055, 3.112228, 3.385948, 3.641814");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.350449, 0.909857, 1.405285, 1.834073, 2.219771, 2.572078", \
+					  "0.350007, 0.910559, 1.405340, 1.835163, 2.219790, 2.572111", \
+					  "0.349524, 0.910996, 1.405264, 1.834246, 2.219418, 2.569174");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.915434, 2.687491, 3.456669, 4.230012, 5.002565, 5.767388", \
+					  "1.929002, 2.700943, 3.468891, 4.243009, 5.008575, 5.783777", \
+					  "1.923092, 2.695091, 3.462867, 4.238373, 5.011052, 5.772901");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.893357, 2.450470, 4.049453, 5.654231, 7.250249, 8.862712", \
+					  "0.893158, 2.446957, 4.045882, 5.656024, 7.255007, 8.868257", \
+					  "0.892705, 2.451550, 4.045614, 5.643578, 7.246952, 8.871127");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("2.327147, 3.371131, 4.167675, 4.936628, 5.706243, 6.476093", \
+					  "2.342125, 3.384816, 4.180369, 4.951950, 5.721206, 6.490817", \
+					  "2.336720, 3.378823, 4.175444, 4.946339, 5.714271, 6.482336");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.562796, 2.977331, 4.405795, 5.892411, 7.436549, 8.984474", \
+					  "1.564494, 2.978033, 4.392979, 5.899382, 7.431924, 8.995493", \
+					  "1.562696, 2.980571, 4.402758, 5.899756, 7.437894, 8.998168");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.634557, 1.921926, 2.180279, 2.436647, 2.691747, 2.948153", \
+					  "1.662618, 1.950753, 2.209224, 2.465774, 2.720845, 2.976280", \
+					  "1.664974, 1.953222, 2.211815, 2.468362, 2.723292, 2.978651");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.462596, 0.906652, 1.409936, 1.928834, 2.451126, 2.979273", \
+					  "0.462298, 0.907291, 1.411057, 1.925918, 2.455589, 2.985404", \
+					  "0.462611, 0.908125, 1.411506, 1.926756, 2.455682, 2.985783");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.675177, 2.335727, 2.756207, 3.084903, 3.375435, 3.648655", \
+					  "1.704661, 2.365572, 2.785476, 3.113815, 3.404280, 3.677512", \
+					  "1.708317, 2.367932, 2.788203, 3.116911, 3.407810, 3.680055");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.815615, 1.572425, 2.076324, 2.532511, 2.987901, 3.452009", \
+					  "0.814681, 1.571993, 2.075792, 2.531330, 2.985390, 3.452189", \
+					  "0.814408, 1.571788, 2.075315, 2.532029, 2.988628, 3.449307");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.699874, 2.099339, 2.484037, 2.868864, 3.253087, 3.638390", \
+					  "1.713817, 2.113755, 2.498670, 2.882427, 3.267609, 3.652980", \
+					  "1.706805, 2.106545, 2.491394, 2.875836, 3.260322, 3.645690");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.556898, 1.275613, 2.054801, 2.853322, 3.651079, 4.453852", \
+					  "0.556768, 1.278672, 2.057836, 2.844408, 3.652480, 4.449386", \
+					  "0.557500, 1.278018, 2.056555, 2.851866, 3.652283, 4.453279");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.851641, 2.644666, 3.141962, 3.564075, 3.959953, 4.347317", \
+					  "1.866385, 2.659041, 3.156398, 3.577509, 3.974002, 4.361760", \
+					  "1.860065, 2.653701, 3.150293, 3.572357, 3.968102, 4.354515");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.059944, 1.954643, 2.643676, 3.328685, 4.042190, 4.775802", \
+					  "1.063415, 1.952923, 2.638918, 3.331676, 4.040595, 4.774747", \
+					  "1.062602, 1.955905, 2.644342, 3.333140, 4.045485, 4.771241");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.569421, 1.808756, 2.006139, 2.199038, 2.392056, 2.583690", \
+					  "1.594885, 1.835302, 2.032812, 2.225979, 2.418149, 2.610370", \
+					  "1.593888, 1.834964, 2.032636, 2.225684, 2.418288, 2.610205");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.427240, 0.736180, 1.097271, 1.473333, 1.866945, 2.258774", \
+					  "0.426473, 0.737713, 1.098101, 1.478504, 1.865596, 2.256860", \
+					  "0.425828, 0.736501, 1.099165, 1.474974, 1.866965, 2.258715");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.557174, 2.111359, 2.494134, 2.784550, 3.030557, 3.252972", \
+					  "1.585044, 2.139104, 2.521146, 2.810520, 3.056340, 3.279602", \
+					  "1.585440, 2.138956, 2.520401, 2.810214, 3.056127, 3.279234");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.659463, 1.347169, 1.775206, 2.134665, 2.476944, 2.819494", \
+					  "0.660921, 1.346622, 1.772264, 2.134318, 2.475192, 2.814547", \
+					  "0.661652, 1.345969, 1.773389, 2.135601, 2.473024, 2.816297");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.424759, 2.060271, 2.667748, 3.275705, 3.880604, 4.487779", \
+					  "1.472480, 2.107594, 2.716246, 3.324150, 3.930857, 4.536523", \
+					  "1.483352, 2.118579, 2.727250, 3.334717, 3.941733, 4.547304");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.734303, 1.831237, 2.972962, 4.128892, 5.292358, 6.453804", \
+					  "0.733316, 1.833563, 2.973770, 4.126559, 5.289323, 6.451987", \
+					  "0.733992, 1.833890, 2.973561, 4.127530, 5.288361, 6.445778");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.545399, 2.659793, 3.545436, 4.306699, 4.999089, 5.658864", \
+					  "1.592711, 2.706369, 3.593565, 4.354028, 5.046656, 5.706449", \
+					  "1.603944, 2.718545, 3.604282, 4.365254, 5.057585, 5.717535");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.171346, 2.774241, 4.007212, 5.136482, 6.231562, 7.317424", \
+					  "1.171971, 2.773855, 4.005501, 5.142349, 6.233309, 7.325847", \
+					  "1.171144, 2.774799, 4.006944, 5.135798, 6.231699, 7.318122");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.223540, 1.513262, 1.737811, 1.947963, 2.153007, 2.356295", \
+					  "1.270800, 1.560487, 1.785030, 1.995145, 2.200304, 2.403515", \
+					  "1.281386, 1.571111, 1.795378, 2.005396, 2.210968, 2.414379");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.396757, 0.764384, 1.117538, 1.480538, 1.843410, 2.217704", \
+					  "0.396572, 0.764283, 1.116580, 1.478379, 1.848228, 2.223463", \
+					  "0.396330, 0.763701, 1.117191, 1.478802, 1.849258, 2.225593");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.212161, 1.631186, 2.024623, 2.389598, 2.725751, 3.037776", \
+					  "1.259343, 1.678356, 2.071825, 2.436725, 2.772779, 3.084766", \
+					  "1.269700, 1.688712, 2.082239, 2.446989, 2.782905, 3.094825");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.461975, 1.170224, 1.771686, 2.290040, 2.754065, 3.186951", \
+					  "0.462140, 1.171060, 1.772314, 2.289882, 2.753403, 3.186913", \
+					  "0.461653, 1.171626, 1.773172, 2.289680, 2.752079, 3.185474");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.223346, 1.588145, 1.902124, 2.207947, 2.512606, 2.816467", \
+					  "1.270653, 1.635686, 1.950169, 2.256218, 2.559927, 2.863312", \
+					  "1.281557, 1.646532, 1.960954, 2.267046, 2.570512, 2.873716");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.483318, 1.012589, 1.557340, 2.123543, 2.684886, 3.258882", \
+					  "0.482574, 1.012577, 1.558621, 2.121541, 2.691928, 3.264663", \
+					  "0.483161, 1.012209, 1.560689, 2.121297, 2.689477, 3.264271");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.225522, 1.840452, 2.394534, 2.883124, 3.320826, 3.722975", \
+					  "1.273263, 1.888215, 2.441721, 2.931356, 3.369448, 3.769753", \
+					  "1.283846, 1.899060, 2.452663, 2.940678, 3.377827, 3.781773");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.633964, 1.642775, 2.420684, 3.089802, 3.697701, 4.282252", \
+					  "0.634261, 1.641261, 2.423330, 3.091132, 3.699809, 4.279717", \
+					  "0.634551, 1.642975, 2.422292, 3.090645, 3.699222, 4.281079");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.143147, 1.394547, 1.576732, 1.741169, 1.898870, 2.053465", \
+					  "1.190690, 1.442193, 1.624127, 1.788613, 1.946210, 2.101175", \
+					  "1.203566, 1.454797, 1.636866, 1.801187, 1.959064, 2.113757");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.349349, 0.636392, 0.900429, 1.167168, 1.437662, 1.714385", \
+					  "0.349531, 0.636332, 0.900582, 1.165947, 1.437617, 1.713056", \
+					  "0.349079, 0.636027, 0.900258, 1.165846, 1.437096, 1.709989");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "positive_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_enable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.128482, 1.452014, 1.756548, 2.045904, 2.318664, 2.574267", \
+					  "1.175762, 1.499522, 1.803951, 2.093183, 2.365513, 2.621081", \
+					  "1.188405, 1.511943, 1.816503, 2.105874, 2.378606, 2.634245");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.377815, 0.920255, 1.407510, 1.838137, 2.222634, 2.572213", \
+					  "0.378124, 0.918316, 1.409567, 1.834270, 2.219449, 2.576172", \
+					  "0.377668, 0.920210, 1.407502, 1.838120, 2.222644, 2.572660");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.879228, 0.879229, 0.879230, 0.879231, 0.879232, 0.879233", \
+					  "1.012872, 1.012873, 1.012874, 1.012875, 1.012876, 1.012877", \
+					  "1.161921, 1.161922, 1.161923, 1.161924, 1.161925, 1.161926");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.869046, 0.869047, 0.869048, 0.869049, 0.869050, 0.869051", \
+					  "1.000803, 1.000804, 1.000805, 1.000806, 1.000807, 1.000808", \
+					  "1.151609, 1.151610, 1.151611, 1.151612, 1.151613, 1.151614");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.967604, 0.967605, 0.967606, 0.967607, 0.967608, 0.967609", \
+					  "1.100436, 1.100437, 1.100438, 1.100439, 1.100440, 1.100441", \
+					  "1.253222, 1.253223, 1.253224, 1.253225, 1.253226, 1.253227");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.959821, 0.959822, 0.959823, 0.959824, 0.959825, 0.959826", \
+					  "1.092475, 1.092476, 1.092477, 1.092478, 1.092479, 1.092480", \
+					  "1.243979, 1.243980, 1.243981, 1.243982, 1.243983, 1.243984");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.870071, 0.870072, 0.870073, 0.870074, 0.870075, 0.870076", \
+					  "1.002144, 1.002145, 1.002146, 1.002147, 1.002148, 1.002149", \
+					  "1.154729, 1.154730, 1.154731, 1.154732, 1.154733, 1.154734");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.862745, 0.862746, 0.862747, 0.862748, 0.862749, 0.862750", \
+					  "0.995647, 0.995648, 0.995649, 0.995650, 0.995651, 0.995652", \
+					  "1.144808, 1.144809, 1.144810, 1.144811, 1.144812, 1.144813");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.952478, 0.952479, 0.952480, 0.952481, 0.952482, 0.952483", \
+					  "1.084974, 1.084975, 1.084976, 1.084977, 1.084978, 1.084979", \
+					  "1.236268, 1.236269, 1.236270, 1.236271, 1.236272, 1.236273");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "!A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_rise";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("0.944871, 0.944872, 0.944873, 0.944874, 0.944875, 0.944876", \
+					  "1.076580, 1.076581, 1.076582, 1.076583, 1.076584, 1.076585", \
+					  "1.226246, 1.226247, 1.226248, 1.226249, 1.226250, 1.226251");
+				}
+				rise_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.027099, 1.027100, 1.027101, 1.027102, 1.027103, 1.027104", \
+					  "1.163483, 1.164144, 1.164145, 1.164146, 1.164147, 1.164148", \
+					  "1.318430, 1.318431, 1.318432, 1.318433, 1.318434, 1.318435");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.028729, 1.028730, 1.028731, 1.028732, 1.028733, 1.028734", \
+					  "1.162660, 1.163369, 1.163370, 1.163371, 1.163372, 1.163373", \
+					  "1.316806, 1.316807, 1.316808, 1.316809, 1.316810, 1.316811");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.169696, 1.169697, 1.169698, 1.169699, 1.169700, 1.169701", \
+					  "1.306866, 1.307292, 1.307293, 1.307294, 1.307295, 1.307296", \
+					  "1.462611, 1.462660, 1.462661, 1.462662, 1.462663, 1.462664");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&!PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.169776, 1.169777, 1.169778, 1.169779, 1.169780, 1.169781", \
+					  "1.305239, 1.305483, 1.305484, 1.305485, 1.305486, 1.305487", \
+					  "1.459842, 1.459843, 1.459844, 1.459845, 1.459846, 1.459847");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.016145, 1.016146, 1.016147, 1.016148, 1.016149, 1.016150", \
+					  "1.152083, 1.153174, 1.153175, 1.153176, 1.153177, 1.153178", \
+					  "1.308394, 1.308395, 1.308396, 1.308397, 1.308398, 1.308399");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&!PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.019666, 1.019667, 1.019668, 1.019669, 1.019670, 1.019671", \
+					  "1.153981, 1.154972, 1.154973, 1.154974, 1.154975, 1.154976", \
+					  "1.307918, 1.307919, 1.307920, 1.307921, 1.307922, 1.307923");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&!SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.160235, 1.160236, 1.160237, 1.160238, 1.160239, 1.160240", \
+					  "1.297535, 1.297536, 1.297537, 1.297538, 1.297539, 1.297540", \
+					  "1.452559, 1.452560, 1.452561, 1.452562, 1.452563, 1.452564");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			timing () {
+				related_pin : "OE";
+				timing_sense : "negative_unate";
+				when : "A&!IE&PDRV0&PDRV1&SL";
+				sdf_cond : "A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1";
+				timing_type : "three_state_disable_fall";
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("2.792744, 8.792744, 14.792744, 20.792744, 26.792744, 32.792744");
+					values("1.157282, 1.157324, 1.157325, 1.157326, 1.157327, 1.157328", \
+					  "1.294201, 1.294202, 1.294203, 1.294204, 1.294205, 1.294206", \
+					  "1.448783, 1.448784, 1.448785, 1.448786, 1.448787, 1.448788");
+				}
+				fall_transition (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("-39.844560, -40.181340, -40.040080");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.080000, 0.500000, 1.000000");
+					values("40.299610, 40.381440, 40.491030");
+				}
+			}
+		}
+		pin ("Y") {
+			function : "((IE*PAD))";
+			direction : "output";
+			max_capacitance : 0.500000;
+			output_signal_level : "VDD";
+			internal_power () {
+				related_pin : "IE";
+				when : "!CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.490464, 4.414089, 3.831501, 4.335535, 4.084037, 4.052068", \
+					  "3.860674, 3.744741, 3.253950, 3.553903, 3.487292, 3.570396", \
+					  "3.958617, 3.829472, 3.056261, 3.588249, 3.460483, 3.641642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.265338, 5.286845, 5.576889, 5.412326, 5.421724, 5.470084", \
+					  "4.746543, 4.615570, 4.760418, 5.081275, 5.245753, 4.533839", \
+					  "4.875846, 4.660342, 5.221156, 4.866453, 5.496335, 4.771535");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				when : "CS&!OE&PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.077713, 4.935798, 4.807090, 4.786368, 4.709710, 4.636982", \
+					  "4.542558, 4.290798, 4.325767, 3.982155, 4.049579, 4.370011", \
+					  "4.491770, 4.352689, 4.360216, 4.008405, 4.211735, 3.922046");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.569542, 5.605514, 5.738549, 5.880604, 5.908223, 5.933798", \
+					  "5.003189, 5.100830, 5.268842, 5.518877, 5.381357, 5.543632", \
+					  "5.178296, 5.179401, 5.214942, 5.789991, 5.382389, 5.328939");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "!CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.226980, 3.091720, 3.000140, 2.812540, 2.738300, 2.706240", \
+					  "3.661990, 3.529260, 3.433440, 3.232560, 3.188150, 3.118590", \
+					  "3.632720, 3.528570, 3.438060, 3.236760, 3.131990, 3.110510");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.233400, 3.255280, 3.348090, 3.516320, 3.562050, 3.596000", \
+					  "3.075930, 3.087750, 3.189220, 3.357080, 3.401530, 3.433660", \
+					  "3.003430, 3.011470, 3.131090, 3.285210, 3.331360, 3.366470");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "CS&IE&!OE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.339060, 4.205180, 4.117400, 3.926240, 3.870290, 3.821260", \
+					  "4.741190, 4.607920, 4.513560, 4.332820, 4.257810, 4.242260", \
+					  "4.754250, 4.608220, 4.559050, 4.379900, 4.317260, 4.237890");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.596890, 4.638280, 4.803760, 5.161750, 5.278290, 5.368800", \
+					  "4.322450, 4.365080, 4.523740, 4.890020, 5.015820, 5.098510", \
+					  "4.466410, 4.515980, 4.663660, 5.044060, 5.162680, 5.250860");
+				}
+			}
+			internal_power () {
+				related_pin : "IE";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("4.784089, 4.674943, 4.319295, 4.560951, 4.396873, 4.344525", \
+					  "4.201616, 4.017769, 3.789859, 3.768029, 3.768435, 3.970204", \
+					  "4.225193, 4.091081, 3.708239, 3.798327, 3.836109, 3.781844");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("5.417440, 5.446179, 5.657719, 5.646465, 5.664974, 5.701941", \
+					  "4.874866, 4.858200, 5.014630, 5.300076, 5.313555, 5.038735", \
+					  "5.027071, 4.919872, 5.218049, 5.328222, 5.439362, 5.050237");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				rise_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.783020, 3.648450, 3.558770, 3.369390, 3.304295, 3.263750", \
+					  "4.201590, 4.068590, 3.973500, 3.782690, 3.722980, 3.680425", \
+					  "4.193485, 4.068395, 3.998555, 3.808330, 3.724625, 3.674200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.08, 0.5, 1");
+					index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
+					values("3.915145, 3.946780, 4.075925, 4.339035, 4.420170, 4.482400", \
+					  "3.699190, 3.726415, 3.856480, 4.123550, 4.208675, 4.266085", \
+					  "3.734920, 3.763725, 3.897375, 4.164635, 4.247020, 4.308665");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&!OE&PAD";
+				sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.333088, 1.375640, 1.427470, 1.577952, 1.650389, 1.722695", \
+					  "1.407305, 1.449900, 1.501718, 1.652166, 1.724532, 1.797461", \
+					  "1.450904, 1.493423, 1.545289, 1.695628, 1.767973, 1.840835");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.161729, 0.211789, 0.296795, 0.569618, 0.712764, 0.857969", \
+					  "0.162909, 0.212442, 0.295664, 0.567760, 0.711068, 0.857525", \
+					  "0.165520, 0.212641, 0.296118, 0.568533, 0.711631, 0.857658");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.096694, 1.135547, 1.183766, 1.319684, 1.383422, 1.446531", \
+					  "1.223553, 1.262401, 1.310417, 1.446559, 1.510299, 1.573331", \
+					  "1.353537, 1.392213, 1.440340, 1.576362, 1.640142, 1.703248");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.081807, 0.133428, 0.199762, 0.414470, 0.524110, 0.635900", \
+					  "0.082816, 0.132172, 0.199843, 0.414048, 0.524636, 0.635332", \
+					  "0.082069, 0.133373, 0.199765, 0.414670, 0.523923, 0.635596");
+				}
+			}
+			timing () {
+				related_pin : "IE";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&!OE&PAD";
+				sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.387592, 1.430172, 1.482206, 1.632385, 1.704991, 1.777860", \
+					  "1.461991, 1.504553, 1.556626, 1.706765, 1.779415, 1.852206", \
+					  "1.505545, 1.547869, 1.600415, 1.750573, 1.823023, 1.895893");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.162586, 0.212047, 0.296698, 0.566557, 0.709501, 0.854324", \
+					  "0.161779, 0.211897, 0.296784, 0.566475, 0.710707, 0.853437", \
+					  "0.160880, 0.217888, 0.296206, 0.566945, 0.709299, 0.855412");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.150158, 1.189026, 1.236953, 1.373074, 1.436817, 1.499859", \
+					  "1.277195, 1.315980, 1.364148, 1.500013, 1.563809, 1.626851", \
+					  "1.406056, 1.444843, 1.493199, 1.628912, 1.692726, 1.755755");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.083744, 0.132169, 0.199856, 0.414010, 0.524753, 0.635283", \
+					  "0.082343, 0.133669, 0.199882, 0.415622, 0.524460, 0.634774", \
+					  "0.083258, 0.132344, 0.199646, 0.415372, 0.524867, 0.634390");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "!CS&IE&!OE";
+				sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.958253, 1.000831, 1.052062, 1.202557, 1.275044, 1.347773", \
+					  "1.002688, 1.045017, 1.096227, 1.246841, 1.319755, 1.392466", \
+					  "0.985999, 1.027820, 1.079103, 1.229628, 1.302079, 1.374990");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.161812, 0.211893, 0.291658, 0.567247, 0.711118, 0.858888", \
+					  "0.161178, 0.211032, 0.291775, 0.566707, 0.711624, 0.855988", \
+					  "0.162324, 0.211787, 0.291309, 0.567454, 0.710287, 0.856595");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.829033, 0.867570, 0.915733, 1.051631, 1.115520, 1.178472", \
+					  "0.916521, 0.955245, 1.003333, 1.138973, 1.202835, 1.265858", \
+					  "1.118325, 1.156989, 1.205055, 1.340510, 1.404384, 1.467421");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.083471, 0.132313, 0.198114, 0.414072, 0.522623, 0.632955", \
+					  "0.082832, 0.132431, 0.198855, 0.414496, 0.523321, 0.633029", \
+					  "0.082232, 0.132326, 0.198902, 0.414631, 0.523413, 0.634318");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "CS&IE&!OE";
+				sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
+				cell_rise ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.034421, 1.076787, 1.128499, 1.278612, 1.351302, 1.423615", \
+					  "1.123090, 1.165225, 1.216308, 1.366694, 1.439400, 1.512082", \
+					  "1.163988, 1.206539, 1.257564, 1.407745, 1.480834, 1.553255");
+				}
+				rise_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.161644, 0.212248, 0.292776, 0.567573, 0.711012, 0.857974", \
+					  "0.162512, 0.211648, 0.291954, 0.567493, 0.710836, 0.858508", \
+					  "0.161318, 0.211667, 0.291185, 0.567413, 0.711684, 0.855309");
+				}
+				cell_fall ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("1.279806, 1.318994, 1.366881, 1.502475, 1.566343, 1.629238", \
+					  "1.293783, 1.332466, 1.380418, 1.516207, 1.580427, 1.643649", \
+					  "1.524096, 1.562983, 1.610895, 1.746750, 1.810456, 1.873788");
+				}
+				fall_transition ("del_1_3_6") {
+					index_1("0.080000, 0.500000, 1.000000");
+					index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
+					values("0.083974, 0.133828, 0.199438, 0.415440, 0.523812, 0.632690", \
+					  "0.083603, 0.133050, 0.198825, 0.414846, 0.523952, 0.635330", \
+					  "0.084140, 0.133740, 0.198854, 0.415180, 0.523873, 0.634602");
+				}
+			}
+		}
+		pin ("DVDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("DVSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VDD") {
+			direction : "inout";
+			capacitance : 0;
+		}
+		pin ("VSS") {
+			direction : "inout";
+			capacitance : 0;
+		}
+	}
diff --git a/gf180mcu/custom/gf180mcu_fd_io/mag/3lm/gf180mcu_ef_io__bi_t.mag b/gf180mcu/custom/gf180mcu_fd_io/mag/3lm/gf180mcu_ef_io__bi_t.mag
new file mode 100644
index 0000000..98f410f
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/mag/3lm/gf180mcu_ef_io__bi_t.mag
@@ -0,0 +1,209 @@
+magic
+tech gf180mcuA
+magscale 1 10
+timestamp 1698938327
+<< metal2 >>
+rect 672 69794 748 70000
+rect 1193 69794 1269 70000
+rect 1422 69794 1498 70000
+rect 1564 69794 1640 70000
+rect 1696 62761 1772 70000
+rect 2066 69794 2142 70000
+rect 2277 69794 2353 70000
+rect 13734 69794 13810 70000
+rect 13880 69794 13956 70000
+rect 14026 69794 14102 70000
+rect 14172 69794 14248 70000
+rect 1696 62587 1764 62761
+rect 1696 58950 1772 62587
+rect 1696 58870 3333 58950
+<< metal3 >>
+rect 0 68400 200 69678
+rect 14800 68400 15000 69678
+rect 0 66800 200 68200
+rect 14800 66800 15000 68200
+rect 0 65200 200 66600
+rect 14800 65200 15000 66600
+rect 0 63600 200 65000
+rect 14800 63600 15000 65000
+rect 0 62000 200 63400
+rect 14800 62000 15000 63400
+rect 0 60400 200 61800
+rect 14800 60400 15000 61800
+rect 0 58800 200 60200
+rect 14800 58800 15000 60200
+rect 0 57200 200 58600
+rect 14800 57200 15000 58600
+rect 0 55600 200 57000
+rect 14800 55600 15000 57000
+rect 0 54000 200 55400
+rect 14800 54000 15000 55400
+rect 0 52400 200 53800
+rect 14800 52400 15000 53800
+rect 0 50800 200 52200
+rect 14800 50800 15000 52200
+rect 0 49200 200 50600
+rect 14800 49200 15000 50600
+rect 0 46000 200 49000
+rect 14800 46000 15000 49000
+rect 0 42800 200 45800
+rect 14800 42800 15000 45800
+rect 0 41200 200 42600
+rect 14800 41200 15000 42600
+rect 0 39600 200 41000
+rect 14800 39600 15000 41000
+rect 0 36400 200 39400
+rect 14800 36400 15000 39400
+rect 0 33200 200 36200
+rect 14800 33200 15000 36200
+rect 0 30000 200 33000
+rect 14800 30000 15000 33000
+rect 0 26800 200 29800
+rect 14800 26800 15000 29800
+rect 0 25200 200 26600
+rect 14800 25200 15000 26600
+rect 0 23600 200 25000
+rect 14800 23600 15000 25000
+rect 0 20400 200 23400
+rect 14800 20400 15000 23400
+rect 0 17200 200 20200
+rect 14800 17200 15000 20200
+rect 0 14000 200 17000
+rect 14800 14000 15000 17000
+rect 5000 4000 10000 9000
+use gf180mcu_fd_io__bi_t  gf180mcu_fd_io__bi_t_0 $PDKPATH/libs.ref/gf180mcu_fd_io/mag
+timestamp 1698343010
+transform 1 0 0 0 1 0
+box -32 0 15032 70000
+<< labels >>
+flabel metal2 s 672 69924 748 70000 0 FreeSans 240 0 0 0 CS
+port 2 nsew signal input
+flabel metal2 s 1193 69924 1269 70000 0 FreeSans 240 0 0 0 PU
+port 11 nsew signal input
+flabel metal2 s 1422 69924 1498 70000 0 FreeSans 240 0 0 0 PDRV0
+port 9 nsew signal input
+flabel metal2 s 1564 69924 1640 70000 0 FreeSans 240 0 0 0 PDRV1
+port 10 nsew signal input
+flabel metal2 s 2066 69924 2142 70000 0 FreeSans 240 0 0 0 PD
+port 8 nsew signal input
+flabel metal2 s 2277 69924 2353 70000 0 FreeSans 240 0 0 0 IE
+port 5 nsew signal input
+flabel metal2 s 13734 69924 13810 70000 0 FreeSans 240 0 0 0 SL
+port 12 nsew signal input
+flabel metal2 s 13880 69924 13956 70000 0 FreeSans 240 0 0 0 A
+port 1 nsew signal input
+flabel metal2 s 14026 69924 14102 70000 0 FreeSans 240 0 0 0 OE
+port 6 nsew signal input
+flabel metal2 s 14172 69924 14248 70000 0 FreeSans 240 0 0 0 Y
+port 15 nsew signal output
+flabel metal2 1696 69924 1772 70000 0 FreeSans 240 0 0 0 ANA
+port 16 nsew
+flabel metal3 s 5000 4000 10000 9000 0 FreeSans 1600 0 0 0 PAD
+port 7 nsew signal bidirectional
+flabel metal3 s 0 66800 200 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 58800 200 60200 1 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 52400 200 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 54000 200 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 55600 200 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 41200 200 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 42800 200 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 26800 200 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 30000 200 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 33200 200 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 36400 200 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 23600 200 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 0 68400 200 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 65200 200 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 60400 200 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 57200 200 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 46000 200 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 39600 200 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 25200 200 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 14000 200 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 17200 200 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 20400 200 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 0 62000 200 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal3 s 0 50800 200 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal3 s 0 63600 200 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal3 s 0 49200 200 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal3 s 14800 49200 15000 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal3 s 14800 63600 15000 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal3 s 14800 50800 15000 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal3 s 14800 62000 15000 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal3 s 14800 20400 15000 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 17200 15000 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 14000 15000 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 25200 15000 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 39600 15000 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 46000 15000 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 57200 15000 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 60400 15000 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 65200 15000 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 68400 15000 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal3 s 14800 23600 15000 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 36400 15000 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 33200 15000 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 30000 15000 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 26800 15000 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 42800 15000 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 41200 15000 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 55600 15000 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 54000 15000 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 52400 15000 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 58800 15000 60200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal3 s 14800 66800 15000 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 15000 70000
+<< end >>
diff --git a/gf180mcu/custom/gf180mcu_fd_io/mag/4lm/gf180mcu_ef_io__bi_t.mag b/gf180mcu/custom/gf180mcu_fd_io/mag/4lm/gf180mcu_ef_io__bi_t.mag
new file mode 100644
index 0000000..da12df8
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/mag/4lm/gf180mcu_ef_io__bi_t.mag
@@ -0,0 +1,209 @@
+magic
+tech gf180mcuB
+magscale 1 10
+timestamp 1698938327
+<< metal2 >>
+rect 672 69794 748 70000
+rect 1193 69794 1269 70000
+rect 1422 69794 1498 70000
+rect 1564 69794 1640 70000
+rect 1696 62761 1772 70000
+rect 2066 69794 2142 70000
+rect 2277 69794 2353 70000
+rect 13734 69794 13810 70000
+rect 13880 69794 13956 70000
+rect 14026 69794 14102 70000
+rect 14172 69794 14248 70000
+rect 1696 62587 1764 62761
+rect 1696 58950 1772 62587
+rect 1696 58870 3333 58950
+<< metal4 >>
+rect 0 68400 200 69678
+rect 14800 68400 15000 69678
+rect 0 66800 200 68200
+rect 14800 66800 15000 68200
+rect 0 65200 200 66600
+rect 14800 65200 15000 66600
+rect 0 63600 200 65000
+rect 14800 63600 15000 65000
+rect 0 62000 200 63400
+rect 14800 62000 15000 63400
+rect 0 60400 200 61800
+rect 14800 60400 15000 61800
+rect 0 58800 200 60200
+rect 14800 58800 15000 60200
+rect 0 57200 200 58600
+rect 14800 57200 15000 58600
+rect 0 55600 200 57000
+rect 14800 55600 15000 57000
+rect 0 54000 200 55400
+rect 14800 54000 15000 55400
+rect 0 52400 200 53800
+rect 14800 52400 15000 53800
+rect 0 50800 200 52200
+rect 14800 50800 15000 52200
+rect 0 49200 200 50600
+rect 14800 49200 15000 50600
+rect 0 46000 200 49000
+rect 14800 46000 15000 49000
+rect 0 42800 200 45800
+rect 14800 42800 15000 45800
+rect 0 41200 200 42600
+rect 14800 41200 15000 42600
+rect 0 39600 200 41000
+rect 14800 39600 15000 41000
+rect 0 36400 200 39400
+rect 14800 36400 15000 39400
+rect 0 33200 200 36200
+rect 14800 33200 15000 36200
+rect 0 30000 200 33000
+rect 14800 30000 15000 33000
+rect 0 26800 200 29800
+rect 14800 26800 15000 29800
+rect 0 25200 200 26600
+rect 14800 25200 15000 26600
+rect 0 23600 200 25000
+rect 14800 23600 15000 25000
+rect 0 20400 200 23400
+rect 14800 20400 15000 23400
+rect 0 17200 200 20200
+rect 14800 17200 15000 20200
+rect 0 14000 200 17000
+rect 14800 14000 15000 17000
+rect 5000 4000 10000 9000
+use gf180mcu_fd_io__bi_t  gf180mcu_fd_io__bi_t_0 $PDKPATH/libs.ref/gf180mcu_fd_io/mag
+timestamp 1698343010
+transform 1 0 0 0 1 0
+box -32 0 15032 70000
+<< labels >>
+flabel metal2 s 672 69924 748 70000 0 FreeSans 240 0 0 0 CS
+port 2 nsew signal input
+flabel metal2 s 1193 69924 1269 70000 0 FreeSans 240 0 0 0 PU
+port 11 nsew signal input
+flabel metal2 s 1422 69924 1498 70000 0 FreeSans 240 0 0 0 PDRV0
+port 9 nsew signal input
+flabel metal2 s 1564 69924 1640 70000 0 FreeSans 240 0 0 0 PDRV1
+port 10 nsew signal input
+flabel metal2 s 2066 69924 2142 70000 0 FreeSans 240 0 0 0 PD
+port 8 nsew signal input
+flabel metal2 s 2277 69924 2353 70000 0 FreeSans 240 0 0 0 IE
+port 5 nsew signal input
+flabel metal2 s 13734 69924 13810 70000 0 FreeSans 240 0 0 0 SL
+port 12 nsew signal input
+flabel metal2 s 13880 69924 13956 70000 0 FreeSans 240 0 0 0 A
+port 1 nsew signal input
+flabel metal2 s 14026 69924 14102 70000 0 FreeSans 240 0 0 0 OE
+port 6 nsew signal input
+flabel metal2 s 14172 69924 14248 70000 0 FreeSans 240 0 0 0 Y
+port 15 nsew signal output
+flabel metal2 1696 69924 1772 70000 0 FreeSans 240 0 0 0 ANA
+port 16 nsew
+flabel metal4 s 5000 4000 10000 9000 0 FreeSans 1600 0 0 0 PAD
+port 7 nsew signal bidirectional
+flabel metal4 s 0 66800 200 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 58800 200 60200 1 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 52400 200 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 54000 200 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 55600 200 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 41200 200 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 42800 200 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 26800 200 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 30000 200 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 33200 200 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 36400 200 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 23600 200 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 0 68400 200 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 65200 200 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 60400 200 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 57200 200 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 46000 200 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 39600 200 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 25200 200 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 14000 200 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 17200 200 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 20400 200 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 0 62000 200 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal4 s 0 50800 200 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal4 s 0 63600 200 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal4 s 0 49200 200 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal4 s 14800 49200 15000 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal4 s 14800 63600 15000 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal4 s 14800 50800 15000 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal4 s 14800 62000 15000 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal4 s 14800 20400 15000 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 17200 15000 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 14000 15000 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 25200 15000 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 39600 15000 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 46000 15000 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 57200 15000 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 60400 15000 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 65200 15000 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 68400 15000 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal4 s 14800 23600 15000 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 36400 15000 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 33200 15000 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 30000 15000 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 26800 15000 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 42800 15000 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 41200 15000 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 55600 15000 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 54000 15000 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 52400 15000 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 58800 15000 60200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal4 s 14800 66800 15000 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 15000 70000
+<< end >>
diff --git a/gf180mcu/custom/gf180mcu_fd_io/mag/5lm/gf180mcu_ef_io__bi_t.mag b/gf180mcu/custom/gf180mcu_fd_io/mag/5lm/gf180mcu_ef_io__bi_t.mag
new file mode 100644
index 0000000..3145323
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/mag/5lm/gf180mcu_ef_io__bi_t.mag
@@ -0,0 +1,209 @@
+magic
+tech gf180mcuD
+magscale 1 10
+timestamp 1698938327
+<< metal2 >>
+rect 672 69794 748 70000
+rect 1193 69794 1269 70000
+rect 1422 69794 1498 70000
+rect 1564 69794 1640 70000
+rect 1696 62761 1772 70000
+rect 2066 69794 2142 70000
+rect 2277 69794 2353 70000
+rect 13734 69794 13810 70000
+rect 13880 69794 13956 70000
+rect 14026 69794 14102 70000
+rect 14172 69794 14248 70000
+rect 1696 62587 1764 62761
+rect 1696 58950 1772 62587
+rect 1696 58870 3333 58950
+<< metal5 >>
+rect 0 68400 200 69678
+rect 14800 68400 15000 69678
+rect 0 66800 200 68200
+rect 14800 66800 15000 68200
+rect 0 65200 200 66600
+rect 14800 65200 15000 66600
+rect 0 63600 200 65000
+rect 14800 63600 15000 65000
+rect 0 62000 200 63400
+rect 14800 62000 15000 63400
+rect 0 60400 200 61800
+rect 14800 60400 15000 61800
+rect 0 58800 200 60200
+rect 14800 58800 15000 60200
+rect 0 57200 200 58600
+rect 14800 57200 15000 58600
+rect 0 55600 200 57000
+rect 14800 55600 15000 57000
+rect 0 54000 200 55400
+rect 14800 54000 15000 55400
+rect 0 52400 200 53800
+rect 14800 52400 15000 53800
+rect 0 50800 200 52200
+rect 14800 50800 15000 52200
+rect 0 49200 200 50600
+rect 14800 49200 15000 50600
+rect 0 46000 200 49000
+rect 14800 46000 15000 49000
+rect 0 42800 200 45800
+rect 14800 42800 15000 45800
+rect 0 41200 200 42600
+rect 14800 41200 15000 42600
+rect 0 39600 200 41000
+rect 14800 39600 15000 41000
+rect 0 36400 200 39400
+rect 14800 36400 15000 39400
+rect 0 33200 200 36200
+rect 14800 33200 15000 36200
+rect 0 30000 200 33000
+rect 14800 30000 15000 33000
+rect 0 26800 200 29800
+rect 14800 26800 15000 29800
+rect 0 25200 200 26600
+rect 14800 25200 15000 26600
+rect 0 23600 200 25000
+rect 14800 23600 15000 25000
+rect 0 20400 200 23400
+rect 14800 20400 15000 23400
+rect 0 17200 200 20200
+rect 14800 17200 15000 20200
+rect 0 14000 200 17000
+rect 14800 14000 15000 17000
+rect 5000 4000 10000 9000
+use gf180mcu_fd_io__bi_t  gf180mcu_fd_io__bi_t_0 $PDKPATH/libs.ref/gf180mcu_fd_io/mag
+timestamp 1698343010
+transform 1 0 0 0 1 0
+box -32 0 15032 70000
+<< labels >>
+flabel metal2 s 672 69924 748 70000 0 FreeSans 240 0 0 0 CS
+port 2 nsew signal input
+flabel metal2 s 1193 69924 1269 70000 0 FreeSans 240 0 0 0 PU
+port 11 nsew signal input
+flabel metal2 s 1422 69924 1498 70000 0 FreeSans 240 0 0 0 PDRV0
+port 9 nsew signal input
+flabel metal2 s 1564 69924 1640 70000 0 FreeSans 240 0 0 0 PDRV1
+port 10 nsew signal input
+flabel metal2 s 2066 69924 2142 70000 0 FreeSans 240 0 0 0 PD
+port 8 nsew signal input
+flabel metal2 s 2277 69924 2353 70000 0 FreeSans 240 0 0 0 IE
+port 5 nsew signal input
+flabel metal2 s 13734 69924 13810 70000 0 FreeSans 240 0 0 0 SL
+port 12 nsew signal input
+flabel metal2 s 13880 69924 13956 70000 0 FreeSans 240 0 0 0 A
+port 1 nsew signal input
+flabel metal2 s 14026 69924 14102 70000 0 FreeSans 240 0 0 0 OE
+port 6 nsew signal input
+flabel metal2 s 14172 69924 14248 70000 0 FreeSans 240 0 0 0 Y
+port 15 nsew signal output
+flabel metal2 1696 69924 1772 70000 0 FreeSans 240 0 0 0 ANA
+port 16 nsew
+flabel metal5 s 5000 4000 10000 9000 0 FreeSans 1600 0 0 0 PAD
+port 7 nsew signal bidirectional
+flabel metal5 s 0 66800 200 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 58800 200 60200 1 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 52400 200 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 54000 200 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 55600 200 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 41200 200 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 42800 200 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 26800 200 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 30000 200 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 33200 200 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 36400 200 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 23600 200 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 0 68400 200 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 65200 200 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 60400 200 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 57200 200 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 46000 200 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 39600 200 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 25200 200 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 14000 200 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 17200 200 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 20400 200 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 0 62000 200 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal5 s 0 50800 200 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal5 s 0 63600 200 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal5 s 0 49200 200 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal5 s 14800 49200 15000 50600 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal5 s 14800 63600 15000 65000 0 FreeSans 560 90 0 0 VSS
+port 14 nsew ground bidirectional
+flabel metal5 s 14800 50800 15000 52200 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal5 s 14800 62000 15000 63400 0 FreeSans 560 90 0 0 VDD
+port 13 nsew power bidirectional
+flabel metal5 s 14800 20400 15000 23400 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 17200 15000 20200 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 14000 15000 17000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 25200 15000 26600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 39600 15000 41000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 46000 15000 49000 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 57200 15000 58600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 60400 15000 61800 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 65200 15000 66600 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 68400 15000 69678 0 FreeSans 560 90 0 0 DVSS
+port 4 nsew ground bidirectional
+flabel metal5 s 14800 23600 15000 25000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 36400 15000 39400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 33200 15000 36200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 30000 15000 33000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 26800 15000 29800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 42800 15000 45800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 41200 15000 42600 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 55600 15000 57000 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 54000 15000 55400 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 52400 15000 53800 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 58800 15000 60200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+flabel metal5 s 14800 66800 15000 68200 0 FreeSans 560 90 0 0 DVDD
+port 3 nsew power bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 15000 70000
+<< end >>
diff --git a/gf180mcu/custom/gf180mcu_fd_io/mag/README b/gf180mcu/custom/gf180mcu_fd_io/mag/README
new file mode 100644
index 0000000..2144839
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/mag/README
@@ -0,0 +1,12 @@
+This custom library addendum to gf180mcu_fd_io contains an overlay
+pad for the bidirectional GPIO pad featuring an additional pin
+with a connection directly to the pad on the near side of the 100
+ohm ESD resistor, making an analog connection to the pad through
+a series 100 ohm resistor.
+
+There are three sets of pads in the PDK for 3, 4, and 5 layers of
+metal, which correspond to open_pdks' gf180mcuA, B, and C/D,
+respectively.  The base cellname is the same in each case but the
+LEF and GDS file names are given a suffix _3lm, _4lm, or _5lm.
+Since the magic database file is always the same as the cell name,
+the three versions have been placed in separate subdirectories.
diff --git a/gf180mcu/custom/gf180mcu_fd_io/spice/gf180mcu_ef_io__bi_t.spice b/gf180mcu/custom/gf180mcu_fd_io/spice/gf180mcu_ef_io__bi_t.spice
new file mode 100644
index 0000000..1b2412e
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/spice/gf180mcu_ef_io__bi_t.spice
@@ -0,0 +1,575 @@
+* Copyright 2022 GlobalFoundries PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     http://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+.SUBCKT gf180mcu_ef_io__bi_t A ANA CS DVDD DVSS IE OE PAD PD PDRV0 PDRV1 PU SL VDD VSS Y
+X0 DVDD DVSS cap_nmos_06v0 m=4.0 c_length=3e-6 c_width=3e-6
+X1 DVDD DVSS cap_nmos_06v0 m=10.0 c_length=1.5e-6 c_width=5e-6
+X2 n67 n75 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12 ad=1.32e-12
++ ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X3 n37 n67 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12 ad=1.32e-12
++ ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X4 n72 OE VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12 ad=1.32e-12
++ ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X5 n75 A n72 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X6 n67 n75 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12 ad=2.64e-12
++ ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X7 n37 n67 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12 ad=2.64e-12
++ ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X8 n75 OE VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12 ad=1.32e-12
++ ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X9 n75 A VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12 ad=1.32e-12
++ ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X10 PAD n43 DVDD DVDD pfet_06v0_dss m=1.0 w=80e-6 l=700e-9 nf=2.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X11 PAD n55 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X12 PAD n52 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X13 PAD n48 DVDD DVDD pfet_06v0_dss m=1.0 w=40e-6 l=700e-9 nf=1.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X14 PAD n42 DVDD DVDD pfet_06v0_dss m=1.0 w=80e-6 l=700e-9 nf=2.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X15 PAD n56 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X16 PAD n51 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X17 PAD n47 DVDD DVDD pfet_06v0_dss m=1.0 w=40e-6 l=700e-9 nf=1.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X18 PAD n44 DVDD DVDD pfet_06v0_dss m=1.0 w=80e-6 l=700e-9 nf=2.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X19 PAD n54 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X20 PAD n53 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X21 PAD n49 DVDD DVDD pfet_06v0_dss m=1.0 w=40e-6 l=700e-9 nf=1.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X22 PAD n45 DVDD DVDD pfet_06v0_dss m=1.0 w=80e-6 l=700e-9 nf=2.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X23 PAD n57 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X24 PAD n50 DVSS DVSS nfet_06v0_dss m=1.0 w=38e-6 l=1.15e-6 nf=1.0 s_sab=280e-9
++ d_sab=3.78e-6 par=1 dtemp=0.0
+X25 PAD n46 DVDD DVDD pfet_06v0_dss m=1.0 w=40e-6 l=700e-9 nf=1.0 s_sab=280e-9
++ d_sab=2.78e-6 par=1 dtemp=0.0
+X26 n58 n41 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12 ad=780e-15
++ ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9 sb=440e-9
++ sd=520e-9 dtemp=0.0 par=1
+X27 n175 SL VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X28 n41 n175 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X29 n58 n41 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X30 n175 SL VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X31 n41 n175 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+D32 A VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+D33 SL VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+D34 VSS PDRV0 diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+D35 VSS OE diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+X36 n188 PDRV0 VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X37 n179 OE n188 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X38 n39 n36 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X39 n36 n179 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X40 n179 PDRV0 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X41 n179 OE VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X42 n39 n36 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X43 n36 n179 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+D44 VSS PDRV1 diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+D45 VSS OE diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+X46 n198 PDRV1 VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X47 n189 OE n198 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X48 n35 n33 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X49 n33 n189 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X50 n189 PDRV1 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X51 n189 OE VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X52 n35 n33 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X53 n33 n189 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+D54 VSS VDD diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+D55 VSS OE diode_pd2nw_06v0 m=1.0 area=230.4e-15 pj=1.92e-6
+X56 n208 VDD VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X57 n199 OE n208 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X58 n32 n31 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X59 n31 n199 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X60 n199 VDD VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X61 n199 OE VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X62 n32 n31 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X63 n31 n199 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X64 n46 n58 n45 DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X65 n45 DVDD n46 DVSS nfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X66 n50 n209 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X67 n57 n209 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X68 n46 n214 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X69 n214 n37 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X70 n214 n39 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X71 n209 n36 n214 DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X72 n50 n41 n57 DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X73 n209 n36 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X74 n46 n214 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X75 n57 n209 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X76 n50 DVSS n57 DVDD pfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X77 n209 n37 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X78 n214 n39 n209 DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X79 n45 n214 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X80 n47 n58 n42 DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X81 n42 DVDD n47 DVSS nfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X82 n51 n222 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X83 n56 n222 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X84 n47 n227 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X85 n227 n37 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X86 n227 n35 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X87 n222 n33 n227 DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X88 n51 n41 n56 DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X89 n222 n33 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X90 n47 n227 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X91 n56 n222 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X92 n51 DVSS n56 DVDD pfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X93 n222 n37 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X94 n227 n35 n222 DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X95 n42 n227 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X96 n48 n58 n43 DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X97 n43 DVDD n48 DVSS nfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X98 n52 n235 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X99 n55 n235 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X100 n48 n240 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X101 n240 n37 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X102 n240 n35 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X103 n235 n33 n240 DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X104 n52 n41 n55 DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X105 n235 n33 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X106 n48 n240 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X107 n55 n235 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X108 n52 DVSS n55 DVDD pfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X109 n235 n37 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X110 n240 n35 n235 DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X111 n43 n240 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X112 n49 n58 n44 DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X113 n44 DVDD n49 DVSS nfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X114 n53 n248 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X115 n54 n248 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X116 n49 n253 DVSS DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X117 n253 n37 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X118 n253 n32 DVSS DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X119 n248 n31 n253 DVSS nfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X120 n53 n41 n54 DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X121 n248 n31 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X122 n49 n253 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X123 n54 n248 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X124 n53 DVSS n54 DVDD pfet_06v0 m=1.0 w=1.2e-6 l=700e-9 nf=1.0 as=528e-15
++ ad=528e-15 ps=3.28e-6 pd=3.28e-6 nrd=366.667e-3 nrs=366.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X125 n248 n37 DVDD DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X126 n253 n32 n248 DVDD pfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X127 n44 n253 DVDD DVDD pfet_06v0 m=1.0 w=24e-6 l=700e-9 nf=1.0 as=10.56e-12
++ ad=10.56e-12 ps=48.88e-6 pd=48.88e-6 nrd=18.333e-3 nrs=18.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X128 n273 n262 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X129 n286 IE VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X130 n262 n286 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X131 n273 n262 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X132 n286 IE VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X133 n262 n286 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X134 n279 n263 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X135 n294 CS VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X136 n263 n294 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X137 n279 n263 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X138 n294 CS VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X139 n263 n294 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X140 n277 n265 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X141 n302 n266 VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X142 n265 n302 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X143 n277 n265 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X144 n302 n266 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X145 n265 n302 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X146 n281 n268 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X147 n310 n264 VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X148 n268 n310 DVSS DVSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=2.0 as=1.32e-12
++ ad=780e-15 ps=7.76e-6 pd=4.04e-6 nrd=86.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X149 n281 n268 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+X150 n310 n264 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X151 n268 n310 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=2.0 as=2.64e-12
++ ad=1.56e-12 ps=13.76e-6 pd=7.04e-6 nrd=43.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=520e-9 dtemp=0.0 par=1
+D152 PD VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+D153 IE VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+D154 CS VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+D155 PU VDD diode_pd2nw_06v0 m=1.0 area=1e-12 pj=4e-6
+X156 n318 n263 DVDD DVDD pfet_06v0 m=1.0 w=8e-6 l=700e-9 nf=1.0 as=3.52e-12
++ ad=3.52e-12 ps=16.88e-6 pd=16.88e-6 nrd=55e-3 nrs=55e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X157 DVDD n263 n319 DVDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X158 n320 ANA DVDD DVDD pfet_06v0 m=1.0 w=3.8e-6 l=700e-9 nf=1.0 as=1.672e-12
++ ad=1.672e-12 ps=8.48e-6 pd=8.48e-6 nrd=115.789e-3 nrs=115.789e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X159 DVSS n319 n320 DVDD pfet_06v0 m=1.0 w=3.8e-6 l=700e-9 nf=1.0 as=1.672e-12
++ ad=1.672e-12 ps=8.48e-6 pd=8.48e-6 nrd=115.789e-3 nrs=115.789e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X160 n280 n262 DVDD DVDD pfet_06v0 m=1.0 w=6e-6 l=700e-9 nf=1.0 as=2.64e-12
++ ad=2.64e-12 ps=12.88e-6 pd=12.88e-6 nrd=73.333e-3 nrs=73.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X161 n280 n318 n315 DVDD pfet_06v0 m=1.0 w=2e-6 l=700e-9 nf=1.0 as=880e-15
++ ad=880e-15 ps=4.88e-6 pd=4.88e-6 nrd=220e-3 nrs=220e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X162 n280 n318 n319 DVDD pfet_06v0 m=1.0 w=2e-6 l=700e-9 nf=1.0 as=880e-15
++ ad=880e-15 ps=4.88e-6 pd=4.88e-6 nrd=220e-3 nrs=220e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X163 n280 ANA n320 DVDD pfet_06v0 m=1.0 w=4.3e-6 l=700e-9 nf=1.0 as=1.892e-12
++ ad=1.892e-12 ps=9.48e-6 pd=9.48e-6 nrd=102.326e-3 nrs=102.326e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X164 n325 n262 DVSS DVSS nfet_06v0 m=1.0 w=16e-6 l=700e-9 nf=1.0 as=7.04e-12
++ ad=7.04e-12 ps=32.88e-6 pd=32.88e-6 nrd=27.5e-3 nrs=27.5e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X165 n317 ANA n325 DVSS nfet_06v0 m=1.0 w=10.6e-6 l=700e-9 nf=1.0 as=4.664e-12
++ ad=4.664e-12 ps=22.08e-6 pd=22.08e-6 nrd=41.509e-3 nrs=41.509e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X166 n280 ANA n317 DVSS nfet_06v0 m=1.0 w=12e-6 l=700e-9 nf=1.0 as=5.28e-12
++ ad=5.28e-12 ps=24.88e-6 pd=24.88e-6 nrd=36.667e-3 nrs=36.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X167 n318 n263 DVSS DVSS nfet_06v0 m=1.0 w=4e-6 l=700e-9 nf=1.0 as=1.76e-12
++ ad=1.76e-12 ps=8.88e-6 pd=8.88e-6 nrd=110e-3 nrs=110e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X168 n280 n263 n315 DVSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X169 n280 n263 n319 DVSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X170 DVDD n315 n317 DVSS nfet_06v0 m=1.0 w=1.3e-6 l=700e-9 nf=1.0 as=572e-15
++ ad=572e-15 ps=3.48e-6 pd=3.48e-6 nrd=338.462e-3 nrs=338.462e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X171 DVSS n318 n315 DVSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X172 n333 n280 DVDD DVDD pfet_06v0 m=1.0 w=2e-6 l=700e-9 nf=1.0 as=880e-15
++ ad=880e-15 ps=4.88e-6 pd=4.88e-6 nrd=220e-3 nrs=220e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X173 n330 n333 VDD VDD pfet_06v0 m=1.0 w=10e-6 l=700e-9 nf=1.0 as=4.4e-12
++ ad=4.4e-12 ps=20.88e-6 pd=20.88e-6 nrd=44e-3 nrs=44e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X174 Y n330 VDD VDD pfet_06v0 m=1.0 w=21e-6 l=700e-9 nf=1.0 as=9.24e-12
++ ad=9.24e-12 ps=42.88e-6 pd=42.88e-6 nrd=20.952e-3 nrs=20.952e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X175 n333 n280 DVSS DVSS nfet_06v0 m=1.0 w=8e-6 l=700e-9 nf=1.0 as=3.52e-12
++ ad=3.52e-12 ps=16.88e-6 pd=16.88e-6 nrd=55e-3 nrs=55e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X176 Y n330 VSS VSS nfet_06v0 m=1.0 w=9e-6 l=700e-9 nf=1.0 as=3.96e-12
++ ad=3.96e-12 ps=18.88e-6 pd=18.88e-6 nrd=48.889e-3 nrs=48.889e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X177 n330 n333 VSS VSS nfet_06v0 m=1.0 w=2.5e-6 l=700e-9 nf=1.0 as=1.1e-12
++ ad=1.1e-12 ps=5.88e-6 pd=5.88e-6 nrd=176e-3 nrs=176e-3 sa=440e-9 sb=440e-9
++ sd=0.0 dtemp=0.0 par=1
+X178 n266 n340 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X179 n266 PU VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X180 n341 PU VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X181 n266 n340 n341 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X182 n264 PD VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X183 n264 n340 VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X184 n347 n340 VSS VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X185 n264 PD n347 VSS nfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X186 n353 n359 n340 VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X187 PU PD n340 VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X188 n359 PD VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X189 n353 PU VDD VDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X190 n353 PD n340 VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X191 PU n359 n340 VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X192 n359 PD VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X193 n353 PU VSS VSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X194 ANA n362 DVDD ppolyf_u r_width=800e-9 r_length=23e-6 m=1.0 r=9.94533e3 par=1
+X195 n362 n361 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X196 n361 n360 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X197 n360 n363 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X198 n363 n368 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X199 n368 n367 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X200 n367 n364 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X201 n364 n365 DVDD ppolyf_u r_width=800e-9 r_length=35.7e-6 m=1.0 r=15.3065e3 par=1
+X202 n365 n281 DVSS DVSS nfet_06v0 m=1.0 w=1.5e-6 l=700e-9 nf=1.0 as=660e-15
++ ad=660e-15 ps=3.88e-6 pd=3.88e-6 nrd=293.333e-3 nrs=293.333e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+X203 n365 n265 DVDD DVDD pfet_06v0 m=1.0 w=3e-6 l=700e-9 nf=1.0 as=1.32e-12
++ ad=1.32e-12 ps=6.88e-6 pd=6.88e-6 nrd=146.667e-3 nrs=146.667e-3 sa=440e-9
++ sb=440e-9 sd=0.0 dtemp=0.0 par=1
+D204 DVSS ANA diode_nd2ps_06v0 m=2.0 area=20e-12 pj=42e-6
+D205 ANA DVDD diode_pd2nw_06v0 m=2.0 area=20e-12 pj=42e-6
+X206 PAD ANA DVDD ppolyf_u r_width=2.5e-6 r_length=2.8e-6 m=1.0 r=432.59 par=1
+X207 PAD ANA DVDD ppolyf_u r_width=2.5e-6 r_length=2.8e-6 m=1.0 r=449.157 par=1
+X208 PAD ANA DVDD ppolyf_u r_width=2.5e-6 r_length=2.8e-6 m=1.0 r=432.59 par=1
+X209 PAD ANA DVDD ppolyf_u r_width=2.5e-6 r_length=2.8e-6 m=1.0 r=449.157 par=1
+.ENDS
+
diff --git a/gf180mcu/custom/gf180mcu_fd_io/verilog/gf180mcu_ef_io__bi_t.v b/gf180mcu/custom/gf180mcu_fd_io/verilog/gf180mcu_ef_io__bi_t.v
new file mode 100644
index 0000000..72b0ed0
--- /dev/null
+++ b/gf180mcu/custom/gf180mcu_fd_io/verilog/gf180mcu_ef_io__bi_t.v
@@ -0,0 +1,86 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http:www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+`suppress_faults
+`enable_portfaults
+`ifdef functional
+  `timescale 1ns / 1ps
+  `delay_mode_distributed
+  `delay_mode_unit
+`else
+  `timescale 1ns / 1ps
+  `delay_mode_path
+`endif
+module gf180mcu_ef_io__bi_t (CS, SL, IE, OE, PU, PD, A, ANA, PDRV0, PDRV1, PAD, Y, DVDD, DVSS, VDD, VSS);
+	input	CS;
+	input	SL;
+	input	IE;
+	input	OE;
+	input	PU;
+	input	PD;
+	input	A;
+	inout	ANA;
+	input	PDRV0;
+	input	PDRV1;
+	inout	PAD;
+	output	Y;
+	inout	DVDD;
+	inout	DVSS;
+	inout	VDD;
+	inout	VSS;
+
+	supply0 gnd;
+	supply1 pwr;
+
+   	and #1 (Y, PAD, IE);
+   	bufif1 #1 (PAD, A, OE);
+
+ 	rnmos #1 (PAD, gnd, ~OE && ~PU && PD);
+ 	rnmos #1 (PAD, pwr, ~OE && PU && ~PD);
+
+ 	rnmos #1 (ANA, PAD, ~OE && ~IE);
+
+specify
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0) (A +=> PAD)=(1.000, 1.000);
+if (IE==1'b0&&OE==1'b1&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1) (A +=> PAD)=(1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b1&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b0&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b0&&PDRV1==1'b1&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b0&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b0) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (A==1'b0&&IE==1'b0&&PDRV0==1'b1&&PDRV1==1'b1&&SL==1'b1) (OE => PAD)=(1.000, 1.000, 1.000, 1.000, 1.000, 1.000);
+if (CS==1'b0&&OE==1'b0&&PAD==1'b1) (IE +=> Y)=(1.000, 1.000);
+if (CS==1'b1&&OE==1'b0&&PAD==1'b1) (IE +=> Y)=(1.000, 1.000);
+if (CS==1'b0&&IE==1'b1&&OE==1'b0) (PAD +=> Y)=(1.000, 1.000);
+if (CS==1'b1&&IE==1'b1&&OE==1'b0) (PAD +=> Y)=(1.000, 1.000);
+endspecify
+endmodule
diff --git a/gf180mcu/magic/gf180mcu.tech b/gf180mcu/magic/gf180mcu.tech
index d9474d0..8234d73 100644
--- a/gf180mcu/magic/gf180mcu.tech
+++ b/gf180mcu/magic/gf180mcu.tech
@@ -716,6 +716,8 @@
 # NWELL
 #-----------------------------------------------------
  layer NWELL 	allnwell
+	# Require nwell under n-tap and p-diffusion
+	or	*nsd,*mvnsd,*pdiff,*mvpdiff,allpfets,pdiffres,mvpdiffres
 	labels  allnwell noport
 	close	1000000
  	calma 	21 0
@@ -2456,8 +2458,7 @@
  and MET3
  and CAPM
  and CAPDEF
- grow 90
- grow 250
+ grow 260
  shrink 250
 #endif (METALS3 && MIM)
 #endif (METALS3 || METALS4 || METALS5 || METALS6)
@@ -2496,8 +2497,7 @@
  and MET4
  and CAPM
  and CAPDEF
- grow 90
- grow 250
+ grow 260
  shrink 250
 #endif (METALS4 && MIM)
 #endif (METALS4 || METALS5 || METALS6)
@@ -2536,8 +2536,7 @@
  and MET5
  and CAPM
  and CAPDEF
- grow 90
- grow 250
+ grow 260
  shrink 250
 #endif (METALS5 && MIM)
 #endif (METALS5 || METALS6)
@@ -3009,9 +3008,9 @@
  spacing allpolysblkres allpolysblkres 250 touching_ok \
 	"Poly resistor spacing < %d (PRES.2)
 
- spacing allpolysblkres allpolynonres 450 touching_ok \
+ spacing allpolysblkres allpolynonres 600 touching_ok \
 	"Poly resistor spacing to unrelated poly < %d (PRES.4)"
- spacing allpolysblkres allactive,allactiveres 440 touching_illegal \
+ spacing allpolysblkres allactive,allactiveres 600 touching_illegal \
 	"Poly resistor spacing to diffusion < %d (PRES.3)"
 
  spacing allactiveres allactiveres 400 touching_ok \
diff --git a/gf180mcu/magic/gf180mcugds.tech b/gf180mcu/magic/gf180mcugds.tech
index 4dedfa0..a7ba82d 100644
--- a/gf180mcu/magic/gf180mcugds.tech
+++ b/gf180mcu/magic/gf180mcugds.tech
@@ -1,11 +1,11 @@
 tech
     format 33
-    GF013_GDS
+    GF018MCU_GDS
 end
 
 version
     version REVISION
-    description "Global Foundries GF013 (013BCDlite): Vendor GDS layers"
+    description "Global Foundries GF018MCU: Vendor GDS layers"
 end
 
 planes
diff --git a/sky130/Makefile.in b/sky130/Makefile.in
index 99a6611..526ea9b 100644
--- a/sky130/Makefile.in
+++ b/sky130/Makefile.in
@@ -1520,6 +1520,8 @@
 	${STAGE} -source ${SKY130_PR_PATH} -target ${STAGING_PATH}/${SKY130$*} \
 		-ngspice models/* \
 		filter=custom/scripts/rename_models.py \
+		-combined combined_models/* \
+		filter=custom/scripts/rename_models.py \
 		2>&1 | tee -a ${SKY130$*}_make.log
 	# Install base device library from vendor files.
 	${STAGE} -source ${SKY130_PR_PATH} -target ${STAGING_PATH}/${SKY130$*} \
diff --git a/sky130/magic/sky130.tech b/sky130/magic/sky130.tech
index f7d977b..597e3e7 100644
--- a/sky130/magic/sky130.tech
+++ b/sky130/magic/sky130.tech
@@ -259,7 +259,6 @@
   metal1 rmetal1,rm1,rmet1
   metal1 via1,m2contact,m2cut,m2c,via,v,v1
  -metal1 obsm1
-  metal1 padl
  -metal1 m1fill
 
 #ifdef RERAM
@@ -363,10 +362,6 @@
   mimcc  mimcap metal4
   mim2cc mimcap2 metal5
 #endif (MIM)
-
-  padl m1 m2 m3 m4 m5 glass
-#else
-  padl m1 m2 m3 glass
 #endif  (!METAL5)
 
 #ifdef REDISTRIBUTION
@@ -461,8 +456,6 @@
   allm5	   	*m5,rm5
 #endif (METAL5)
 
-  allpad	padl
-
   psub		pwell
 
   obstypes	obswell,mvobsactive,obsactive,obsli,obsmcon,obsm1,obsm2,obsm3,obsm4,obsm5,obsmrdl,obscomment
@@ -629,12 +622,6 @@
   obswell   cwell
   obsactive implant4
 
-#ifndef METAL5
-  padl      metal4 via4 overglass
-#else
-  padl      metal6 via6 overglass
-#endif
-
   magnet    substrate_field_implant
   rotate    via3alt
   fence     via5
@@ -754,7 +741,7 @@
    allpactivenonfet	allpactivenonfet
   *poly,xpc,allfets,polyfill	*poly,xpc,allfets,polyfill
 #ifdef REDISTRIBUTION
-  # RDL connects to m5 (i.e., padl) through glass cut
+  # RDL connects to m5 through glass cut
   *mrdl			*mrdl
   glass			metrdl
 #endif (REDISTRIBUTION)
@@ -1445,7 +1432,7 @@
 	calma	74 16
 
   layer PI1	*metrdl
-	and	padl,glass
+	and	m5,glass
 	# Test only---needs GDS layer number
 
   layer	UBM	*metrdl
@@ -2120,7 +2107,7 @@
 # diff or poly.
 #---------------------------------------------------------
 
- templayer      obstruct_li_coarse allli,allpad,obsli,lifill,fillblock,fillblock4
+ templayer      obstruct_li_coarse allli,obsli,lifill,fillblock,fillblock4
         grow    2800
 	or	alldiff,allpoly
 	grow	200
@@ -2132,7 +2119,7 @@
         shrink  1495
         grow    1495
 
- templayer      obstruct_li_medium allli,allpad,obsli,lifill,fillblock,fillblock4
+ templayer      obstruct_li_medium allli,obsli,lifill,fillblock,fillblock4
         grow    2500
 	or	lifill_coarse
 	grow	300
@@ -2145,7 +2132,7 @@
         shrink  745
         grow    745
 
- templayer      obstruct_li_fine allli,allpad,obsli,lifill,fillblock,fillblock4
+ templayer      obstruct_li_fine allli,obsli,lifill,fillblock,fillblock4
 	or	lifill_coarse,lifill_medium
 	grow	300
 	or	alldiff,allpoly
@@ -2166,7 +2153,7 @@
 # MET1 fill
 #---------------------------------------------------
 
- templayer      obstruct_m1_coarse allm1,allpad,obsm1,m1fill,fillblock,fillblock4
+ templayer      obstruct_m1_coarse allm1,obsm1,m1fill,fillblock,fillblock4
         grow    3000
  templayer	met1fill_coarse topbox
         # slots   0 2000 200 0 2000 200 700 0
@@ -2176,7 +2163,7 @@
         shrink  995
         grow    995
 
- templayer      obstruct_m1_medium allm1,allpad,obsm1,m1fill,fillblock,fillblock4
+ templayer      obstruct_m1_medium allm1,obsm1,m1fill,fillblock,fillblock4
         grow    2800
 	or	met1fill_coarse
         grow    200
@@ -2187,7 +2174,7 @@
         shrink  495
         grow    495
 
- templayer      obstruct_m1_fine allm1,allpad,obsm1,m1fill,fillblock,fillblock4
+ templayer      obstruct_m1_fine allm1,obsm1,m1fill,fillblock,fillblock4
         grow    300
 	or	met1fill_coarse,met1fill_medium
         grow    200
@@ -2198,7 +2185,7 @@
         shrink  285
         grow    285
 
- templayer      obstruct_m1_veryfine allm1,allpad,obsm1,m1fill,fillblock,fillblock4
+ templayer      obstruct_m1_veryfine allm1,obsm1,m1fill,fillblock,fillblock4
         grow    100
 	or	met1fill_coarse,met1fill_medium,met1fill_fine
         grow    200
@@ -2218,7 +2205,7 @@
 #---------------------------------------------------
 # MET2 fill
 #---------------------------------------------------
- templayer      obstruct_m2 allm2,allpad,obsm2,m2fill,fillblock,fillblock4
+ templayer      obstruct_m2 allm2,obsm2,m2fill,fillblock,fillblock4
         grow    3000
  templayer	met2fill_coarse topbox
         # slots   0 2000 200 0 2000 200 700 350
@@ -2228,7 +2215,7 @@
         shrink  995
         grow    995
 
- templayer      obstruct_m2_medium allm2,allpad,obsm2,m2fill,fillblock,fillblock4
+ templayer      obstruct_m2_medium allm2,obsm2,m2fill,fillblock,fillblock4
         grow    2800
 	or	met2fill_coarse
         grow    200
@@ -2239,7 +2226,7 @@
         shrink  495
         grow    495
 
- templayer      obstruct_m2_fine allm2,allpad,obsm2,m2fill,fillblock,fillblock4
+ templayer      obstruct_m2_fine allm2,obsm2,m2fill,fillblock,fillblock4
         grow    300
 	or	met2fill_coarse,met2fill_medium
         grow    200
@@ -2250,7 +2237,7 @@
         shrink  285
         grow    285
 
- templayer      obstruct_m2_veryfine allm2,allpad,obsm2,m2fill,fillblock,fillblock4
+ templayer      obstruct_m2_veryfine allm2,obsm2,m2fill,fillblock,fillblock4
         grow    100
 	or	met2fill_coarse,met2fill_medium,met2fill_fine
         grow    200
@@ -2270,7 +2257,7 @@
 #---------------------------------------------------
 # MET3 fill
 #---------------------------------------------------
- templayer      obstruct_m3 allm3,allpad,obsm3,m3fill,fillblock,fillblock4
+ templayer      obstruct_m3 allm3,glass,obsm3,m3fill,fillblock,fillblock4
         grow    3000
  templayer	met3fill_coarse topbox
         # slots   0 2000 300 0 2000 300 700 700
@@ -2280,7 +2267,7 @@
         shrink  995
         grow    995
 
- templayer      obstruct_m3_medium allm3,allpad,obsm3,m3fill,fillblock,fillblock4
+ templayer      obstruct_m3_medium allm3,glass,obsm3,m3fill,fillblock,fillblock4
         grow    2700
 	or	met3fill_coarse
         grow    300
@@ -2291,7 +2278,7 @@
         shrink  495
         grow    495
 
- templayer      obstruct_m3_fine allm3,allpad,obsm3,m3fill,fillblock,fillblock4
+ templayer      obstruct_m3_fine allm3,glass,obsm3,m3fill,fillblock,fillblock4
         grow    200
 	or	met3fill_coarse,met3fill_medium
         grow    300
@@ -2302,7 +2289,7 @@
         shrink  285
         grow    285
 
- templayer      obstruct_m3_veryfine allm3,allpad,obsm3,m3fill,fillblock,fillblock4
+ templayer      obstruct_m3_veryfine allm3,glass,obsm3,m3fill,fillblock,fillblock4
 	# Note: Adding 0.1 to waffle rule to clear wide spacing rule
         grow    100
 	or	met3fill_coarse,met3fill_medium,met3fill_fine
@@ -2324,7 +2311,9 @@
 #---------------------------------------------------
 # MET4 fill
 #---------------------------------------------------
- templayer      obstruct_m4 allm4,allpad,obsm4,m4fill,fillblock,fillblock4
+ templayer      obstruct_m4 glass
+	grow	2500
+ 	or	allm4,obsm4,m4fill,fillblock,fillblock4
         grow    3000
  templayer	met4fill_coarse topbox
         # slots   0 2000 300 0 2000 300 700 1050
@@ -2334,7 +2323,9 @@
         shrink  995
         grow    995
 
- templayer      obstruct_m4_medium allm4,allpad,obsm4,m4fill,fillblock,fillblock4
+ templayer	obstruct_m4_medium glass
+	grow	2500
+	or	allm4,obsm4,m4fill,fillblock,fillblock4
         grow    2700
 	or	met4fill_coarse
         grow    300
@@ -2345,7 +2336,9 @@
         shrink  495
         grow    495
 
- templayer      obstruct_m4_fine allm4,allpad,obsm4,m4fill,fillblock,fillblock4
+ templayer      obstruct_m4_fine glass
+	grow	5000
+ 	or	allm4,obsm4,m4fill,fillblock,fillblock4
         grow    200
 	or	met4fill_coarse,met4fill_medium
         grow    300
@@ -2356,7 +2349,9 @@
         shrink  285
         grow    285
 
- templayer      obstruct_m4_veryfine allm4,allpad,obsm4,m4fill,fillblock,fillblock4
+ templayer      obstruct_m4_veryfine glass
+	grow	5100
+	or	allm4,obsm4,m4fill,fillblock,fillblock4
 	# Note: Adding 0.1 to waffle rule to clear wide spacing rule
         grow    100
 	or	met4fill_coarse,met4fill_medium,met4fill_fine
@@ -2377,7 +2372,7 @@
 #---------------------------------------------------
 # MET5 fill
 #---------------------------------------------------
- templayer      obstruct_m5 allm5,allpad,obsm5,m5fill,fillblock
+ templayer      obstruct_m5 allm5,glass,obsm5,m5fill,fillblock
         grow    3000
  templayer	met5fill_coarse topbox
         slots   0 5000 1600 0 5000 1600 1000 100
@@ -2386,7 +2381,7 @@
         shrink  2495
         grow    2495
 
- templayer      obstruct_m5_medium allm5,allpad,obsm5,m5fill,fillblock
+ templayer      obstruct_m5_medium allm5,glass,obsm5,m5fill,fillblock
         grow    1400
 	or	met5fill_coarse
         grow    1600
@@ -4809,7 +4804,7 @@
  width metrdl 10000 "RDL width < %d (rdl.1)"
  spacing metrdl metrdl 10000 touching_ok "RDL spacing < %d (rdl.2)"
  surround glass metrdl 10750 absence_ok "RDL must surround glass cut by %d (rdl.3)"
- spacing padl metrdl 19660 surround_ok "RDL spacing to unrelated pad < %d (rdl.6)"
+ spacing glass metrdl 19660 surround_ok "RDL spacing to unrelated pad < %d (rdl.6)"
 
 variants (fast),(full)
 
diff --git a/sky130/sky130.json b/sky130/sky130.json
index 1a1f1ce..6a870c3 100644
--- a/sky130/sky130.json
+++ b/sky130/sky130.json
@@ -94,15 +94,15 @@
         "magic": "MAGIC_COMMIT"
     },
     "reference": {
-        "open_pdks": "392b92efc2a0be83c1381e739abaf48cc6135c7e",
-        "magic": "610c86a2340701e49ac23654f81233bedf6724fa",
-        "sky130_fd_pr": "0187549b9196b3eadd494b52c7c0333185956764",
-        "sky130_fd_io": "2b8ce839a273734b2b1ec51b632c948f31814eb5",
+        "open_pdks": "369e64e543420707470300a2043c434c0347ea55",
+        "magic": "84af8016081eb5d433a910512a9ff0b6cd319aeb",
+        "sky130_fd_pr": "223af0cc415d8b22fc06e4943eacf3ccc63ba541",
+        "sky130_fd_io": "979f0a09c39b12e195e5da872eeac62c9f49f8b1",
         "sky130_fd_sc_hs": "51e41a54135f6f345f415f1f7a19c59a4d363c23",
         "sky130_fd_sc_ms": "70ba6f4ac3cc06a1f740326556386baacf8aba7b",
         "sky130_fd_sc_ls": "9ab031f0d83a0e45c38391b421f94daed7638c12",
         "sky130_fd_sc_lp": "4a1f17641327a6cb02c185e29c336bf0016f05d9",
-        "sky130_fd_sc_hd": "008ea7938fb2614ca64b559a7ca387d80e7f55b7",
+        "sky130_fd_sc_hd": "0e82561ef77f179377b70654bf4a0e38c9a01eca",
         "sky130_fd_sc_hdll": "3db78f5defcf0b6a5c85cfe10921852d504900be",
         "sky130_fd_sc_hvl": "c7e80a4802fc1df49b007b99d4a1f61120e3a37f",
         "sky130_osu_sc_t12": "ac90ef0c622a9377a16b5218d9da3ac4169eeaaf",
@@ -112,8 +112,8 @@
         "sky130_fd_bd_sram": "be33adbcf188fdeab5c061699847d9d440f7a084",
         "sky130_fd_pr_reram": "d6d2a3c6960aac0a0b12fc21221c31777bbf284d",
         "sky130_ml_xx_hd": "6eb3b0718552b034f1bf1870285ff135e3fb2dcb",
-        "xschem_sky130": "6f398652608d2bb0a380bdd7a4d1b4f8c87672b0",
+        "xschem_sky130": "1c85c55833a3c89c07000534db0de25ee3269217",
         "klayout_sky130": "c4b125f216edda5d755e429cfe876855ff300974",
-        "precheck_sky130": "f4dee5c793044db58533425e4879747c95ca2f41"
+        "precheck_sky130": "0a9bbf2caf3a656ffff4724be6d49c6ab3012984"
     }
 }