Corrected the LEF files of the sky130_ef_sc_hd__* cells so that they are
compatible with the LEF views of sky130_fd_sc_hd__*.  This *actually* fixes
the problem that was supposed to have been fixed in a recent commit.
diff --git a/VERSION b/VERSION
index 1ab72e2..5769143 100644
--- a/VERSION
+++ b/VERSION
@@ -1 +1 @@
-1.0.438
+1.0.439
diff --git a/sky130/Makefile.in b/sky130/Makefile.in
index bbc61cc..7886f88 100644
--- a/sky130/Makefile.in
+++ b/sky130/Makefile.in
@@ -1548,8 +1548,7 @@
 	# Install custom additions to standard cell libraries
 	${STAGE} -source ./custom -target ${STAGING_PATH}/${SKY130$*} \
 		-gds %l/gds/*.gds options=custom/scripts/gds_import_setup.tcl \
-		-lef %l/lef/*.lef \
-			compile-only lefopts=-toplayer rename=sky130_ef_sc_hd.lef \
+		-lef %l/lef/*.lef compile-only rename=sky130_ef_sc_hd.lef \
 		-verilog %l/verilog/*.v \
 		-library digital sky130_fd_sc_hd 2>&1 | tee -a ${SKY130$*}_make.log
 	# Install all SkyWater digital standard cells.
diff --git a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef
index 112d043..81831b1 100644
--- a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef
+++ b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef
@@ -3,59 +3,47 @@
   DIVIDERCHAR "/" ;
   BUSBITCHARS "[]" ;
 MACRO sky130_ef_sc_hd__decap_12
-  CLASS CORE SPACER ;
+  CLASS BLOCK ;
   FOREIGN sky130_ef_sc_hd__decap_12 ;
   ORIGIN 0.000 0.000 ;
   SIZE 5.520 BY 2.720 ;
   PIN VGND
-    DIRECTION INPUT ;
     USE GROUND ;
     PORT
-      LAYER li1 ;
-        RECT 1.670 0.630 2.010 1.460 ;
-        RECT 0.085 0.085 5.430 0.630 ;
-        RECT 0.000 -0.085 5.520 0.085 ;
-      LAYER mcon ;
-        RECT 0.605 -0.085 0.775 0.085 ;
-        RECT 1.065 -0.085 1.235 0.085 ;
-        RECT 1.525 -0.085 1.695 0.085 ;
-        RECT 1.985 -0.085 2.155 0.085 ;
-        RECT 2.445 -0.085 2.615 0.085 ;
-        RECT 2.905 -0.085 3.075 0.085 ;
-        RECT 3.365 -0.085 3.535 0.085 ;
-        RECT 3.825 -0.085 3.995 0.085 ;
-        RECT 4.285 -0.085 4.455 0.085 ;
-        RECT 4.745 -0.085 4.915 0.085 ;
-        RECT 5.205 -0.085 5.375 0.085 ;
       LAYER met1 ;
         RECT 0.000 -0.240 5.520 0.240 ;
     END
   END VGND
-  PIN VNB
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.080 -0.130 0.360 0.150 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INPUT ;
+  PIN VPWR
     USE POWER ;
     PORT
+      LAYER met1 ;
+        RECT 0.000 2.480 5.520 2.960 ;
+    END
+  END VPWR
+  PIN VPB
+    PORT
       LAYER nwell ;
         RECT -0.190 1.305 5.710 2.910 ;
     END
   END VPB
-  PIN VPWR
-    DIRECTION INPUT ;
-    USE POWER ;
+  PIN VNB
     PORT
+      LAYER pwell ;
+        RECT 0.005 0.105 5.515 0.915 ;
+        RECT 0.145 -0.085 0.315 0.105 ;
+    END
+  END VNB
+  OBS
       LAYER li1 ;
         RECT 0.000 2.635 5.520 2.805 ;
         RECT 0.085 2.200 5.430 2.635 ;
+        RECT 1.670 0.630 2.010 1.460 ;
         RECT 3.490 0.950 3.840 2.200 ;
+        RECT 0.085 0.085 5.430 0.630 ;
+        RECT 0.000 -0.085 5.520 0.085 ;
       LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
         RECT 0.605 2.635 0.775 2.805 ;
         RECT 1.065 2.635 1.235 2.805 ;
         RECT 1.525 2.635 1.695 2.805 ;
@@ -67,10 +55,19 @@
         RECT 4.285 2.635 4.455 2.805 ;
         RECT 4.745 2.635 4.915 2.805 ;
         RECT 5.205 2.635 5.375 2.805 ;
-      LAYER met1 ;
-        RECT 0.000 2.480 5.520 2.960 ;
-    END
-  END VPWR
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
+        RECT 1.065 -0.085 1.235 0.085 ;
+        RECT 1.525 -0.085 1.695 0.085 ;
+        RECT 1.985 -0.085 2.155 0.085 ;
+        RECT 2.445 -0.085 2.615 0.085 ;
+        RECT 2.905 -0.085 3.075 0.085 ;
+        RECT 3.365 -0.085 3.535 0.085 ;
+        RECT 3.825 -0.085 3.995 0.085 ;
+        RECT 4.285 -0.085 4.455 0.085 ;
+        RECT 4.745 -0.085 4.915 0.085 ;
+        RECT 5.205 -0.085 5.375 0.085 ;
+  END
 END sky130_ef_sc_hd__decap_12
 END LIBRARY
 
diff --git a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef
index cb7b26f..770ed96 100644
--- a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef
+++ b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef
@@ -3,63 +3,53 @@
   DIVIDERCHAR "/" ;
   BUSBITCHARS "[]" ;
 MACRO sky130_ef_sc_hd__fakediode_2
-  CLASS CORE SPACER ;
+  CLASS BLOCK ;
   FOREIGN sky130_ef_sc_hd__fakediode_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 0.920 BY 2.720 ;
   PIN DIODE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
     PORT
       LAYER li1 ;
-        RECT 0.085000 0.255000 0.835000 2.465000 ;
+        RECT 0.085 0.255 0.835 2.465 ;
     END
   END DIODE
   PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
     USE GROUND ;
     PORT
       LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+        RECT 0.000 -0.240 0.920 0.240 ;
     END
   END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
   PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
     USE POWER ;
     PORT
       LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
+        RECT 0.000 2.480 0.920 2.960 ;
     END
   END VPWR
+  PIN VPB
+    PORT
+      LAYER nwell ;
+        RECT -0.190 1.305 1.110 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
+      LAYER pwell ;
+        RECT 0.025 0.065 0.915 1.015 ;
+        RECT 0.145 -0.085 0.315 0.065 ;
+    END
+  END VNB
   OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      LAYER li1 ;
+        RECT 0.000 2.635 0.920 2.805 ;
+        RECT 0.000 -0.085 0.920 0.085 ;
+      LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
+        RECT 0.605 2.635 0.775 2.805 ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
   END
 END sky130_ef_sc_hd__fakediode_2
 END LIBRARY
+
diff --git a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef
index 5e28142..c90b0d3 100644
--- a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef
+++ b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef
@@ -3,19 +3,38 @@
   DIVIDERCHAR "/" ;
   BUSBITCHARS "[]" ;
 MACRO sky130_ef_sc_hd__fill_12
-  CLASS CORE SPACER ;
+  CLASS BLOCK ;
   FOREIGN sky130_ef_sc_hd__fill_12 ;
   ORIGIN 0.000 0.000 ;
   SIZE 5.520 BY 2.720 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  OBS
+  PIN VPWR
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 2.480 5.520 2.960 ;
+    END
+  END VPWR
+  PIN VGND
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 5.520 0.240 ;
+    END
+  END VGND
+  PIN VPB
+    PORT
       LAYER nwell ;
-        RECT -0.190 1.305 5.710 2.910 ;
+        RECT -0.190 1.305 2.950 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
       LAYER pwell ;
-        RECT 0.145 -0.085 0.315 0.085 ;
-        RECT 2.935 -0.060 3.045 0.060 ;
-        RECT 4.755 -0.050 4.915 0.060 ;
+        RECT 0.005 0.105 2.755 0.915 ;
+        RECT 0.145 -0.085 0.315 0.105 ;
+    END
+  END VNB
+  OBS
       LAYER li1 ;
         RECT 0.000 2.635 5.520 2.805 ;
         RECT 0.085 1.545 2.675 2.635 ;
@@ -48,9 +67,6 @@
         RECT 4.285 -0.085 4.455 0.085 ;
         RECT 4.745 -0.085 4.915 0.085 ;
         RECT 5.205 -0.085 5.375 0.085 ;
-      LAYER met1 ;
-        RECT 0.000 2.480 5.520 2.960 ;
-        RECT 0.000 -0.240 5.520 0.240 ;
   END
 END sky130_ef_sc_hd__fill_12
 END LIBRARY
diff --git a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_4.lef b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_4.lef
new file mode 100644
index 0000000..6c15115
--- /dev/null
+++ b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_4.lef
@@ -0,0 +1,53 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO sky130_ef_sc_hd__fill_4
+  CLASS BLOCK ;
+  FOREIGN sky130_ef_sc_hd__fill_4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 1.840 BY 2.720 ;
+  PIN VGND
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 1.840 0.240 ;
+    END
+  END VGND
+  PIN VPWR
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 2.480 1.840 2.960 ;
+    END
+  END VPWR
+  PIN VPB
+    PORT
+      LAYER nwell ;
+        RECT -0.190 1.305 2.030 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
+      LAYER pwell ;
+        RECT 0.025 0.065 1.815 1.015 ;
+        RECT 0.175 -0.060 0.285 0.065 ;
+    END
+  END VNB
+  OBS
+      LAYER li1 ;
+        RECT 0.000 2.635 1.840 2.805 ;
+        RECT 0.000 -0.085 1.840 0.085 ;
+      LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
+        RECT 0.605 2.635 0.775 2.805 ;
+        RECT 1.065 2.635 1.235 2.805 ;
+        RECT 1.525 2.635 1.695 2.805 ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
+        RECT 1.065 -0.085 1.235 0.085 ;
+        RECT 1.525 -0.085 1.695 0.085 ;
+  END
+END sky130_ef_sc_hd__fill_4
+END LIBRARY
+
diff --git a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef
index 974a07d..56f4f46 100644
--- a/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef
+++ b/sky130/custom/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef
@@ -1,57 +1,61 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
 MACRO sky130_ef_sc_hd__fill_8
-  CLASS CORE SPACER ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
+  CLASS BLOCK ;
+  FOREIGN sky130_ef_sc_hd__fill_8 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 3.680 BY 2.720 ;
   PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
     USE GROUND ;
     PORT
-      LAYER li1 ;
-        RECT 0.000000 -0.085000 3.680000 0.085000 ;
-    END
-    PORT
       LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+        RECT 0.000 -0.240 3.680 0.240 ;
     END
   END VGND
   PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
     USE POWER ;
     PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 3.680000 2.805000 ;
-    END
-    PORT
       LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
+        RECT 0.000 2.480 3.680 2.960 ;
     END
   END VPWR
+  PIN VPB
+    PORT
+      LAYER nwell ;
+        RECT -0.190 1.305 3.870 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
+      LAYER pwell ;
+        RECT 0.025 0.065 3.655 1.015 ;
+        RECT 0.130 -0.120 0.350 0.065 ;
+    END
+  END VNB
   OBS
+      LAYER li1 ;
+        RECT 0.000 2.635 3.680 2.805 ;
+        RECT 0.000 -0.085 3.680 0.085 ;
+      LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
+        RECT 0.605 2.635 0.775 2.805 ;
+        RECT 1.065 2.635 1.235 2.805 ;
+        RECT 1.525 2.635 1.695 2.805 ;
+        RECT 1.985 2.635 2.155 2.805 ;
+        RECT 2.445 2.635 2.615 2.805 ;
+        RECT 2.905 2.635 3.075 2.805 ;
+        RECT 3.365 2.635 3.535 2.805 ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
+        RECT 1.065 -0.085 1.235 0.085 ;
+        RECT 1.525 -0.085 1.695 0.085 ;
+        RECT 1.985 -0.085 2.155 0.085 ;
+        RECT 2.445 -0.085 2.615 0.085 ;
+        RECT 2.905 -0.085 3.075 0.085 ;
+        RECT 3.365 -0.085 3.535 0.085 ;
   END
 END sky130_ef_sc_hd__fill_8
 END LIBRARY
+