Swapped the layouts for the "clamped" vs. the "clamped2" cells (power pad +
overlay + clamp connections), which were reversed with respect to the netlists.
The .mag, .gds, and .lef cells were swapped, while the .spice, .cdl, and .v
cells/modules remain as-is.  Also updated the .mag files, which were out of
date (although they are unused by the installation).
diff --git a/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds b/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
index d46ab8c..1c743e2 100644
--- a/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
+++ b/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
Binary files differ
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped2_pad.lef b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped2_pad.lef
index 3389c74..0c89b3c 100644
--- a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped2_pad.lef
+++ b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped2_pad.lef
@@ -240,11 +240,11 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 74.225 173.750 75.000 197.965 ;
+        RECT 74.225 173.750 76.470 197.930 ;
     END
     PORT
       LAYER met4 ;
-        RECT 0.000 173.750 0.705 197.965 ;
+        RECT 0.000 173.750 1.205 197.965 ;
     END
     PORT
       LAYER met5 ;
@@ -316,69 +316,72 @@
         RECT 0.240 0.985 74.755 197.745 ;
       LAYER met1 ;
         RECT 0.120 0.000 75.000 197.805 ;
-        RECT 75.245 34.455 86.195 38.325 ;
         RECT 16.655 -0.035 56.565 0.000 ;
-        RECT 20.495 -0.815 56.565 -0.035 ;
-        RECT 76.200 -0.815 85.935 34.455 ;
-        RECT 20.495 -1.015 85.935 -0.815 ;
-        POLYGON 18.655 -1.015 18.655 -3.015 16.655 -3.015 ;
-        RECT 18.655 -3.015 85.935 -1.015 ;
-        RECT 16.655 -6.535 85.935 -3.015 ;
-        RECT 16.655 -8.535 81.935 -6.535 ;
-        POLYGON 16.655 -8.535 18.655 -8.535 18.655 -10.535 ;
-        RECT 18.655 -10.535 81.935 -8.535 ;
-        POLYGON 81.935 -6.535 85.935 -6.535 81.935 -10.535 ;
+        RECT 20.925 -0.815 56.565 -0.035 ;
+        RECT 76.200 -0.815 85.935 75.350 ;
+        RECT 20.925 -6.920 85.935 -0.815 ;
+        RECT 20.925 -10.920 81.935 -6.920 ;
+        POLYGON 81.935 -6.920 85.935 -6.920 81.935 -10.920 ;
       LAYER met2 ;
-        RECT 0.490 44.200 75.000 194.395 ;
-        RECT 0.490 39.550 86.140 44.200 ;
-        RECT 0.490 0.000 75.000 39.550 ;
-        RECT 75.245 34.455 86.195 38.325 ;
+        RECT 0.000 44.200 75.000 197.930 ;
+        RECT 76.200 46.560 85.935 197.930 ;
+        RECT 0.000 44.165 86.140 44.200 ;
+        RECT -10.975 39.550 86.140 44.165 ;
+        RECT -10.975 39.515 75.000 39.550 ;
+        RECT 0.000 0.000 75.000 39.515 ;
+        RECT 76.200 23.390 85.935 37.800 ;
         RECT 0.500 -0.035 20.495 0.000 ;
         RECT 20.925 -0.035 53.535 0.000 ;
         RECT 54.095 -0.035 74.700 0.000 ;
       LAYER met3 ;
-        RECT 0.490 7.265 75.000 193.570 ;
+        RECT -10.975 39.515 -0.895 44.165 ;
+        RECT 0.000 7.265 75.000 197.930 ;
+        RECT 76.200 173.715 85.935 197.930 ;
         RECT 75.605 39.550 86.140 44.200 ;
-        RECT 75.440 34.695 86.140 38.160 ;
+        RECT 76.200 23.765 85.935 28.415 ;
+        RECT 0.000 0.000 0.100 7.265 ;
         RECT 24.900 0.000 50.355 7.265 ;
         RECT 26.000 -0.035 36.880 0.000 ;
         RECT 38.380 -0.035 49.255 0.000 ;
       LAYER met4 ;
         RECT 1.670 173.350 73.330 197.965 ;
-        RECT 0.965 93.365 75.000 173.350 ;
+        RECT 76.470 173.750 85.935 197.930 ;
+        RECT 74.785 173.715 85.935 173.750 ;
+        RECT 0.000 93.365 75.000 173.350 ;
         RECT 1.670 67.600 73.330 93.365 ;
-        RECT 0.965 66.900 75.000 67.600 ;
+        RECT 0.000 66.900 75.000 67.600 ;
         RECT 1.670 61.650 73.330 66.900 ;
-        RECT 0.965 61.050 75.000 61.650 ;
+        RECT 0.000 61.050 75.000 61.650 ;
         RECT 1.670 55.800 73.330 61.050 ;
-        RECT 0.965 55.100 75.000 55.800 ;
+        RECT 0.000 55.100 75.000 55.800 ;
         RECT 1.670 49.710 73.330 50.690 ;
-        RECT 0.965 44.600 75.000 45.300 ;
+        RECT 0.000 44.600 75.000 45.300 ;
+        RECT -10.975 39.550 0.000 44.165 ;
+        RECT -10.975 39.515 0.070 39.550 ;
         RECT 1.670 39.150 73.330 44.600 ;
         RECT 75.000 39.550 86.140 44.200 ;
-        RECT 0.965 38.550 75.000 39.150 ;
+        RECT 0.000 38.550 75.000 39.150 ;
         RECT 1.670 34.300 73.330 38.550 ;
-        RECT 75.000 34.700 86.165 38.150 ;
-        RECT 0.965 33.700 75.000 34.300 ;
+        RECT 0.000 33.700 75.000 34.300 ;
         RECT 1.670 29.450 73.330 33.700 ;
-        RECT 0.965 28.850 75.000 29.450 ;
+        RECT 0.000 28.850 75.000 29.450 ;
         RECT 1.670 23.400 73.330 28.850 ;
-        RECT 0.965 22.800 75.000 23.400 ;
+        RECT 75.000 23.800 85.935 28.415 ;
+        RECT 74.935 23.765 85.935 23.800 ;
+        RECT 0.000 22.800 75.000 23.400 ;
         RECT 1.670 17.350 73.330 22.800 ;
-        RECT 0.965 16.750 75.000 17.350 ;
+        RECT 0.000 16.750 75.000 17.350 ;
         RECT 1.365 12.500 73.635 16.750 ;
-        RECT 0.965 11.900 75.000 12.500 ;
+        RECT 0.000 11.900 75.000 12.500 ;
         RECT 1.670 6.450 73.330 11.900 ;
-        RECT 0.965 5.850 75.000 6.450 ;
+        RECT 0.000 5.850 75.000 6.450 ;
         RECT 1.670 0.000 73.330 5.850 ;
       LAYER met5 ;
         RECT 0.000 168.730 75.000 197.965 ;
         RECT 0.000 98.265 8.670 168.730 ;
         RECT 66.270 98.265 75.000 168.730 ;
         RECT 0.000 94.550 75.000 98.265 ;
-        RECT 2.870 34.805 72.130 94.550 ;
-        RECT 0.000 34.800 75.000 34.805 ;
-        RECT 2.870 16.250 72.130 34.800 ;
+        RECT 2.870 16.250 72.130 94.550 ;
         RECT 2.565 13.000 72.435 16.250 ;
         RECT 2.870 0.100 72.130 13.000 ;
   END
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped_pad.lef b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped_pad.lef
index 11eb9a0..6f3adb7 100644
--- a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped_pad.lef
+++ b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_clamped_pad.lef
@@ -240,11 +240,11 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 74.225 173.750 76.470 197.930 ;
+        RECT 74.225 173.750 75.000 197.965 ;
     END
     PORT
       LAYER met4 ;
-        RECT 0.000 173.750 1.205 197.965 ;
+        RECT 0.000 173.750 0.705 197.965 ;
     END
     PORT
       LAYER met5 ;
@@ -316,72 +316,69 @@
         RECT 0.240 0.985 74.755 197.745 ;
       LAYER met1 ;
         RECT 0.120 0.000 75.000 197.805 ;
+        RECT 75.245 34.455 86.195 38.325 ;
         RECT 16.655 -0.035 56.565 0.000 ;
-        RECT 20.925 -0.815 56.565 -0.035 ;
-        RECT 76.200 -0.815 85.935 75.350 ;
-        RECT 20.925 -6.920 85.935 -0.815 ;
-        RECT 20.925 -10.920 81.935 -6.920 ;
-        POLYGON 81.935 -6.920 85.935 -6.920 81.935 -10.920 ;
+        RECT 20.495 -0.815 56.565 -0.035 ;
+        RECT 76.200 -0.815 85.935 34.455 ;
+        RECT 20.495 -1.015 85.935 -0.815 ;
+        POLYGON 18.655 -1.015 18.655 -3.015 16.655 -3.015 ;
+        RECT 18.655 -3.015 85.935 -1.015 ;
+        RECT 16.655 -6.535 85.935 -3.015 ;
+        RECT 16.655 -8.535 81.935 -6.535 ;
+        POLYGON 16.655 -8.535 18.655 -8.535 18.655 -10.535 ;
+        RECT 18.655 -10.535 81.935 -8.535 ;
+        POLYGON 81.935 -6.535 85.935 -6.535 81.935 -10.535 ;
       LAYER met2 ;
-        RECT 0.000 44.200 75.000 197.930 ;
-        RECT 76.200 46.560 85.935 197.930 ;
-        RECT 0.000 44.165 86.140 44.200 ;
-        RECT -10.975 39.550 86.140 44.165 ;
-        RECT -10.975 39.515 75.000 39.550 ;
-        RECT 0.000 0.000 75.000 39.515 ;
-        RECT 76.200 23.390 85.935 37.800 ;
+        RECT 0.490 44.200 75.000 194.395 ;
+        RECT 0.490 39.550 86.140 44.200 ;
+        RECT 0.490 0.000 75.000 39.550 ;
+        RECT 75.245 34.455 86.195 38.325 ;
         RECT 0.500 -0.035 20.495 0.000 ;
         RECT 20.925 -0.035 53.535 0.000 ;
         RECT 54.095 -0.035 74.700 0.000 ;
       LAYER met3 ;
-        RECT -10.975 39.515 -0.895 44.165 ;
-        RECT 0.000 7.265 75.000 197.930 ;
-        RECT 76.200 173.715 85.935 197.930 ;
+        RECT 0.490 7.265 75.000 193.570 ;
         RECT 75.605 39.550 86.140 44.200 ;
-        RECT 76.200 23.765 85.935 28.415 ;
-        RECT 0.000 0.000 0.100 7.265 ;
+        RECT 75.440 34.695 86.140 38.160 ;
         RECT 24.900 0.000 50.355 7.265 ;
         RECT 26.000 -0.035 36.880 0.000 ;
         RECT 38.380 -0.035 49.255 0.000 ;
       LAYER met4 ;
         RECT 1.670 173.350 73.330 197.965 ;
-        RECT 76.470 173.750 85.935 197.930 ;
-        RECT 74.785 173.715 85.935 173.750 ;
-        RECT 0.000 93.365 75.000 173.350 ;
+        RECT 0.965 93.365 75.000 173.350 ;
         RECT 1.670 67.600 73.330 93.365 ;
-        RECT 0.000 66.900 75.000 67.600 ;
+        RECT 0.965 66.900 75.000 67.600 ;
         RECT 1.670 61.650 73.330 66.900 ;
-        RECT 0.000 61.050 75.000 61.650 ;
+        RECT 0.965 61.050 75.000 61.650 ;
         RECT 1.670 55.800 73.330 61.050 ;
-        RECT 0.000 55.100 75.000 55.800 ;
+        RECT 0.965 55.100 75.000 55.800 ;
         RECT 1.670 49.710 73.330 50.690 ;
-        RECT 0.000 44.600 75.000 45.300 ;
-        RECT -10.975 39.550 0.000 44.165 ;
-        RECT -10.975 39.515 0.070 39.550 ;
+        RECT 0.965 44.600 75.000 45.300 ;
         RECT 1.670 39.150 73.330 44.600 ;
         RECT 75.000 39.550 86.140 44.200 ;
-        RECT 0.000 38.550 75.000 39.150 ;
+        RECT 0.965 38.550 75.000 39.150 ;
         RECT 1.670 34.300 73.330 38.550 ;
-        RECT 0.000 33.700 75.000 34.300 ;
+        RECT 75.000 34.700 86.165 38.150 ;
+        RECT 0.965 33.700 75.000 34.300 ;
         RECT 1.670 29.450 73.330 33.700 ;
-        RECT 0.000 28.850 75.000 29.450 ;
+        RECT 0.965 28.850 75.000 29.450 ;
         RECT 1.670 23.400 73.330 28.850 ;
-        RECT 75.000 23.800 85.935 28.415 ;
-        RECT 74.935 23.765 85.935 23.800 ;
-        RECT 0.000 22.800 75.000 23.400 ;
+        RECT 0.965 22.800 75.000 23.400 ;
         RECT 1.670 17.350 73.330 22.800 ;
-        RECT 0.000 16.750 75.000 17.350 ;
+        RECT 0.965 16.750 75.000 17.350 ;
         RECT 1.365 12.500 73.635 16.750 ;
-        RECT 0.000 11.900 75.000 12.500 ;
+        RECT 0.965 11.900 75.000 12.500 ;
         RECT 1.670 6.450 73.330 11.900 ;
-        RECT 0.000 5.850 75.000 6.450 ;
+        RECT 0.965 5.850 75.000 6.450 ;
         RECT 1.670 0.000 73.330 5.850 ;
       LAYER met5 ;
         RECT 0.000 168.730 75.000 197.965 ;
         RECT 0.000 98.265 8.670 168.730 ;
         RECT 66.270 98.265 75.000 168.730 ;
         RECT 0.000 94.550 75.000 98.265 ;
-        RECT 2.870 16.250 72.130 94.550 ;
+        RECT 2.870 34.805 72.130 94.550 ;
+        RECT 0.000 34.800 75.000 34.805 ;
+        RECT 2.870 16.250 72.130 34.800 ;
         RECT 2.565 13.000 72.435 16.250 ;
         RECT 2.870 0.100 72.130 13.000 ;
   END
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped2_pad.lef b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped2_pad.lef
index 7b0ac22..de8d810 100644
--- a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped2_pad.lef
+++ b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped2_pad.lef
@@ -232,11 +232,11 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 74.225 173.750 75.000 197.965 ;
+        RECT 74.225 173.750 76.470 197.930 ;
     END
     PORT
       LAYER met4 ;
-        RECT 0.000 173.750 0.705 197.965 ;
+        RECT 0.000 173.750 1.205 197.965 ;
     END
     PORT
       LAYER met5 ;
@@ -316,69 +316,72 @@
         RECT 0.240 0.985 74.755 197.745 ;
       LAYER met1 ;
         RECT 0.120 0.000 75.000 197.805 ;
-        RECT 75.245 34.455 86.195 38.325 ;
         RECT 16.655 -0.035 56.565 0.000 ;
-        RECT 20.495 -0.815 56.565 -0.035 ;
-        RECT 76.200 -0.815 85.935 34.455 ;
-        RECT 20.495 -1.015 85.935 -0.815 ;
-        POLYGON 18.655 -1.015 18.655 -3.015 16.655 -3.015 ;
-        RECT 18.655 -3.015 85.935 -1.015 ;
-        RECT 16.655 -6.535 85.935 -3.015 ;
-        RECT 16.655 -8.535 81.935 -6.535 ;
-        POLYGON 16.655 -8.535 18.655 -8.535 18.655 -10.535 ;
-        RECT 18.655 -10.535 81.935 -8.535 ;
-        POLYGON 81.935 -6.535 85.935 -6.535 81.935 -10.535 ;
+        RECT 20.925 -0.815 56.565 -0.035 ;
+        RECT 76.200 -0.815 85.935 75.350 ;
+        RECT 20.925 -6.920 85.935 -0.815 ;
+        RECT 20.925 -10.920 81.935 -6.920 ;
+        POLYGON 81.935 -6.920 85.935 -6.920 81.935 -10.920 ;
       LAYER met2 ;
-        RECT 0.500 44.200 75.000 194.395 ;
-        RECT 0.500 39.550 86.140 44.200 ;
-        RECT 0.500 0.000 75.000 39.550 ;
-        RECT 75.245 34.455 86.195 38.325 ;
+        RECT 0.000 44.200 75.000 197.930 ;
+        RECT 76.200 46.560 85.935 197.930 ;
+        RECT 0.000 44.165 86.140 44.200 ;
+        RECT -10.975 39.550 86.140 44.165 ;
+        RECT -10.975 39.515 75.000 39.550 ;
+        RECT 0.000 0.000 75.000 39.515 ;
+        RECT 76.200 23.390 85.935 37.800 ;
         RECT 0.500 -0.035 20.495 0.000 ;
         RECT 20.925 -0.035 53.535 0.000 ;
         RECT 54.095 -0.035 74.700 0.000 ;
       LAYER met3 ;
-        RECT 0.500 39.965 75.000 193.570 ;
+        RECT -10.975 39.515 -0.895 44.165 ;
+        RECT 0.000 39.965 75.000 197.930 ;
+        RECT 76.200 173.715 85.935 197.930 ;
+        RECT 0.000 0.000 0.100 39.965 ;
         RECT 24.900 0.000 50.355 39.965 ;
         RECT 75.605 39.550 86.140 44.200 ;
-        RECT 75.440 34.695 86.140 38.160 ;
+        RECT 76.200 23.765 85.935 28.415 ;
         RECT 26.000 -0.035 36.880 0.000 ;
         RECT 38.380 -0.035 49.255 0.000 ;
       LAYER met4 ;
         RECT 1.670 173.350 73.330 197.965 ;
-        RECT 0.965 93.365 75.000 173.350 ;
+        RECT 76.470 173.750 85.935 197.930 ;
+        RECT 74.785 173.715 85.935 173.750 ;
+        RECT 0.000 93.365 75.000 173.350 ;
         RECT 1.670 67.600 73.330 93.365 ;
-        RECT 0.965 66.900 75.000 67.600 ;
+        RECT 0.000 66.900 75.000 67.600 ;
         RECT 1.670 61.650 73.330 66.900 ;
-        RECT 0.965 61.050 75.000 61.650 ;
+        RECT 0.000 61.050 75.000 61.650 ;
         RECT 1.670 55.800 73.330 61.050 ;
-        RECT 0.965 55.100 75.000 55.800 ;
+        RECT 0.000 55.100 75.000 55.800 ;
         RECT 1.670 49.710 73.330 50.690 ;
-        RECT 0.965 44.600 75.000 45.300 ;
+        RECT 0.000 44.600 75.000 45.300 ;
+        RECT -10.975 39.550 0.000 44.165 ;
+        RECT -10.975 39.515 0.070 39.550 ;
         RECT 1.670 39.150 73.330 44.600 ;
         RECT 75.000 39.550 86.140 44.200 ;
-        RECT 0.965 38.550 75.000 39.150 ;
+        RECT 0.000 38.550 75.000 39.150 ;
         RECT 1.670 34.300 73.330 38.550 ;
-        RECT 75.000 34.700 86.165 38.150 ;
-        RECT 0.965 33.700 75.000 34.300 ;
+        RECT 0.000 33.700 75.000 34.300 ;
         RECT 1.670 29.450 73.330 33.700 ;
-        RECT 0.965 28.850 75.000 29.450 ;
+        RECT 0.000 28.850 75.000 29.450 ;
         RECT 1.670 23.400 73.330 28.850 ;
-        RECT 0.965 22.800 75.000 23.400 ;
+        RECT 75.000 23.800 85.935 28.415 ;
+        RECT 74.935 23.765 85.935 23.800 ;
+        RECT 0.000 22.800 75.000 23.400 ;
         RECT 1.670 17.350 73.330 22.800 ;
-        RECT 0.965 16.750 75.000 17.350 ;
+        RECT 0.000 16.750 75.000 17.350 ;
         RECT 1.365 12.500 73.635 16.750 ;
-        RECT 0.965 11.900 75.000 12.500 ;
+        RECT 0.000 11.900 75.000 12.500 ;
         RECT 1.670 6.450 73.330 11.900 ;
-        RECT 0.965 5.850 75.000 6.450 ;
+        RECT 0.000 5.850 75.000 6.450 ;
         RECT 1.670 0.000 73.330 5.850 ;
       LAYER met5 ;
         RECT 0.000 168.730 75.000 197.965 ;
         RECT 0.000 98.265 8.670 168.730 ;
         RECT 66.270 98.265 75.000 168.730 ;
         RECT 0.000 94.550 75.000 98.265 ;
-        RECT 2.870 34.805 72.130 94.550 ;
-        RECT 0.000 34.800 75.000 34.805 ;
-        RECT 2.870 16.250 72.130 34.800 ;
+        RECT 2.870 16.250 72.130 94.550 ;
         RECT 2.565 13.000 72.435 16.250 ;
         RECT 2.870 0.100 72.130 13.000 ;
   END
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped_pad.lef b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped_pad.lef
index 56f3272..a6c8b4c 100644
--- a/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped_pad.lef
+++ b/sky130/custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_clamped_pad.lef
@@ -232,11 +232,11 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 74.225 173.750 76.470 197.930 ;
+        RECT 74.225 173.750 75.000 197.965 ;
     END
     PORT
       LAYER met4 ;
-        RECT 0.000 173.750 1.205 197.965 ;
+        RECT 0.000 173.750 0.705 197.965 ;
     END
     PORT
       LAYER met5 ;
@@ -316,72 +316,69 @@
         RECT 0.240 0.985 74.755 197.745 ;
       LAYER met1 ;
         RECT 0.120 0.000 75.000 197.805 ;
+        RECT 75.245 34.455 86.195 38.325 ;
         RECT 16.655 -0.035 56.565 0.000 ;
-        RECT 20.925 -0.815 56.565 -0.035 ;
-        RECT 76.200 -0.815 85.935 75.350 ;
-        RECT 20.925 -6.920 85.935 -0.815 ;
-        RECT 20.925 -10.920 81.935 -6.920 ;
-        POLYGON 81.935 -6.920 85.935 -6.920 81.935 -10.920 ;
+        RECT 20.495 -0.815 56.565 -0.035 ;
+        RECT 76.200 -0.815 85.935 34.455 ;
+        RECT 20.495 -1.015 85.935 -0.815 ;
+        POLYGON 18.655 -1.015 18.655 -3.015 16.655 -3.015 ;
+        RECT 18.655 -3.015 85.935 -1.015 ;
+        RECT 16.655 -6.535 85.935 -3.015 ;
+        RECT 16.655 -8.535 81.935 -6.535 ;
+        POLYGON 16.655 -8.535 18.655 -8.535 18.655 -10.535 ;
+        RECT 18.655 -10.535 81.935 -8.535 ;
+        POLYGON 81.935 -6.535 85.935 -6.535 81.935 -10.535 ;
       LAYER met2 ;
-        RECT 0.000 44.200 75.000 197.930 ;
-        RECT 76.200 46.560 85.935 197.930 ;
-        RECT 0.000 44.165 86.140 44.200 ;
-        RECT -10.975 39.550 86.140 44.165 ;
-        RECT -10.975 39.515 75.000 39.550 ;
-        RECT 0.000 0.000 75.000 39.515 ;
-        RECT 76.200 23.390 85.935 37.800 ;
+        RECT 0.500 44.200 75.000 194.395 ;
+        RECT 0.500 39.550 86.140 44.200 ;
+        RECT 0.500 0.000 75.000 39.550 ;
+        RECT 75.245 34.455 86.195 38.325 ;
         RECT 0.500 -0.035 20.495 0.000 ;
         RECT 20.925 -0.035 53.535 0.000 ;
         RECT 54.095 -0.035 74.700 0.000 ;
       LAYER met3 ;
-        RECT -10.975 39.515 -0.895 44.165 ;
-        RECT 0.000 39.965 75.000 197.930 ;
-        RECT 76.200 173.715 85.935 197.930 ;
-        RECT 0.000 0.000 0.100 39.965 ;
+        RECT 0.500 39.965 75.000 193.570 ;
         RECT 24.900 0.000 50.355 39.965 ;
         RECT 75.605 39.550 86.140 44.200 ;
-        RECT 76.200 23.765 85.935 28.415 ;
+        RECT 75.440 34.695 86.140 38.160 ;
         RECT 26.000 -0.035 36.880 0.000 ;
         RECT 38.380 -0.035 49.255 0.000 ;
       LAYER met4 ;
         RECT 1.670 173.350 73.330 197.965 ;
-        RECT 76.470 173.750 85.935 197.930 ;
-        RECT 74.785 173.715 85.935 173.750 ;
-        RECT 0.000 93.365 75.000 173.350 ;
+        RECT 0.965 93.365 75.000 173.350 ;
         RECT 1.670 67.600 73.330 93.365 ;
-        RECT 0.000 66.900 75.000 67.600 ;
+        RECT 0.965 66.900 75.000 67.600 ;
         RECT 1.670 61.650 73.330 66.900 ;
-        RECT 0.000 61.050 75.000 61.650 ;
+        RECT 0.965 61.050 75.000 61.650 ;
         RECT 1.670 55.800 73.330 61.050 ;
-        RECT 0.000 55.100 75.000 55.800 ;
+        RECT 0.965 55.100 75.000 55.800 ;
         RECT 1.670 49.710 73.330 50.690 ;
-        RECT 0.000 44.600 75.000 45.300 ;
-        RECT -10.975 39.550 0.000 44.165 ;
-        RECT -10.975 39.515 0.070 39.550 ;
+        RECT 0.965 44.600 75.000 45.300 ;
         RECT 1.670 39.150 73.330 44.600 ;
         RECT 75.000 39.550 86.140 44.200 ;
-        RECT 0.000 38.550 75.000 39.150 ;
+        RECT 0.965 38.550 75.000 39.150 ;
         RECT 1.670 34.300 73.330 38.550 ;
-        RECT 0.000 33.700 75.000 34.300 ;
+        RECT 75.000 34.700 86.165 38.150 ;
+        RECT 0.965 33.700 75.000 34.300 ;
         RECT 1.670 29.450 73.330 33.700 ;
-        RECT 0.000 28.850 75.000 29.450 ;
+        RECT 0.965 28.850 75.000 29.450 ;
         RECT 1.670 23.400 73.330 28.850 ;
-        RECT 75.000 23.800 85.935 28.415 ;
-        RECT 74.935 23.765 85.935 23.800 ;
-        RECT 0.000 22.800 75.000 23.400 ;
+        RECT 0.965 22.800 75.000 23.400 ;
         RECT 1.670 17.350 73.330 22.800 ;
-        RECT 0.000 16.750 75.000 17.350 ;
+        RECT 0.965 16.750 75.000 17.350 ;
         RECT 1.365 12.500 73.635 16.750 ;
-        RECT 0.000 11.900 75.000 12.500 ;
+        RECT 0.965 11.900 75.000 12.500 ;
         RECT 1.670 6.450 73.330 11.900 ;
-        RECT 0.000 5.850 75.000 6.450 ;
+        RECT 0.965 5.850 75.000 6.450 ;
         RECT 1.670 0.000 73.330 5.850 ;
       LAYER met5 ;
         RECT 0.000 168.730 75.000 197.965 ;
         RECT 0.000 98.265 8.670 168.730 ;
         RECT 66.270 98.265 75.000 168.730 ;
         RECT 0.000 94.550 75.000 98.265 ;
-        RECT 2.870 16.250 72.130 94.550 ;
+        RECT 2.870 34.805 72.130 94.550 ;
+        RECT 0.000 34.800 75.000 34.805 ;
+        RECT 2.870 16.250 72.130 34.800 ;
         RECT 2.565 13.000 72.435 16.250 ;
         RECT 2.870 0.100 72.130 13.000 ;
   END
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_gpio_ovtv2.lef b/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_gpio_ovtv2.lef
deleted file mode 100644
index ac69456..0000000
--- a/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_gpio_ovtv2.lef
+++ /dev/null
@@ -1,693 +0,0 @@
-MACRO sky130_fd_io__top_gpio_ovtv2
-  CLASS PAD ;
-  FOREIGN sky130_fd_io__top_gpio_ovtv2 ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 140.000 BY 200.000 ;
-  SYMMETRY X Y R90 ;
-  PIN VSSIO_Q
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 58.335 140.000 62.585 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 58.335 1.270 62.585 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 58.235 140.000 62.685 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 58.235 1.270 62.685 ;
-    END
-  END VSSIO_Q
-  PIN VSWITCH
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 31.985 140.000 35.235 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 31.985 1.270 35.235 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 31.885 140.000 35.335 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 31.885 1.270 35.335 ;
-    END
-  END VSWITCH
-  PIN VSSIO
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 25.935 140.000 30.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 138.730 175.785 140.000 200.000 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 25.935 1.270 30.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 175.785 1.365 200.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 175.785 140.000 200.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 25.835 140.000 30.485 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 175.785 1.365 200.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 25.835 1.270 30.485 ;
-    END
-  END VSSIO
-  PIN VSSD
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 41.685 140.000 46.135 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 41.685 1.270 46.135 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 41.585 140.000 46.235 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 41.585 1.270 46.235 ;
-    END
-  END VSSD
-  PIN VSSA
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 36.840 140.000 40.085 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 138.730 47.735 140.000 56.735 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 36.840 1.270 40.085 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 47.735 2.040 56.735 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 51.645 140.000 52.825 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 47.735 140.000 48.065 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 56.405 140.000 56.735 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 36.735 140.000 40.185 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 51.645 2.040 52.825 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 47.735 1.270 48.065 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 56.405 1.270 56.735 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 36.735 1.270 40.185 ;
-    END
-  END VSSA
-  PIN VDDIO_Q
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 64.185 140.000 68.435 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 64.185 1.270 68.435 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 64.085 140.000 68.535 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 64.085 1.270 68.535 ;
-    END
-  END VDDIO_Q
-  PIN VDDIO
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 70.035 140.000 94.985 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 138.730 19.885 140.000 24.335 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 70.035 1.270 94.985 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 19.885 1.270 24.335 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 19.785 140.000 24.435 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 70.035 140.000 95.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 19.785 1.270 24.435 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 70.035 1.270 95.000 ;
-    END
-  END VDDIO
-  PIN VDDA
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 139.035 15.035 140.000 18.285 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 15.035 0.965 18.285 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 139.035 14.935 140.000 18.385 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 14.935 0.965 18.385 ;
-    END
-  END VDDA
-  PIN VCCHIB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 2.135 140.000 7.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 2.135 1.270 7.385 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 2.035 140.000 7.485 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 2.035 1.270 7.485 ;
-    END
-  END VCCHIB
-  PIN VCCD
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 138.730 8.985 140.000 13.435 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 8.985 1.270 13.435 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 138.730 8.885 140.000 13.535 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 8.885 1.270 13.535 ;
-    END
-  END VCCD
-  PIN PAD
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met5 ;
-        RECT 17.930 117.530 86.325 162.905 ;
-    END
-  END PAD
-  PIN AMUXBUS_A
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT 48.930 53.125 140.000 56.105 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 53.125 38.675 56.105 ;
-    END
-  END AMUXBUS_A
-  PIN AMUXBUS_B
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT 99.710 48.365 140.000 51.345 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 48.365 85.865 51.345 ;
-    END
-  END AMUXBUS_B
-  PIN DM[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 129.125 0.000 129.455 20.955 ;
-    END
-  END DM[0]
-  PIN DM[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 128.275 0.000 128.605 20.180 ;
-    END
-  END DM[1]
-  PIN DM[2]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 108.395 0.000 108.725 20.640 ;
-    END
-  END DM[2]
-  PIN INP_DIS
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 107.545 0.000 107.875 8.060 ;
-    END
-  END INP_DIS
-  PIN VTRIP_SEL
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 87.665 0.000 87.995 20.980 ;
-    END
-  END VTRIP_SEL
-  PIN IB_MODE_SEL[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 86.815 0.000 87.145 20.980 ;
-    END
-  END IB_MODE_SEL[0]
-  PIN IB_MODE_SEL[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 66.935 0.000 67.265 20.980 ;
-    END
-  END IB_MODE_SEL[1]
-  PIN SLEW_CTL[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 66.085 0.000 66.415 20.980 ;
-    END
-  END SLEW_CTL[0]
-  PIN SLEW_CTL[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 46.205 0.000 46.535 20.980 ;
-    END
-  END SLEW_CTL[1]
-  PIN HYS_TRIM
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 45.355 0.000 45.685 8.060 ;
-    END
-  END HYS_TRIM
-  PIN HLD_OVR
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 27.355 0.000 27.685 14.055 ;
-    END
-  END HLD_OVR
-  PIN ENABLE_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 22.135 0.000 22.465 30.150 ;
-    END
-  END ENABLE_H
-  PIN HLD_H_N
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 19.635 0.000 19.965 17.985 ;
-    END
-  END HLD_H_N
-  PIN ENABLE_VDDA_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 8.770 0.000 9.100 7.915 ;
-    END
-  END ENABLE_VDDA_H
-  PIN ANALOG_EN
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 8.115 0.000 8.445 14.070 ;
-    END
-  END ANALOG_EN
-  PIN ENABLE_INP_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 7.110 0.000 7.440 0.670 ;
-    END
-  END ENABLE_INP_H
-  PIN IN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 20.380 0.000 20.710 11.310 ;
-    END
-  END IN
-  PIN IN_H
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 24.380 0.000 24.710 0.940 ;
-    END
-  END IN_H
-  PIN VINREF
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 44.035 0.000 44.365 4.885 ;
-    END
-  END VINREF
-  PIN OUT
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 74.125 0.000 74.455 14.865 ;
-    END
-  END OUT
-  PIN ANALOG_POL
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 65.235 0.000 65.565 1.165 ;
-    END
-  END ANALOG_POL
-  PIN ANALOG_SEL
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 51.655 0.000 51.985 8.060 ;
-    END
-  END ANALOG_SEL
-  PIN SLOW
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 125.140 0.000 125.470 11.965 ;
-    END
-  END SLOW
-  PIN OE_N
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 124.445 0.000 124.775 8.060 ;
-    END
-  END OE_N
-  PIN TIE_HI_ESD
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 129.975 0.000 130.305 61.655 ;
-    END
-  END TIE_HI_ESD
-  PIN TIE_LO_ESD
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 115.290 0.000 115.890 39.035 ;
-    END
-  END TIE_LO_ESD
-  PIN PAD_A_ESD_0_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 1.600 0.000 2.200 5.470 ;
-    END
-  END PAD_A_ESD_0_H
-  PIN PAD_A_ESD_1_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.330 0.000 0.930 71.380 ;
-    END
-  END PAD_A_ESD_1_H
-  PIN PAD_A_NOESD_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 2.885 0.000 3.485 5.900 ;
-    END
-  END PAD_A_NOESD_H
-  PIN ENABLE_VSWITCH_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 5.765 0.000 6.365 12.470 ;
-    END
-  END ENABLE_VSWITCH_H
-  PIN ENABLE_VDDIO
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 95.845 0.000 96.215 20.755 ;
-    END
-  END ENABLE_VDDIO
-  OBS
-      LAYER nwell ;
-        RECT 118.950 184.430 140.420 185.605 ;
-        RECT 130.895 183.580 140.420 184.430 ;
-        RECT 133.940 180.105 140.420 183.580 ;
-        RECT 133.900 174.850 140.420 180.105 ;
-        RECT 133.175 161.940 140.420 174.850 ;
-        RECT 133.740 128.090 140.420 161.940 ;
-        RECT 132.500 122.180 140.420 128.090 ;
-        RECT 118.950 117.425 140.420 122.180 ;
-      LAYER pwell ;
-        RECT 114.115 114.365 140.130 116.895 ;
-        RECT 131.245 110.270 140.130 114.365 ;
-      LAYER nwell ;
-        RECT 6.155 107.630 141.055 109.740 ;
-        RECT 138.945 67.930 141.055 107.630 ;
-        RECT 6.155 66.420 141.055 67.930 ;
-        RECT 132.725 63.655 140.680 65.015 ;
-        RECT 139.320 54.255 140.680 63.655 ;
-        RECT 132.725 52.895 140.680 54.255 ;
-      LAYER pwell ;
-        RECT 11.470 18.640 140.130 20.430 ;
-        RECT 32.115 17.020 140.130 18.640 ;
-      LAYER nwell ;
-        RECT -0.400 10.495 11.880 11.925 ;
-        RECT -0.400 1.430 1.030 10.495 ;
-        RECT -0.400 0.000 11.880 1.430 ;
-      LAYER li1 ;
-        RECT 0.230 184.675 140.000 199.780 ;
-        RECT 0.230 117.690 140.085 184.675 ;
-        RECT 0.230 116.765 140.000 117.690 ;
-        RECT 0.230 110.400 140.145 116.765 ;
-        RECT 0.230 109.110 140.000 110.400 ;
-        RECT 0.230 67.170 140.475 109.110 ;
-        RECT 0.230 66.750 140.425 67.170 ;
-        RECT 0.230 64.685 140.000 66.750 ;
-        RECT 0.230 53.225 140.350 64.685 ;
-        RECT 0.230 0.200 140.000 53.225 ;
-      LAYER met1 ;
-        RECT 0.080 184.720 140.000 199.810 ;
-        RECT 0.080 183.205 140.145 184.720 ;
-        RECT 0.080 117.630 140.115 183.205 ;
-        POLYGON 140.115 183.205 140.145 183.205 140.115 183.175 ;
-        RECT 0.080 108.870 140.000 117.630 ;
-        RECT 0.080 67.170 140.475 108.870 ;
-        RECT 0.080 64.685 140.000 67.170 ;
-        RECT 0.080 53.225 140.350 64.685 ;
-        RECT 0.080 0.000 140.000 53.225 ;
-      LAYER met2 ;
-        RECT 0.080 184.720 140.000 199.955 ;
-        RECT 0.080 182.890 140.130 184.720 ;
-        RECT 0.080 68.140 140.000 182.890 ;
-        RECT 0.080 63.715 140.325 68.140 ;
-        RECT 0.080 0.000 140.000 63.715 ;
-      LAYER met3 ;
-        RECT 0.330 71.780 140.000 199.715 ;
-        RECT 1.330 62.055 140.000 71.780 ;
-        RECT 1.330 39.435 129.575 62.055 ;
-        RECT 1.330 30.550 114.890 39.435 ;
-        RECT 1.330 18.385 21.735 30.550 ;
-        RECT 1.330 14.470 19.235 18.385 ;
-        RECT 1.330 12.870 7.715 14.470 ;
-        RECT 1.330 6.300 5.365 12.870 ;
-        RECT 1.330 5.870 2.485 6.300 ;
-        RECT 3.885 0.000 5.365 6.300 ;
-        RECT 6.765 1.070 7.715 12.870 ;
-        RECT 8.845 8.315 19.235 14.470 ;
-        RECT 20.365 11.710 21.735 18.385 ;
-        RECT 9.500 0.000 19.235 8.315 ;
-        RECT 21.110 0.000 21.735 11.710 ;
-        RECT 22.865 21.380 114.890 30.550 ;
-        RECT 22.865 14.455 45.805 21.380 ;
-        RECT 22.865 1.340 26.955 14.455 ;
-        RECT 22.865 0.000 23.980 1.340 ;
-        RECT 25.110 0.000 26.955 1.340 ;
-        RECT 28.085 8.460 45.805 14.455 ;
-        RECT 46.935 8.460 65.685 21.380 ;
-        RECT 28.085 5.285 44.955 8.460 ;
-        RECT 28.085 0.000 43.635 5.285 ;
-        RECT 44.765 0.000 44.955 5.285 ;
-        RECT 46.935 0.000 51.255 8.460 ;
-        RECT 52.385 1.565 65.685 8.460 ;
-        RECT 67.665 15.265 86.415 21.380 ;
-        RECT 52.385 0.000 64.835 1.565 ;
-        RECT 67.665 0.000 73.725 15.265 ;
-        RECT 74.855 0.000 86.415 15.265 ;
-        RECT 88.395 21.155 114.890 21.380 ;
-        RECT 88.395 0.000 95.445 21.155 ;
-        RECT 96.615 21.040 114.890 21.155 ;
-        RECT 96.615 8.460 107.995 21.040 ;
-        RECT 96.615 0.000 107.145 8.460 ;
-        RECT 109.125 0.000 114.890 21.040 ;
-        RECT 116.290 21.355 129.575 39.435 ;
-        RECT 116.290 20.580 128.725 21.355 ;
-        RECT 116.290 12.365 127.875 20.580 ;
-        RECT 116.290 8.460 124.740 12.365 ;
-        RECT 116.290 0.000 124.045 8.460 ;
-        RECT 125.870 0.000 127.875 12.365 ;
-        RECT 130.705 0.000 140.000 62.055 ;
-      LAYER met4 ;
-        RECT 1.765 175.385 138.330 200.000 ;
-        RECT 0.965 95.400 139.035 175.385 ;
-        RECT 1.670 69.635 138.330 95.400 ;
-        RECT 0.965 68.935 139.035 69.635 ;
-        RECT 1.670 63.685 138.330 68.935 ;
-        RECT 0.965 63.085 139.035 63.685 ;
-        RECT 1.670 57.835 138.330 63.085 ;
-        RECT 0.965 57.135 139.035 57.835 ;
-        RECT 39.075 52.725 48.530 56.005 ;
-        RECT 2.440 51.745 138.330 52.725 ;
-        RECT 86.265 48.465 99.310 51.745 ;
-        RECT 0.965 46.635 139.035 47.335 ;
-        RECT 1.670 41.185 138.330 46.635 ;
-        RECT 0.965 40.585 139.035 41.185 ;
-        RECT 1.670 36.335 138.330 40.585 ;
-        RECT 0.965 35.735 139.035 36.335 ;
-        RECT 1.670 31.485 138.330 35.735 ;
-        RECT 0.965 30.885 139.035 31.485 ;
-        RECT 1.670 25.435 138.330 30.885 ;
-        RECT 0.965 24.835 139.035 25.435 ;
-        RECT 1.670 19.385 138.330 24.835 ;
-        RECT 0.965 18.785 139.035 19.385 ;
-        RECT 1.365 14.535 138.635 18.785 ;
-        RECT 0.965 13.935 139.035 14.535 ;
-        RECT 1.670 8.485 138.330 13.935 ;
-        RECT 0.965 7.885 139.035 8.485 ;
-        RECT 1.670 1.635 138.330 7.885 ;
-        RECT 0.965 1.160 139.035 1.635 ;
-      LAYER met5 ;
-        RECT 2.965 174.185 137.130 200.000 ;
-        RECT 0.000 164.505 140.000 174.185 ;
-        RECT 0.000 115.930 16.330 164.505 ;
-        RECT 87.925 115.930 140.000 164.505 ;
-        RECT 0.000 96.585 140.000 115.930 ;
-        RECT 2.870 58.335 137.130 96.585 ;
-        RECT 3.640 46.135 137.130 58.335 ;
-        RECT 2.870 18.285 137.130 46.135 ;
-        RECT 2.565 15.035 137.435 18.285 ;
-        RECT 2.870 2.135 137.130 15.035 ;
-  END
-END sky130_fd_io__top_gpio_ovtv2
-END LIBRARY
-
diff --git a/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_xres4v2.lef b/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_xres4v2.lef
deleted file mode 100644
index 7f9a73d..0000000
--- a/sky130/custom/sky130_fd_io/lef/sky130_fd_io__top_xres4v2.lef
+++ /dev/null
@@ -1,1687 +0,0 @@
-MACRO sky130_fd_io__top_xres4v2
-  CLASS PAD ;
-  FOREIGN sky130_fd_io__top_xres4v2 ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 75.000 BY 200.000 ;
-  SYMMETRY R90 ;
-  PIN PAD_A_ESD_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 17.245 0.000 18.910 0.565 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 17.245 0.000 18.910 0.565 ;
-    END
-  END PAD_A_ESD_H
-  PIN XRES_H_N
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 28.935 0.000 29.665 0.330 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.935 0.000 29.665 0.330 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.170 10.610 28.900 13.980 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.170 10.610 29.050 10.760 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.170 10.910 28.900 14.770 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.170 10.145 28.635 10.610 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.335 10.445 29.215 10.595 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.635 9.845 29.665 10.445 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.900 10.145 29.665 10.910 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.935 0.000 29.665 9.845 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 28.935 4.005 29.665 10.145 ;
-    END
-  END XRES_H_N
-  PIN FILT_IN_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 20.075 0.000 21.225 1.410 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 20.075 0.000 21.225 1.410 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.075 3.410 21.225 8.135 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.075 6.820 21.375 6.970 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.075 6.970 21.525 7.120 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.075 7.120 21.675 7.150 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.075 7.150 21.060 8.135 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.225 7.150 21.705 7.300 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.375 7.300 21.855 7.450 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.525 7.450 22.005 7.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.675 7.600 22.155 7.750 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 20.825 7.750 22.305 7.900 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.060 8.135 22.970 8.415 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.225 6.670 22.690 8.135 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.340 8.415 24.050 9.980 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.575 8.500 23.055 8.650 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.725 8.650 23.205 8.800 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 21.875 8.800 23.355 8.950 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.025 8.950 23.505 9.100 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.175 9.100 23.655 9.250 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.325 9.250 23.805 9.400 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.570 9.495 24.050 9.645 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.690 8.135 22.970 8.415 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.720 9.645 24.050 9.795 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.905 9.495 24.050 9.980 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.905 9.980 24.050 12.265 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 22.970 8.415 24.050 9.495 ;
-    END
-  END FILT_IN_H
-  PIN ENABLE_VDDIO
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 8.400 0.000 8.920 0.330 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 8.425 0.000 8.895 0.330 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 17.410 7.295 31.880 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 17.410 7.400 17.515 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 17.515 7.295 17.620 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 17.620 7.295 31.295 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 31.400 7.400 31.505 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 31.505 7.150 31.880 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.775 16.895 7.290 17.410 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 6.925 31.505 7.505 31.655 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.150 31.880 8.595 32.595 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.290 16.445 8.330 17.045 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.290 16.895 7.870 17.045 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.295 17.045 7.870 17.620 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.295 31.295 7.880 31.880 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.375 31.955 7.955 32.105 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.440 16.745 8.020 16.895 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.525 32.105 8.105 32.255 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.675 32.255 8.255 32.405 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.740 15.995 8.920 16.445 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.865 32.595 9.180 33.180 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.870 16.585 8.330 17.045 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.880 31.880 8.595 32.595 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.890 16.295 8.470 16.445 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.125 32.705 8.705 32.855 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.190 15.785 8.920 15.995 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.275 32.855 8.855 33.005 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.330 15.995 8.920 16.585 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.400 0.000 8.920 15.785 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.400 1.135 8.920 15.995 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.450 33.180 9.395 33.700 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.595 32.595 9.180 33.180 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.815 33.395 22.275 33.545 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 8.970 33.700 21.970 34.125 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 9.115 33.695 22.275 33.845 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 9.180 33.180 9.395 33.395 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 9.395 33.995 22.275 34.125 ;
-    END
-  END ENABLE_VDDIO
-  PIN TIE_WEAK_HI_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 72.190 0.000 73.260 0.330 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 72.215 0.000 73.235 0.330 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 64.860 71.930 65.990 93.540 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 64.860 71.930 66.310 72.080 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 64.860 72.080 66.160 72.230 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 64.860 72.400 65.990 94.645 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 64.860 70.750 66.040 71.930 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 65.140 71.650 66.590 71.800 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 65.290 71.500 66.740 71.650 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 65.440 71.350 66.890 71.500 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 65.590 71.200 67.040 71.350 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 65.990 72.210 66.180 72.400 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.040 69.400 68.355 71.000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.040 70.750 67.490 70.900 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.180 71.000 67.390 72.210 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.190 70.600 67.640 70.750 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.340 70.450 67.790 70.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.490 70.300 67.940 70.450 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.640 70.150 68.090 70.300 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 66.940 69.850 68.390 70.000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.090 69.700 68.540 69.850 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.390 68.535 69.305 69.400 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.390 69.400 68.840 69.550 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.390 70.035 68.355 71.000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.540 69.250 68.990 69.400 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.690 69.100 69.140 69.250 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 67.990 68.800 69.440 68.950 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.255 67.600 70.590 68.535 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.290 68.500 69.740 68.650 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.355 69.085 69.305 70.035 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.440 68.350 69.890 68.500 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.590 68.200 70.040 68.350 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 68.740 68.050 70.190 68.200 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.190 66.200 72.190 67.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.190 67.600 70.640 67.750 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.305 67.800 70.590 69.085 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.340 67.450 70.790 67.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.490 67.300 70.940 67.450 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.640 67.150 71.090 67.300 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.790 67.000 71.240 67.150 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 69.940 66.850 71.390 67.000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.090 66.700 71.540 66.850 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.240 66.550 71.690 66.700 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.390 66.400 71.840 66.550 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.590 64.600 73.925 66.200 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.590 66.200 72.190 67.800 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.840 65.950 72.290 66.100 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 70.990 65.800 72.440 65.950 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.140 65.650 72.590 65.800 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.290 65.500 72.740 65.650 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.440 65.350 72.890 65.500 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.590 65.200 73.040 65.350 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.740 65.050 73.190 65.200 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 71.890 64.900 73.340 65.050 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 0.000 73.260 49.320 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 0.725 73.260 49.470 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 49.470 73.925 64.025 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 49.620 73.560 49.770 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 49.770 73.710 49.920 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 49.985 73.925 64.465 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 64.465 73.925 64.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 64.465 73.925 66.200 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 64.530 73.795 64.595 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 72.190 64.595 73.790 64.600 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 73.260 49.320 73.925 49.985 ;
-    END
-  END TIE_WEAK_HI_H
-  PIN ENABLE_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 12.285 0.000 12.545 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 12.285 0.000 12.545 0.330 ;
-    END
-  END ENABLE_H
-  PIN PULLUP_H
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 14.555 0.000 15.135 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 14.555 0.000 15.135 0.330 ;
-    END
-  END PULLUP_H
-  PIN EN_VDDIO_SIG_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 22.360 0.000 22.660 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 22.360 0.000 22.660 0.330 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.250 10.375 4.580 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.965 9.200 10.535 9.400 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.005 3.905 10.350 4.250 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.165 9.400 10.865 9.730 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.210 4.045 10.510 4.115 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.295 9.460 10.595 9.530 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.335 9.200 10.535 9.400 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.350 3.575 10.930 3.930 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.365 9.530 10.665 9.600 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.375 3.930 10.695 4.250 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.420 3.835 10.720 3.905 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.490 3.765 10.790 3.835 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.495 9.730 11.125 10.100 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.535 9.400 10.865 9.730 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.575 9.740 10.875 9.810 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.645 9.810 10.945 9.880 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 26.610 11.125 27.300 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 26.825 11.125 27.085 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 27.085 10.910 28.005 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 27.085 11.055 27.155 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 27.155 10.985 27.225 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 27.295 10.910 27.300 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 27.300 10.910 27.935 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 28.005 11.125 28.625 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 28.075 11.050 28.145 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 28.150 11.125 28.410 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.650 28.410 10.865 28.625 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.655 26.820 11.125 26.825 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.680 3.435 15.245 3.575 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.680 3.575 15.025 3.645 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.695 3.695 10.930 3.930 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.715 9.880 11.015 9.950 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.720 28.410 11.125 28.480 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.725 26.750 11.125 26.820 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.790 28.480 11.125 28.550 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.820 3.435 14.885 3.695 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 10.045 11.125 10.100 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 10.100 11.125 26.610 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 28.150 11.125 31.140 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 28.620 11.125 28.625 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 28.625 11.125 31.085 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 31.140 11.270 31.455 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 31.195 11.125 31.455 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 9.730 11.125 9.990 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.865 9.990 11.125 27.085 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.910 27.085 11.125 27.300 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 10.910 27.935 11.125 28.150 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.005 31.265 11.305 31.335 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.125 31.085 11.270 31.230 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.125 31.455 11.520 31.590 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.260 31.480 11.520 36.020 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.260 31.535 11.520 31.590 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.260 36.020 12.150 36.280 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.270 31.230 11.495 31.455 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 11.495 31.455 11.520 31.480 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 14.775 3.695 15.245 3.795 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 14.875 3.795 15.515 4.065 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 14.885 3.435 15.245 3.795 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 14.985 3.835 15.285 3.905 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.055 3.905 15.355 3.975 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.145 4.065 15.885 4.435 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.245 3.795 15.515 4.065 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.265 4.115 15.565 4.185 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.335 4.185 15.635 4.255 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.405 4.255 15.705 4.325 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.515 4.065 15.885 4.435 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.515 4.435 15.995 4.805 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.615 4.465 15.915 4.535 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.695 4.545 28.765 4.615 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.765 4.615 28.835 4.685 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.885 4.435 15.995 4.545 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 15.885 4.755 28.975 4.805 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.060 4.245 22.660 4.545 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.065 4.540 22.940 4.545 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.135 4.470 22.870 4.540 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.205 4.400 22.800 4.470 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.345 4.260 22.660 4.330 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.350 4.255 22.660 4.260 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.360 0.000 22.660 4.245 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.360 1.170 22.660 4.805 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 22.660 4.260 22.945 4.545 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.655 4.805 29.120 4.900 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.750 4.900 29.450 5.230 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.765 4.545 29.120 4.900 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.865 4.945 29.165 5.015 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 28.935 5.015 29.235 5.085 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.005 5.085 29.305 5.155 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.080 5.230 29.580 5.470 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.120 4.900 29.450 5.230 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.320 10.975 29.770 11.165 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.320 11.085 29.400 11.165 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.320 5.360 29.580 11.030 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.320 5.415 29.580 5.470 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.320 5.470 29.580 10.975 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.400 11.165 30.030 11.535 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.450 5.230 29.580 5.360 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.530 11.225 29.830 11.295 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.580 10.975 29.770 11.165 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.600 11.295 29.900 11.365 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 11.165 30.030 11.425 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 11.425 30.030 15.700 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 11.480 30.030 11.535 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 11.535 30.030 15.645 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 15.700 30.365 15.980 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.770 15.755 29.995 15.980 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.840 15.755 30.140 15.825 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.910 15.825 30.210 15.895 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 29.995 15.980 30.625 16.350 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.030 15.645 30.365 15.980 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.120 16.035 30.420 16.105 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.190 16.105 30.490 16.175 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.365 15.980 30.625 16.240 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.365 16.240 30.625 16.350 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 30.365 16.350 30.625 20.495 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.250 10.005 4.520 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.520 10.050 4.575 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.520 9.995 8.915 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.575 9.995 4.630 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 4.630 9.995 8.860 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 8.915 10.335 9.200 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.735 8.970 9.965 9.200 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.790 4.465 10.105 4.520 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.805 8.970 10.105 9.040 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.860 4.395 10.160 4.465 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.875 9.040 10.175 9.110 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.930 4.325 10.230 4.395 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.965 9.200 10.165 9.400 ;
-    END
-    PORT
-      LAYER met2 ;
-        RECT 9.995 8.860 10.335 9.200 ;
-    END
-  END EN_VDDIO_SIG_H
-  PIN TIE_LO_ESD
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 27.580 0.000 28.230 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 27.580 0.000 28.230 0.330 ;
-    END
-  END TIE_LO_ESD
-  PIN TIE_HI_ESD
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 30.505 0.000 31.155 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 30.505 0.000 31.155 0.330 ;
-    END
-  END TIE_HI_ESD
-  PIN DISABLE_PULLUP_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 32.760 0.000 33.020 0.330 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 32.760 0.000 33.020 0.330 ;
-    END
-  END DISABLE_PULLUP_H
-  PIN INP_SEL_H
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 24.905 0.000 25.135 9.975 ;
-    END
-  END INP_SEL_H
-  PIN VSSIO
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 0.000 175.785 1.270 200.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 73.730 25.835 75.000 30.485 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 73.730 175.785 75.000 200.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 25.835 1.270 30.485 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 175.785 1.270 200.000 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 25.935 75.000 30.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 175.785 75.000 200.000 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 25.935 1.270 30.385 ;
-    END
-  END VSSIO
-  PIN VSSA
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 36.735 75.000 40.185 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 56.405 75.000 56.735 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 47.735 75.000 48.065 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 73.730 51.645 75.000 52.825 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 36.735 1.270 40.185 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 56.405 1.270 56.735 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 47.735 1.270 48.065 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 51.645 1.270 52.825 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 47.735 75.000 56.735 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 36.840 75.000 40.085 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 36.840 1.270 40.085 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 47.735 1.270 56.735 ;
-    END
-  END VSSA
-  PIN VSSD
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 41.585 75.000 46.235 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 41.585 1.270 46.235 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 41.685 75.000 46.135 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 41.685 1.270 46.135 ;
-    END
-  END VSSD
-  PIN AMUXBUS_B
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT 0.000 48.365 75.000 51.345 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 48.365 1.270 51.345 ;
-    END
-  END AMUXBUS_B
-  PIN AMUXBUS_A
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT 0.000 53.125 75.000 56.105 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 53.125 1.270 56.105 ;
-    END
-  END AMUXBUS_A
-  PIN VDDIO_Q
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 64.085 75.000 68.535 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 64.085 1.270 68.535 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 64.185 75.000 68.435 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 64.185 1.270 68.435 ;
-    END
-  END VDDIO_Q
-  PIN VDDIO
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 70.035 75.000 95.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 73.730 19.785 75.000 24.435 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 70.035 1.270 95.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 19.785 1.270 24.435 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 19.885 75.000 24.335 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 70.035 75.000 94.985 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 70.035 1.270 94.985 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 19.885 1.270 24.335 ;
-    END
-  END VDDIO
-  PIN VSWITCH
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 31.885 75.000 35.335 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 31.885 1.270 35.335 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 31.985 75.000 35.235 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 31.985 1.270 35.235 ;
-    END
-  END VSWITCH
-  PIN VDDA
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 74.035 14.935 75.000 18.385 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 14.935 0.965 18.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 74.035 15.035 75.000 18.285 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 15.035 0.965 18.285 ;
-    END
-  END VDDA
-  PIN VCCD
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 8.885 75.000 13.535 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 8.885 1.270 13.535 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 8.985 75.000 13.435 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 8.985 1.270 13.435 ;
-    END
-  END VCCD
-  PIN VCCHIB
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 2.035 75.000 7.485 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 2.035 1.270 7.485 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 2.135 75.000 7.385 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 2.135 1.270 7.385 ;
-    END
-  END VCCHIB
-  PIN VSSIO_Q
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 73.730 58.235 75.000 62.685 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 0.000 58.235 1.270 62.685 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 73.730 58.335 75.000 62.585 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT 0.000 58.335 1.270 62.585 ;
-    END
-  END VSSIO_Q
-  PIN PAD
-    DIRECTION INOUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met5 ;
-        RECT 17.250 108.455 54.435 164.285 ;
-    END
-  END PAD
-  OBS
-      LAYER nwell ;
-        RECT -0.515 168.515 75.620 170.210 ;
-        RECT -0.515 146.690 1.675 168.515 ;
-        RECT 73.095 146.690 75.620 168.515 ;
-        RECT -0.515 144.880 75.620 146.690 ;
-      LAYER pwell ;
-        RECT -0.290 140.685 75.290 144.565 ;
-      LAYER nwell ;
-        RECT -0.330 130.665 75.330 140.380 ;
-      LAYER pwell ;
-        RECT -0.130 129.315 41.750 130.355 ;
-        RECT 61.910 129.315 75.130 130.355 ;
-        RECT -0.130 124.135 75.130 129.315 ;
-        RECT -0.130 102.525 1.435 124.135 ;
-        RECT 73.560 102.525 75.130 124.135 ;
-        RECT -0.130 99.230 75.130 102.525 ;
-        RECT -0.130 97.995 58.470 99.230 ;
-        RECT 71.930 97.995 75.130 99.230 ;
-        RECT -0.130 96.735 75.130 97.995 ;
-        RECT -0.130 96.730 58.470 96.735 ;
-      LAYER li1 ;
-        RECT 0.000 144.435 75.000 199.220 ;
-        RECT -0.160 140.815 75.160 144.435 ;
-        RECT 0.000 130.225 75.000 140.815 ;
-        RECT -0.265 101.395 75.000 130.225 ;
-        RECT 0.000 0.185 75.000 101.395 ;
-      LAYER met1 ;
-        RECT 0.000 170.090 75.000 199.210 ;
-        RECT -0.145 131.275 75.145 170.090 ;
-        RECT 0.000 130.220 75.000 131.275 ;
-        RECT -0.145 95.895 75.145 130.220 ;
-        RECT 0.000 10.255 75.000 95.895 ;
-        RECT 0.000 0.610 24.625 10.255 ;
-        RECT 0.000 0.185 12.005 0.610 ;
-        RECT 12.825 0.185 14.275 0.610 ;
-        RECT 15.415 0.185 22.080 0.610 ;
-        RECT 22.940 0.185 24.625 0.610 ;
-        RECT 25.415 0.610 75.000 10.255 ;
-        RECT 25.415 0.185 27.300 0.610 ;
-        RECT 28.510 0.185 30.225 0.610 ;
-        RECT 31.435 0.185 32.480 0.610 ;
-        RECT 33.300 0.185 75.000 0.610 ;
-      LAYER met2 ;
-        RECT 0.340 36.560 74.915 199.210 ;
-        RECT 0.340 31.870 10.980 36.560 ;
-        RECT 12.430 35.740 74.915 36.560 ;
-        RECT 0.340 31.735 10.845 31.870 ;
-        RECT 0.340 28.905 10.585 31.735 ;
-        RECT 11.800 31.175 74.915 35.740 ;
-        RECT 11.775 30.950 74.915 31.175 ;
-        RECT 11.550 30.805 74.915 30.950 ;
-        RECT 0.340 26.330 10.370 28.905 ;
-        RECT 11.405 27.655 74.915 30.805 ;
-        RECT 11.190 27.580 74.915 27.655 ;
-        RECT 0.340 10.380 10.585 26.330 ;
-        RECT 11.405 20.775 74.915 27.580 ;
-        RECT 11.405 16.630 30.085 20.775 ;
-        RECT 11.405 16.260 29.715 16.630 ;
-        RECT 11.405 11.815 29.490 16.260 ;
-        RECT 30.905 15.700 74.915 20.775 ;
-        RECT 30.645 15.365 74.915 15.700 ;
-        RECT 11.405 11.445 29.120 11.815 ;
-        RECT 0.340 10.010 10.215 10.380 ;
-        RECT 0.340 9.680 9.885 10.010 ;
-        RECT 0.340 9.480 9.685 9.680 ;
-        RECT 0.340 3.970 9.455 9.480 ;
-        RECT 11.405 9.450 29.040 11.445 ;
-        RECT 30.310 10.885 74.915 15.365 ;
-        RECT 30.050 10.695 74.915 10.885 ;
-        RECT 11.145 9.120 29.040 9.450 ;
-        RECT 10.815 8.920 29.040 9.120 ;
-        RECT 10.615 8.580 29.040 8.920 ;
-        RECT 10.275 5.750 29.040 8.580 ;
-        RECT 10.275 5.510 28.800 5.750 ;
-        RECT 10.275 5.180 28.470 5.510 ;
-        RECT 10.275 5.085 28.375 5.180 ;
-        RECT 10.275 4.860 15.235 5.085 ;
-        RECT 29.860 4.950 74.915 10.695 ;
-        RECT 10.655 4.715 15.235 4.860 ;
-        RECT 10.655 4.530 14.865 4.715 ;
-        RECT 29.730 4.620 74.915 4.950 ;
-        RECT 10.975 4.345 14.865 4.530 ;
-        RECT 10.975 4.210 14.595 4.345 ;
-        RECT 29.400 4.265 74.915 4.620 ;
-        RECT 11.210 4.075 14.595 4.210 ;
-        RECT 16.275 4.155 21.780 4.265 ;
-        RECT 11.210 3.975 14.495 4.075 ;
-        RECT 0.340 3.625 9.725 3.970 ;
-        RECT 16.165 3.965 21.780 4.155 ;
-        RECT 23.225 3.980 74.915 4.265 ;
-        RECT 16.165 3.785 22.080 3.965 ;
-        RECT 0.340 3.295 10.070 3.625 ;
-        RECT 15.795 3.515 22.080 3.785 ;
-        RECT 0.340 3.155 10.400 3.295 ;
-        RECT 15.525 3.155 22.080 3.515 ;
-        RECT 0.340 1.690 22.080 3.155 ;
-        RECT 0.340 0.845 19.795 1.690 ;
-        RECT 0.340 0.610 16.965 0.845 ;
-        RECT 0.340 0.000 8.145 0.610 ;
-        RECT 9.175 0.000 12.005 0.610 ;
-        RECT 12.825 0.000 14.275 0.610 ;
-        RECT 15.415 0.000 16.965 0.610 ;
-        RECT 19.190 0.000 19.795 0.845 ;
-        RECT 21.505 0.000 22.080 1.690 ;
-        RECT 22.940 0.610 74.915 3.980 ;
-        RECT 22.940 0.000 27.300 0.610 ;
-        RECT 28.510 0.000 28.655 0.610 ;
-        RECT 29.945 0.000 30.225 0.610 ;
-        RECT 31.435 0.000 32.480 0.610 ;
-        RECT 33.300 0.000 71.935 0.610 ;
-        RECT 73.515 0.000 74.915 0.610 ;
-      LAYER met3 ;
-        RECT 0.965 95.045 74.700 200.000 ;
-        RECT 0.965 70.350 64.460 95.045 ;
-        RECT 66.390 72.800 74.700 95.045 ;
-        RECT 66.580 72.610 74.700 72.800 ;
-        RECT 67.790 71.400 74.700 72.610 ;
-        RECT 68.755 70.435 74.700 71.400 ;
-        RECT 0.965 69.000 65.640 70.350 ;
-        RECT 69.705 69.485 74.700 70.435 ;
-        RECT 0.965 68.135 66.990 69.000 ;
-        RECT 70.990 68.200 74.700 69.485 ;
-        RECT 0.965 67.200 67.855 68.135 ;
-        RECT 0.965 65.800 68.790 67.200 ;
-        RECT 72.590 66.600 74.700 68.200 ;
-        RECT 0.965 64.200 70.190 65.800 ;
-        RECT 0.965 34.525 71.790 64.200 ;
-        RECT 74.325 48.920 74.700 66.600 ;
-        RECT 0.965 34.100 8.570 34.525 ;
-        RECT 0.965 33.580 8.050 34.100 ;
-        RECT 0.965 32.995 7.465 33.580 ;
-        RECT 22.675 32.995 71.790 34.525 ;
-        RECT 0.965 32.280 6.750 32.995 ;
-        RECT 9.795 32.780 71.790 32.995 ;
-        RECT 0.965 16.495 6.375 32.280 ;
-        RECT 9.580 32.195 71.790 32.780 ;
-        RECT 8.995 31.480 71.790 32.195 ;
-        RECT 8.280 30.895 71.790 31.480 ;
-        RECT 7.695 18.020 71.790 30.895 ;
-        RECT 8.270 17.445 71.790 18.020 ;
-        RECT 8.730 16.985 71.790 17.445 ;
-        RECT 0.965 16.045 6.890 16.495 ;
-        RECT 0.965 15.595 7.340 16.045 ;
-        RECT 0.965 15.385 7.790 15.595 ;
-        RECT 0.965 0.565 8.000 15.385 ;
-        RECT 9.320 15.170 71.790 16.985 ;
-        RECT 9.320 12.665 27.770 15.170 ;
-        RECT 9.320 10.380 22.505 12.665 ;
-        RECT 9.320 8.815 20.940 10.380 ;
-        RECT 24.450 9.745 27.770 12.665 ;
-        RECT 29.300 11.310 71.790 15.170 ;
-        RECT 24.450 9.445 28.235 9.745 ;
-        RECT 9.320 8.535 20.660 8.815 ;
-        RECT 9.320 3.010 19.675 8.535 ;
-        RECT 24.450 8.015 28.535 9.445 ;
-        RECT 23.370 7.735 28.535 8.015 ;
-        RECT 23.090 6.270 28.535 7.735 ;
-        RECT 21.625 3.010 28.535 6.270 ;
-        RECT 9.320 1.810 28.535 3.010 ;
-        RECT 9.320 0.965 19.675 1.810 ;
-        RECT 9.320 0.565 16.845 0.965 ;
-        RECT 19.310 0.565 19.675 0.965 ;
-        RECT 21.625 0.565 28.535 1.810 ;
-        RECT 30.065 0.565 71.790 11.310 ;
-        RECT 73.660 0.565 74.700 48.920 ;
-      LAYER met4 ;
-        RECT 1.670 175.385 73.330 200.000 ;
-        RECT 0.965 95.400 74.035 175.385 ;
-        RECT 1.670 69.635 73.330 95.400 ;
-        RECT 0.965 68.935 74.035 69.635 ;
-        RECT 1.670 63.685 73.330 68.935 ;
-        RECT 0.965 63.085 74.035 63.685 ;
-        RECT 1.670 57.835 73.330 63.085 ;
-        RECT 0.965 57.135 74.035 57.835 ;
-        RECT 1.670 51.745 73.330 52.725 ;
-        RECT 0.965 46.635 74.035 47.335 ;
-        RECT 1.670 41.185 73.330 46.635 ;
-        RECT 0.965 40.585 74.035 41.185 ;
-        RECT 1.670 36.335 73.330 40.585 ;
-        RECT 0.965 35.735 74.035 36.335 ;
-        RECT 1.670 31.485 73.330 35.735 ;
-        RECT 0.965 30.885 74.035 31.485 ;
-        RECT 1.670 25.435 73.330 30.885 ;
-        RECT 0.965 24.835 74.035 25.435 ;
-        RECT 1.670 19.385 73.330 24.835 ;
-        RECT 0.965 18.785 74.035 19.385 ;
-        RECT 1.365 14.535 73.635 18.785 ;
-        RECT 0.965 13.935 74.035 14.535 ;
-        RECT 1.670 8.485 73.330 13.935 ;
-        RECT 0.965 7.885 74.035 8.485 ;
-        RECT 1.670 2.035 73.330 7.885 ;
-      LAYER met5 ;
-        RECT 2.870 174.185 72.130 200.000 ;
-        RECT 0.000 165.885 75.000 174.185 ;
-        RECT 0.000 106.855 15.650 165.885 ;
-        RECT 56.035 106.855 75.000 165.885 ;
-        RECT 0.000 96.585 75.000 106.855 ;
-        RECT 2.870 18.285 72.130 96.585 ;
-        RECT 2.565 15.035 72.435 18.285 ;
-        RECT 2.870 2.135 72.130 15.035 ;
-  END
-END sky130_fd_io__top_xres4v2
-END LIBRARY
-
diff --git a/sky130/custom/sky130_fd_io/mag/all_pads_test.mag b/sky130/custom/sky130_fd_io/mag/all_pads_test.mag
deleted file mode 100644
index 7b7f9b1..0000000
--- a/sky130/custom/sky130_fd_io/mag/all_pads_test.mag
+++ /dev/null
@@ -1,8491 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1584383567
-<< error_s >>
-rect 119603 132136 121521 132218
-rect 98716 131466 98782 131482
-rect 800 131078 866 131094
-rect 1823 129308 1824 129332
-rect 1904 129308 1905 129332
-rect 2377 129308 2378 129332
-rect 2458 129308 2459 129332
-rect 2931 129308 2932 129332
-rect 3012 129308 3013 129332
-rect 3485 129308 3486 129332
-rect 3566 129308 3567 129332
-rect 4039 129308 4040 129332
-rect 4120 129308 4121 129332
-rect 4593 129308 4594 129332
-rect 4674 129308 4675 129332
-rect 5147 129308 5148 129332
-rect 5228 129308 5229 129332
-rect 5701 129308 5702 129332
-rect 5782 129308 5783 129332
-rect 6255 129308 6256 129332
-rect 6336 129308 6337 129332
-rect 6809 129308 6810 129332
-rect 6890 129308 6891 129332
-rect 7363 129308 7364 129332
-rect 7444 129308 7445 129332
-rect 7917 129308 7918 129332
-rect 7998 129308 7999 129332
-rect 8471 129308 8472 129332
-rect 8552 129308 8553 129332
-rect 9025 129308 9026 129332
-rect 9106 129308 9107 129332
-rect 9579 129308 9580 129332
-rect 9660 129308 9661 129332
-rect 10133 129308 10134 129332
-rect 10214 129308 10215 129332
-rect 10687 129308 10688 129332
-rect 10768 129308 10769 129332
-rect 11241 129308 11242 129332
-rect 11322 129308 11323 129332
-rect 11795 129308 11796 129332
-rect 11876 129308 11877 129332
-rect 12349 129308 12350 129332
-rect 12430 129308 12431 129332
-rect 1847 129284 1881 129296
-rect 2401 129284 2435 129296
-rect 2955 129284 2989 129296
-rect 3509 129284 3543 129296
-rect 4063 129284 4097 129296
-rect 4617 129284 4651 129296
-rect 5171 129284 5205 129296
-rect 5725 129284 5759 129296
-rect 6279 129284 6313 129296
-rect 6833 129284 6867 129296
-rect 7387 129284 7421 129296
-rect 7941 129284 7975 129296
-rect 8495 129284 8529 129296
-rect 9049 129284 9083 129296
-rect 9603 129284 9637 129296
-rect 10157 129284 10191 129296
-rect 10711 129284 10745 129296
-rect 11265 129284 11299 129296
-rect 11819 129284 11853 129296
-rect 12373 129284 12407 129296
-rect 22784 129100 22856 131100
-rect 23055 129133 23173 131027
-rect 23444 129100 23504 131100
-rect 23704 129100 23776 131100
-rect 23975 129133 24093 131027
-rect 24364 129100 24424 131100
-rect 24624 129100 24696 131100
-rect 24895 129133 25013 131027
-rect 25284 129100 25344 131100
-rect 25544 129100 25616 131100
-rect 25815 129133 25933 131027
-rect 26204 129100 26264 131100
-rect 26464 129100 26536 131100
-rect 26735 129133 26853 131027
-rect 27124 129100 27184 131100
-rect 27384 129100 27456 131100
-rect 27655 129133 27773 131027
-rect 28044 129100 28104 131100
-rect 28304 129100 28376 131100
-rect 28575 129133 28693 131027
-rect 28964 129100 29024 131100
-rect 29224 129100 29296 131100
-rect 29495 129133 29613 131027
-rect 29884 129100 29944 131100
-rect 30144 129100 30216 131100
-rect 30415 129133 30533 131027
-rect 30804 129100 30864 131100
-rect 31064 129100 31136 131100
-rect 31335 129133 31453 131027
-rect 31724 129100 31784 131100
-rect 31984 129100 32056 131100
-rect 32255 129133 32373 131027
-rect 32644 129100 32704 131100
-rect 119390 131001 119472 132035
-rect 119682 131171 119764 131865
-rect 119904 131854 121210 131936
-rect 120072 131660 121014 131710
-rect 119941 131617 119967 131628
-rect 119957 131577 120051 131617
-rect 120963 131577 121057 131617
-rect 119957 131417 120051 131457
-rect 120963 131417 121057 131457
-rect 119941 131406 119967 131417
-rect 120072 131316 121014 131366
-rect 119904 131102 121210 131184
-rect 121250 131171 121332 131865
-rect 121542 131001 121624 132035
-rect 122443 131134 122493 132134
-rect 122593 131134 122721 132134
-rect 122749 131134 122799 132134
-rect 122865 131134 122915 132134
-rect 123075 131134 123131 132134
-rect 123291 131134 123347 132134
-rect 123447 131134 123575 132134
-rect 123603 131134 123653 132134
-rect 123769 131134 123819 132134
-rect 123979 131134 124035 132134
-rect 124135 131134 124263 132134
-rect 124291 131134 124347 132134
-rect 124447 131134 124575 132134
-rect 124603 131134 124659 132134
-rect 124759 131134 124809 132134
-rect 124875 131134 124925 131734
-rect 125025 131134 125075 131734
-rect 125141 131134 125191 132134
-rect 125291 131134 125347 132134
-rect 125447 131134 125497 132134
-rect 126387 131130 126445 131164
-rect 127227 131142 127277 132142
-rect 127377 131142 127505 132142
-rect 127533 131142 127661 132142
-rect 127689 131142 127817 132142
-rect 127845 131142 127973 132142
-rect 128001 131142 128051 132142
-rect 128117 131142 128167 132142
-rect 128267 131142 128323 132142
-rect 128423 131142 128473 132142
-rect 128539 131142 128589 132142
-rect 128689 131142 128817 132142
-rect 128845 131142 128901 132142
-rect 129001 131142 129129 132142
-rect 129157 131142 129207 132142
-rect 129273 131142 129323 132142
-rect 129423 131142 129551 132142
-rect 129579 131142 129635 132142
-rect 129735 131142 129863 132142
-rect 129891 131514 129941 132142
-rect 129891 131442 129944 131514
-rect 129891 131142 129941 131442
-rect 130004 131142 130016 131442
-rect 133592 130979 134592 131029
-rect 39774 130302 39840 130318
-rect 40797 128532 40798 128556
-rect 40878 128532 40879 128556
-rect 41351 128532 41352 128556
-rect 41432 128532 41433 128556
-rect 41905 128532 41906 128556
-rect 41986 128532 41987 128556
-rect 42459 128532 42460 128556
-rect 42540 128532 42541 128556
-rect 43013 128532 43014 128556
-rect 43094 128532 43095 128556
-rect 43567 128532 43568 128556
-rect 43648 128532 43649 128556
-rect 44121 128532 44122 128556
-rect 44202 128532 44203 128556
-rect 44675 128532 44676 128556
-rect 44756 128532 44757 128556
-rect 45229 128532 45230 128556
-rect 45310 128532 45311 128556
-rect 45783 128532 45784 128556
-rect 45864 128532 45865 128556
-rect 46337 128532 46338 128556
-rect 46418 128532 46419 128556
-rect 46891 128532 46892 128556
-rect 46972 128532 46973 128556
-rect 47445 128532 47446 128556
-rect 47526 128532 47527 128556
-rect 47999 128532 48000 128556
-rect 48080 128532 48081 128556
-rect 48553 128532 48554 128556
-rect 48634 128532 48635 128556
-rect 49107 128532 49108 128556
-rect 49188 128532 49189 128556
-rect 49661 128532 49662 128556
-rect 49742 128532 49743 128556
-rect 50215 128532 50216 128556
-rect 50296 128532 50297 128556
-rect 50769 128532 50770 128556
-rect 50850 128532 50851 128556
-rect 51323 128532 51324 128556
-rect 51404 128532 51405 128556
-rect 40821 128508 40855 128520
-rect 41375 128508 41409 128520
-rect 41929 128508 41963 128520
-rect 42483 128508 42517 128520
-rect 43037 128508 43071 128520
-rect 43591 128508 43625 128520
-rect 44145 128508 44179 128520
-rect 44699 128508 44733 128520
-rect 45253 128508 45287 128520
-rect 45807 128508 45841 128520
-rect 46361 128508 46395 128520
-rect 46915 128508 46949 128520
-rect 47469 128508 47503 128520
-rect 48023 128508 48057 128520
-rect 48577 128508 48611 128520
-rect 49131 128508 49165 128520
-rect 49685 128508 49719 128520
-rect 50239 128508 50273 128520
-rect 50793 128508 50827 128520
-rect 51347 128508 51381 128520
-rect 2377 127308 2378 127332
-rect 2458 127308 2459 127332
-rect 2931 127308 2932 127332
-rect 3012 127308 3013 127332
-rect 3485 127308 3486 127332
-rect 3566 127308 3567 127332
-rect 4039 127308 4040 127332
-rect 4120 127308 4121 127332
-rect 4593 127308 4594 127332
-rect 4674 127308 4675 127332
-rect 5147 127308 5148 127332
-rect 5228 127308 5229 127332
-rect 5701 127308 5702 127332
-rect 5782 127308 5783 127332
-rect 6255 127308 6256 127332
-rect 6336 127308 6337 127332
-rect 6809 127308 6810 127332
-rect 6890 127308 6891 127332
-rect 7363 127308 7364 127332
-rect 7444 127308 7445 127332
-rect 7917 127308 7918 127332
-rect 7998 127308 7999 127332
-rect 8471 127308 8472 127332
-rect 8552 127308 8553 127332
-rect 9025 127308 9026 127332
-rect 9106 127308 9107 127332
-rect 9579 127308 9580 127332
-rect 9660 127308 9661 127332
-rect 10133 127308 10134 127332
-rect 10214 127308 10215 127332
-rect 10687 127308 10688 127332
-rect 10768 127308 10769 127332
-rect 11241 127308 11242 127332
-rect 11322 127308 11323 127332
-rect 11795 127308 11796 127332
-rect 11876 127308 11877 127332
-rect 12349 127308 12350 127332
-rect 12430 127308 12431 127332
-rect 2401 127284 2435 127296
-rect 2955 127284 2989 127296
-rect 3509 127284 3543 127296
-rect 4063 127284 4097 127296
-rect 4617 127284 4651 127296
-rect 5171 127284 5205 127296
-rect 5725 127284 5759 127296
-rect 6279 127284 6313 127296
-rect 6833 127284 6867 127296
-rect 7387 127284 7421 127296
-rect 7941 127284 7975 127296
-rect 8495 127284 8529 127296
-rect 9049 127284 9083 127296
-rect 9603 127284 9637 127296
-rect 10157 127284 10191 127296
-rect 10711 127284 10745 127296
-rect 11265 127284 11299 127296
-rect 11819 127284 11853 127296
-rect 12373 127284 12407 127296
-rect 2317 125308 2318 125332
-rect 2398 125308 2399 125332
-rect 3153 125308 3154 125332
-rect 3234 125308 3235 125332
-rect 3989 125308 3990 125332
-rect 4070 125308 4071 125332
-rect 4825 125308 4826 125332
-rect 4906 125308 4907 125332
-rect 5661 125308 5662 125332
-rect 5742 125308 5743 125332
-rect 6497 125308 6498 125332
-rect 6578 125308 6579 125332
-rect 7333 125308 7334 125332
-rect 7414 125308 7415 125332
-rect 8169 125308 8170 125332
-rect 8250 125308 8251 125332
-rect 9005 125308 9006 125332
-rect 9086 125308 9087 125332
-rect 9841 125308 9842 125332
-rect 9922 125308 9923 125332
-rect 10677 125308 10678 125332
-rect 10758 125308 10759 125332
-rect 11513 125308 11514 125332
-rect 11594 125308 11595 125332
-rect 12349 125308 12350 125332
-rect 12430 125308 12431 125332
-rect 2341 125284 2375 125296
-rect 3177 125284 3211 125296
-rect 4013 125284 4047 125296
-rect 4849 125284 4883 125296
-rect 5685 125284 5719 125296
-rect 6521 125284 6555 125296
-rect 7357 125284 7391 125296
-rect 8193 125284 8227 125296
-rect 9029 125284 9063 125296
-rect 9865 125284 9899 125296
-rect 10701 125284 10735 125296
-rect 11537 125284 11571 125296
-rect 12373 125284 12407 125296
-rect 22784 124500 22856 128500
-rect 23055 124533 23173 128467
-rect 23444 124500 23504 128500
-rect 23704 124500 23776 128500
-rect 23975 124533 24093 128467
-rect 24364 124500 24424 128500
-rect 24624 124500 24696 128500
-rect 24895 124533 25013 128467
-rect 25284 124500 25344 128500
-rect 25544 124500 25616 128500
-rect 25815 124533 25933 128467
-rect 26204 124500 26264 128500
-rect 26464 124500 26536 128500
-rect 26735 124533 26853 128467
-rect 27124 124500 27184 128500
-rect 27384 124500 27456 128500
-rect 27655 124533 27773 128467
-rect 28044 124500 28104 128500
-rect 28304 124500 28376 128500
-rect 28575 124533 28693 128467
-rect 28964 124500 29024 128500
-rect 29224 124500 29296 128500
-rect 29495 124533 29613 128467
-rect 29884 124500 29944 128500
-rect 30144 124500 30216 128500
-rect 30415 124533 30533 128467
-rect 30804 124500 30864 128500
-rect 31064 124500 31136 128500
-rect 31335 124533 31453 128467
-rect 31724 124500 31784 128500
-rect 31984 124500 32056 128500
-rect 32255 124533 32373 128467
-rect 32644 124500 32704 128500
-rect 59624 127964 59696 129964
-rect 59895 127997 60013 129891
-rect 60284 127964 60344 129964
-rect 60544 127964 60616 129964
-rect 60815 127997 60933 129891
-rect 61204 127964 61264 129964
-rect 61464 127964 61536 129964
-rect 61735 127997 61853 129891
-rect 62124 127964 62184 129964
-rect 62384 127964 62456 129964
-rect 62655 127997 62773 129891
-rect 63044 127964 63104 129964
-rect 63304 127964 63376 129964
-rect 63575 127997 63693 129891
-rect 63964 127964 64024 129964
-rect 64224 127964 64296 129964
-rect 64495 127997 64613 129891
-rect 64884 127964 64944 129964
-rect 65144 127964 65216 129964
-rect 65415 127997 65533 129891
-rect 65804 127964 65864 129964
-rect 66064 127964 66136 129964
-rect 66335 127997 66453 129891
-rect 66724 127964 66784 129964
-rect 66984 127964 67056 129964
-rect 67255 127997 67373 129891
-rect 67644 127964 67704 129964
-rect 67904 127964 67976 129964
-rect 68175 127997 68293 129891
-rect 68564 127964 68624 129964
-rect 68824 127964 68896 129964
-rect 69095 127997 69213 129891
-rect 69484 127964 69544 129964
-rect 80176 128934 80248 130934
-rect 80447 128967 80565 130861
-rect 80836 128934 80896 130934
-rect 81096 128934 81168 130934
-rect 81367 128967 81485 130861
-rect 81756 128934 81816 130934
-rect 82016 128934 82088 130934
-rect 82287 128967 82405 130861
-rect 82676 128934 82736 130934
-rect 82936 128934 83008 130934
-rect 83207 128967 83325 130861
-rect 83596 128934 83656 130934
-rect 83856 128934 83928 130934
-rect 84127 128967 84245 130861
-rect 84516 128934 84576 130934
-rect 84776 128934 84848 130934
-rect 85047 128967 85165 130861
-rect 85436 128934 85496 130934
-rect 85696 128934 85768 130934
-rect 85967 128967 86085 130861
-rect 86356 128934 86416 130934
-rect 86616 128934 86688 130934
-rect 86887 128967 87005 130861
-rect 87276 128934 87336 130934
-rect 87536 128934 87608 130934
-rect 87807 128967 87925 130861
-rect 88196 128934 88256 130934
-rect 88456 128934 88528 130934
-rect 88727 128967 88845 130861
-rect 89116 128934 89176 130934
-rect 89376 128934 89448 130934
-rect 89647 128967 89765 130861
-rect 90036 128934 90096 130934
-rect 121650 130927 121653 130928
-rect 121650 130926 121651 130927
-rect 121652 130926 121653 130927
-rect 121650 130925 121653 130926
-rect 119603 130817 121521 130899
-rect 133592 130823 134592 130951
-rect 121650 130790 121653 130791
-rect 121650 130789 121651 130790
-rect 121652 130789 121653 130790
-rect 121650 130788 121653 130789
-rect 99739 129696 99740 129720
-rect 99820 129696 99821 129720
-rect 100293 129696 100294 129720
-rect 100374 129696 100375 129720
-rect 100847 129696 100848 129720
-rect 100928 129696 100929 129720
-rect 101401 129696 101402 129720
-rect 101482 129696 101483 129720
-rect 101955 129696 101956 129720
-rect 102036 129696 102037 129720
-rect 102509 129696 102510 129720
-rect 102590 129696 102591 129720
-rect 103063 129696 103064 129720
-rect 103144 129696 103145 129720
-rect 103617 129696 103618 129720
-rect 103698 129696 103699 129720
-rect 104171 129696 104172 129720
-rect 104252 129696 104253 129720
-rect 104725 129696 104726 129720
-rect 104806 129696 104807 129720
-rect 105279 129696 105280 129720
-rect 105360 129696 105361 129720
-rect 105833 129696 105834 129720
-rect 105914 129696 105915 129720
-rect 106387 129696 106388 129720
-rect 106468 129696 106469 129720
-rect 106941 129696 106942 129720
-rect 107022 129696 107023 129720
-rect 107495 129696 107496 129720
-rect 107576 129696 107577 129720
-rect 108049 129696 108050 129720
-rect 108130 129696 108131 129720
-rect 108603 129696 108604 129720
-rect 108684 129696 108685 129720
-rect 109157 129696 109158 129720
-rect 109238 129696 109239 129720
-rect 109711 129696 109712 129720
-rect 109792 129696 109793 129720
-rect 110265 129696 110266 129720
-rect 110346 129696 110347 129720
-rect 99763 129672 99797 129684
-rect 100317 129672 100351 129684
-rect 100871 129672 100905 129684
-rect 101425 129672 101459 129684
-rect 101979 129672 102013 129684
-rect 102533 129672 102567 129684
-rect 103087 129672 103121 129684
-rect 103641 129672 103675 129684
-rect 104195 129672 104229 129684
-rect 104749 129672 104783 129684
-rect 105303 129672 105337 129684
-rect 105857 129672 105891 129684
-rect 106411 129672 106445 129684
-rect 106965 129672 106999 129684
-rect 107519 129672 107553 129684
-rect 108073 129672 108107 129684
-rect 108627 129672 108661 129684
-rect 109181 129672 109215 129684
-rect 109735 129672 109769 129684
-rect 110289 129672 110323 129684
-rect 119390 129681 119472 130715
-rect 119682 129851 119764 130545
-rect 119904 130532 121210 130614
-rect 120072 130350 121014 130400
-rect 119941 130299 119967 130310
-rect 119957 130259 120051 130299
-rect 120963 130259 121057 130299
-rect 119957 130099 120051 130139
-rect 120963 130099 121057 130139
-rect 119941 130088 119967 130099
-rect 120072 130006 121014 130056
-rect 119904 129780 121210 129862
-rect 121250 129851 121332 130545
-rect 121542 129681 121624 130715
-rect 127486 130689 128486 130739
-rect 128882 130689 129482 130739
-rect 127486 130533 128486 130661
-rect 131226 130629 132226 130679
-rect 133592 130673 134592 130723
-rect 128882 130533 129482 130589
-rect 127486 130377 128486 130505
-rect 128882 130377 129482 130433
-rect 131226 130413 132226 130541
-rect 127486 130227 128486 130277
-rect 128882 130221 129482 130277
-rect 131226 130203 132226 130253
-rect 128882 130071 129482 130121
-rect 119603 129498 121521 129580
-rect 120316 129443 120486 129498
-rect 121550 129443 121719 129511
-rect 120384 129363 120385 129443
-rect 120418 129403 120486 129443
-rect 120418 129363 120485 129403
-rect 120384 129362 120485 129363
-rect 121618 129363 121619 129443
-rect 121652 129363 121719 129443
-rect 121618 129362 121719 129363
-rect 120693 129142 121293 129192
-rect 120693 128892 121293 128942
-rect 122413 128467 122463 129467
-rect 122623 128467 122751 129467
-rect 122839 128467 122967 129467
-rect 123055 128467 123183 129467
-rect 123271 128467 123399 129467
-rect 123487 128467 123543 129467
-rect 123703 128467 123759 129467
-rect 123919 128467 124047 129467
-rect 124135 128467 124263 129467
-rect 124351 128467 124479 129467
-rect 124567 128467 124695 129467
-rect 124783 128467 124839 129467
-rect 124999 128467 125127 129467
-rect 125215 128467 125343 129467
-rect 125431 128467 125559 129467
-rect 125647 128467 125697 129467
-rect 125763 128467 125813 129467
-rect 125973 128467 126023 129467
-rect 126089 128467 126139 129467
-rect 126299 128467 126349 129467
-rect 126415 128467 126465 129467
-rect 126625 128467 126675 129467
-rect 126738 129282 126750 129482
-rect 126741 128467 126791 129067
-rect 126991 128467 127047 129067
-rect 127147 128467 127275 129067
-rect 127303 128467 127359 129067
-rect 127459 128467 127587 129067
-rect 127615 128467 127665 129067
-rect 127731 128467 127781 129467
-rect 127881 128467 127937 129467
-rect 128037 128467 128087 129467
-rect 128165 128467 128215 129467
-rect 128315 128467 128443 129467
-rect 128471 128467 128599 129467
-rect 128627 128467 128683 129467
-rect 128783 128467 128911 129467
-rect 128939 128467 129067 129467
-rect 129095 128467 129223 129467
-rect 129251 128467 129301 129467
-rect 129367 128467 129417 129467
-rect 129517 128467 129645 129467
-rect 129673 128467 129801 129467
-rect 129829 128467 129957 129467
-rect 129985 128467 130041 129467
-rect 130141 128467 130269 129467
-rect 130297 128467 130425 129467
-rect 130453 128467 130509 129467
-rect 130609 128467 130659 129467
-rect 131880 129267 131933 129467
-rect 131883 128467 131933 129267
-rect 132093 128467 132221 129467
-rect 132309 128467 132365 129467
-rect 132525 128467 132653 129467
-rect 132741 128467 132791 129467
-rect 132857 128467 132907 129467
-rect 133067 128467 133117 129467
-rect 130604 128380 130640 128391
-rect 130756 128380 133080 128391
-rect 130604 128358 133080 128380
-rect 130604 128357 130640 128358
-rect 130756 128357 133080 128358
-rect 41351 126532 41352 126556
-rect 41432 126532 41433 126556
-rect 41905 126532 41906 126556
-rect 41986 126532 41987 126556
-rect 42459 126532 42460 126556
-rect 42540 126532 42541 126556
-rect 43013 126532 43014 126556
-rect 43094 126532 43095 126556
-rect 43567 126532 43568 126556
-rect 43648 126532 43649 126556
-rect 44121 126532 44122 126556
-rect 44202 126532 44203 126556
-rect 44675 126532 44676 126556
-rect 44756 126532 44757 126556
-rect 45229 126532 45230 126556
-rect 45310 126532 45311 126556
-rect 45783 126532 45784 126556
-rect 45864 126532 45865 126556
-rect 46337 126532 46338 126556
-rect 46418 126532 46419 126556
-rect 46891 126532 46892 126556
-rect 46972 126532 46973 126556
-rect 47445 126532 47446 126556
-rect 47526 126532 47527 126556
-rect 47999 126532 48000 126556
-rect 48080 126532 48081 126556
-rect 48553 126532 48554 126556
-rect 48634 126532 48635 126556
-rect 49107 126532 49108 126556
-rect 49188 126532 49189 126556
-rect 49661 126532 49662 126556
-rect 49742 126532 49743 126556
-rect 50215 126532 50216 126556
-rect 50296 126532 50297 126556
-rect 50769 126532 50770 126556
-rect 50850 126532 50851 126556
-rect 51323 126532 51324 126556
-rect 51404 126532 51405 126556
-rect 41375 126508 41409 126520
-rect 41929 126508 41963 126520
-rect 42483 126508 42517 126520
-rect 43037 126508 43071 126520
-rect 43591 126508 43625 126520
-rect 44145 126508 44179 126520
-rect 44699 126508 44733 126520
-rect 45253 126508 45287 126520
-rect 45807 126508 45841 126520
-rect 46361 126508 46395 126520
-rect 46915 126508 46949 126520
-rect 47469 126508 47503 126520
-rect 48023 126508 48057 126520
-rect 48577 126508 48611 126520
-rect 49131 126508 49165 126520
-rect 49685 126508 49719 126520
-rect 50239 126508 50273 126520
-rect 50793 126508 50827 126520
-rect 51347 126508 51381 126520
-rect 41291 124532 41292 124556
-rect 41372 124532 41373 124556
-rect 42127 124532 42128 124556
-rect 42208 124532 42209 124556
-rect 42963 124532 42964 124556
-rect 43044 124532 43045 124556
-rect 43799 124532 43800 124556
-rect 43880 124532 43881 124556
-rect 44635 124532 44636 124556
-rect 44716 124532 44717 124556
-rect 45471 124532 45472 124556
-rect 45552 124532 45553 124556
-rect 46307 124532 46308 124556
-rect 46388 124532 46389 124556
-rect 47143 124532 47144 124556
-rect 47224 124532 47225 124556
-rect 47979 124532 47980 124556
-rect 48060 124532 48061 124556
-rect 48815 124532 48816 124556
-rect 48896 124532 48897 124556
-rect 49651 124532 49652 124556
-rect 49732 124532 49733 124556
-rect 50487 124532 50488 124556
-rect 50568 124532 50569 124556
-rect 51323 124532 51324 124556
-rect 51404 124532 51405 124556
-rect 41315 124508 41349 124520
-rect 42151 124508 42185 124520
-rect 42987 124508 43021 124520
-rect 43823 124508 43857 124520
-rect 44659 124508 44693 124520
-rect 45495 124508 45529 124520
-rect 46331 124508 46365 124520
-rect 47167 124508 47201 124520
-rect 48003 124508 48037 124520
-rect 48839 124508 48873 124520
-rect 49675 124508 49709 124520
-rect 50511 124508 50545 124520
-rect 51347 124508 51381 124520
-rect 2317 123308 2318 123332
-rect 2398 123308 2399 123332
-rect 3153 123308 3154 123332
-rect 3234 123308 3235 123332
-rect 3989 123308 3990 123332
-rect 4070 123308 4071 123332
-rect 4825 123308 4826 123332
-rect 4906 123308 4907 123332
-rect 5661 123308 5662 123332
-rect 5742 123308 5743 123332
-rect 6497 123308 6498 123332
-rect 6578 123308 6579 123332
-rect 7333 123308 7334 123332
-rect 7414 123308 7415 123332
-rect 8169 123308 8170 123332
-rect 8250 123308 8251 123332
-rect 9005 123308 9006 123332
-rect 9086 123308 9087 123332
-rect 9841 123308 9842 123332
-rect 9922 123308 9923 123332
-rect 10677 123308 10678 123332
-rect 10758 123308 10759 123332
-rect 11513 123308 11514 123332
-rect 11594 123308 11595 123332
-rect 12349 123308 12350 123332
-rect 12430 123308 12431 123332
-rect 2341 123284 2375 123296
-rect 3177 123284 3211 123296
-rect 4013 123284 4047 123296
-rect 4849 123284 4883 123296
-rect 5685 123284 5719 123296
-rect 6521 123284 6555 123296
-rect 7357 123284 7391 123296
-rect 8193 123284 8227 123296
-rect 9029 123284 9063 123296
-rect 9865 123284 9899 123296
-rect 10701 123284 10735 123296
-rect 11537 123284 11571 123296
-rect 12373 123284 12407 123296
-rect 2317 121308 2318 121332
-rect 2398 121308 2399 121332
-rect 3153 121308 3154 121332
-rect 3234 121308 3235 121332
-rect 3989 121308 3990 121332
-rect 4070 121308 4071 121332
-rect 4825 121308 4826 121332
-rect 4906 121308 4907 121332
-rect 5661 121308 5662 121332
-rect 5742 121308 5743 121332
-rect 6497 121308 6498 121332
-rect 6578 121308 6579 121332
-rect 7333 121308 7334 121332
-rect 7414 121308 7415 121332
-rect 8169 121308 8170 121332
-rect 8250 121308 8251 121332
-rect 9005 121308 9006 121332
-rect 9086 121308 9087 121332
-rect 9841 121308 9842 121332
-rect 9922 121308 9923 121332
-rect 10677 121308 10678 121332
-rect 10758 121308 10759 121332
-rect 11513 121308 11514 121332
-rect 11594 121308 11595 121332
-rect 12349 121308 12350 121332
-rect 12430 121308 12431 121332
-rect 2341 121284 2375 121296
-rect 3177 121284 3211 121296
-rect 4013 121284 4047 121296
-rect 4849 121284 4883 121296
-rect 5685 121284 5719 121296
-rect 6521 121284 6555 121296
-rect 7357 121284 7391 121296
-rect 8193 121284 8227 121296
-rect 9029 121284 9063 121296
-rect 9865 121284 9899 121296
-rect 10701 121284 10735 121296
-rect 11537 121284 11571 121296
-rect 12373 121284 12407 121296
-rect 22784 119900 22856 123900
-rect 23055 119933 23173 123867
-rect 23444 119900 23504 123900
-rect 23704 119900 23776 123900
-rect 23975 119933 24093 123867
-rect 24364 119900 24424 123900
-rect 24624 119900 24696 123900
-rect 24895 119933 25013 123867
-rect 25284 119900 25344 123900
-rect 25544 119900 25616 123900
-rect 25815 119933 25933 123867
-rect 26204 119900 26264 123900
-rect 26464 119900 26536 123900
-rect 26735 119933 26853 123867
-rect 27124 119900 27184 123900
-rect 27384 119900 27456 123900
-rect 27655 119933 27773 123867
-rect 28044 119900 28104 123900
-rect 28304 119900 28376 123900
-rect 28575 119933 28693 123867
-rect 28964 119900 29024 123900
-rect 29224 119900 29296 123900
-rect 29495 119933 29613 123867
-rect 29884 119900 29944 123900
-rect 30144 119900 30216 123900
-rect 30415 119933 30533 123867
-rect 30804 119900 30864 123900
-rect 31064 119900 31136 123900
-rect 31335 119933 31453 123867
-rect 31724 119900 31784 123900
-rect 31984 119900 32056 123900
-rect 32255 119933 32373 123867
-rect 32644 119900 32704 123900
-rect 59624 123364 59696 127364
-rect 59895 123397 60013 127331
-rect 60284 123364 60344 127364
-rect 60544 123364 60616 127364
-rect 60815 123397 60933 127331
-rect 61204 123364 61264 127364
-rect 61464 123364 61536 127364
-rect 61735 123397 61853 127331
-rect 62124 123364 62184 127364
-rect 62384 123364 62456 127364
-rect 62655 123397 62773 127331
-rect 63044 123364 63104 127364
-rect 63304 123364 63376 127364
-rect 63575 123397 63693 127331
-rect 63964 123364 64024 127364
-rect 64224 123364 64296 127364
-rect 64495 123397 64613 127331
-rect 64884 123364 64944 127364
-rect 65144 123364 65216 127364
-rect 65415 123397 65533 127331
-rect 65804 123364 65864 127364
-rect 66064 123364 66136 127364
-rect 66335 123397 66453 127331
-rect 66724 123364 66784 127364
-rect 66984 123364 67056 127364
-rect 67255 123397 67373 127331
-rect 67644 123364 67704 127364
-rect 67904 123364 67976 127364
-rect 68175 123397 68293 127331
-rect 68564 123364 68624 127364
-rect 68824 123364 68896 127364
-rect 69095 123397 69213 127331
-rect 69484 123364 69544 127364
-rect 80176 124334 80248 128334
-rect 80447 124367 80565 128301
-rect 80836 124334 80896 128334
-rect 81096 124334 81168 128334
-rect 81367 124367 81485 128301
-rect 81756 124334 81816 128334
-rect 82016 124334 82088 128334
-rect 82287 124367 82405 128301
-rect 82676 124334 82736 128334
-rect 82936 124334 83008 128334
-rect 83207 124367 83325 128301
-rect 83596 124334 83656 128334
-rect 83856 124334 83928 128334
-rect 84127 124367 84245 128301
-rect 84516 124334 84576 128334
-rect 84776 124334 84848 128334
-rect 85047 124367 85165 128301
-rect 85436 124334 85496 128334
-rect 85696 124334 85768 128334
-rect 85967 124367 86085 128301
-rect 86356 124334 86416 128334
-rect 86616 124334 86688 128334
-rect 86887 124367 87005 128301
-rect 87276 124334 87336 128334
-rect 87536 124334 87608 128334
-rect 87807 124367 87925 128301
-rect 88196 124334 88256 128334
-rect 88456 124334 88528 128334
-rect 88727 124367 88845 128301
-rect 89116 124334 89176 128334
-rect 89376 124334 89448 128334
-rect 89647 124367 89765 128301
-rect 90036 124334 90096 128334
-rect 100293 127696 100294 127720
-rect 100374 127696 100375 127720
-rect 100847 127696 100848 127720
-rect 100928 127696 100929 127720
-rect 101401 127696 101402 127720
-rect 101482 127696 101483 127720
-rect 101955 127696 101956 127720
-rect 102036 127696 102037 127720
-rect 102509 127696 102510 127720
-rect 102590 127696 102591 127720
-rect 103063 127696 103064 127720
-rect 103144 127696 103145 127720
-rect 103617 127696 103618 127720
-rect 103698 127696 103699 127720
-rect 104171 127696 104172 127720
-rect 104252 127696 104253 127720
-rect 104725 127696 104726 127720
-rect 104806 127696 104807 127720
-rect 105279 127696 105280 127720
-rect 105360 127696 105361 127720
-rect 105833 127696 105834 127720
-rect 105914 127696 105915 127720
-rect 106387 127696 106388 127720
-rect 106468 127696 106469 127720
-rect 106941 127696 106942 127720
-rect 107022 127696 107023 127720
-rect 107495 127696 107496 127720
-rect 107576 127696 107577 127720
-rect 108049 127696 108050 127720
-rect 108130 127696 108131 127720
-rect 108603 127696 108604 127720
-rect 108684 127696 108685 127720
-rect 109157 127696 109158 127720
-rect 109238 127696 109239 127720
-rect 109711 127696 109712 127720
-rect 109792 127696 109793 127720
-rect 110265 127696 110266 127720
-rect 110346 127696 110347 127720
-rect 100317 127672 100351 127684
-rect 100871 127672 100905 127684
-rect 101425 127672 101459 127684
-rect 101979 127672 102013 127684
-rect 102533 127672 102567 127684
-rect 103087 127672 103121 127684
-rect 103641 127672 103675 127684
-rect 104195 127672 104229 127684
-rect 104749 127672 104783 127684
-rect 105303 127672 105337 127684
-rect 105857 127672 105891 127684
-rect 106411 127672 106445 127684
-rect 106965 127672 106999 127684
-rect 107519 127672 107553 127684
-rect 108073 127672 108107 127684
-rect 108627 127672 108661 127684
-rect 109181 127672 109215 127684
-rect 109735 127672 109769 127684
-rect 110289 127672 110323 127684
-rect 125666 127148 125736 127180
-rect 125770 127148 125805 127180
-rect 125839 127148 125874 127180
-rect 125908 127148 125942 127180
-rect 125976 127148 126010 127180
-rect 126044 127148 126078 127180
-rect 126112 127148 126146 127180
-rect 126180 127148 126214 127180
-rect 126248 127148 126282 127180
-rect 126316 127148 126350 127180
-rect 126384 127148 126418 127180
-rect 126452 127148 126486 127180
-rect 126520 127148 126554 127180
-rect 126588 127148 126622 127180
-rect 126656 127148 126690 127180
-rect 126724 127148 126758 127180
-rect 126792 127148 126826 127180
-rect 126860 127148 126894 127180
-rect 126928 127148 126962 127180
-rect 126996 127148 127030 127180
-rect 127064 127148 127098 127180
-rect 127132 127148 127166 127180
-rect 127200 127148 127234 127180
-rect 127268 127148 127302 127180
-rect 127336 127148 127370 127180
-rect 127404 127148 127438 127180
-rect 127472 127148 127506 127180
-rect 127540 127148 127574 127180
-rect 127608 127148 127642 127180
-rect 127676 127148 127710 127180
-rect 127744 127148 127778 127180
-rect 127812 127148 127846 127180
-rect 127880 127148 127914 127180
-rect 127948 127148 127982 127180
-rect 128016 127148 128050 127180
-rect 128084 127148 128118 127180
-rect 128152 127148 128186 127180
-rect 128220 127148 128254 127180
-rect 128288 127148 128322 127180
-rect 128356 127148 128390 127180
-rect 128424 127148 128458 127180
-rect 128492 127148 128526 127180
-rect 128560 127148 128594 127180
-rect 128628 127148 128662 127180
-rect 128696 127148 128730 127180
-rect 128764 127148 128798 127180
-rect 128832 127148 128866 127180
-rect 128900 127148 128934 127180
-rect 128968 127148 129002 127180
-rect 129036 127148 129070 127180
-rect 129104 127148 129138 127180
-rect 129172 127148 129206 127180
-rect 129240 127148 129274 127180
-rect 129308 127148 129342 127180
-rect 129376 127148 129410 127180
-rect 129444 127148 129478 127180
-rect 129512 127148 129546 127180
-rect 129580 127148 129614 127180
-rect 129648 127148 129682 127180
-rect 129716 127148 129750 127180
-rect 129784 127148 129818 127180
-rect 129852 127148 129886 127180
-rect 129920 127148 129954 127180
-rect 129988 127148 130022 127180
-rect 130056 127148 130090 127180
-rect 130124 127148 130158 127180
-rect 130192 127148 130226 127180
-rect 130260 127148 130294 127180
-rect 130328 127148 130362 127180
-rect 130396 127148 130430 127180
-rect 130464 127148 130498 127180
-rect 130532 127148 130566 127180
-rect 130600 127148 130634 127180
-rect 130668 127148 130702 127180
-rect 130736 127148 130770 127180
-rect 130804 127148 130838 127180
-rect 130872 127148 130906 127180
-rect 130940 127148 130974 127180
-rect 131008 127148 131042 127180
-rect 131076 127148 131110 127180
-rect 131144 127148 131178 127180
-rect 131212 127148 131246 127180
-rect 131280 127148 131314 127180
-rect 131348 127148 131382 127180
-rect 131416 127148 131450 127180
-rect 131484 127148 131518 127180
-rect 131552 127148 131586 127180
-rect 131620 127148 131654 127180
-rect 131688 127148 131722 127180
-rect 131756 127148 131790 127180
-rect 131824 127148 131858 127180
-rect 131892 127148 131926 127180
-rect 131960 127148 131994 127180
-rect 132028 127148 132062 127180
-rect 132096 127148 132130 127180
-rect 132164 127148 132198 127180
-rect 132232 127148 132266 127180
-rect 132300 127148 132334 127180
-rect 132368 127148 132402 127180
-rect 132436 127148 132470 127180
-rect 132504 127148 132538 127180
-rect 132572 127148 132606 127180
-rect 132640 127148 132680 127180
-rect 132777 127148 132848 127216
-rect 134612 127174 134626 127180
-rect 125736 127126 125770 127148
-rect 125805 127126 125839 127148
-rect 125874 127126 125908 127148
-rect 125942 127126 125976 127148
-rect 126010 127126 126044 127148
-rect 126078 127126 126112 127148
-rect 126146 127126 126180 127148
-rect 126214 127126 126248 127148
-rect 126282 127126 126316 127148
-rect 126350 127126 126384 127148
-rect 126418 127126 126452 127148
-rect 126486 127126 126520 127148
-rect 126554 127126 126588 127148
-rect 126622 127126 126656 127148
-rect 126690 127126 126724 127148
-rect 126758 127126 126792 127148
-rect 126826 127126 126860 127148
-rect 126894 127126 126928 127148
-rect 126962 127126 126996 127148
-rect 127030 127126 127064 127148
-rect 127098 127126 127132 127148
-rect 127166 127126 127200 127148
-rect 127234 127126 127268 127148
-rect 127302 127126 127336 127148
-rect 127370 127126 127404 127148
-rect 127438 127126 127472 127148
-rect 127506 127126 127540 127148
-rect 127574 127126 127608 127148
-rect 127642 127126 127676 127148
-rect 127710 127126 127744 127148
-rect 127778 127126 127812 127148
-rect 127846 127126 127880 127148
-rect 127914 127126 127948 127148
-rect 127982 127126 128016 127148
-rect 128050 127126 128084 127148
-rect 128118 127126 128152 127148
-rect 128186 127126 128220 127148
-rect 128254 127126 128288 127148
-rect 128322 127126 128356 127148
-rect 128390 127126 128424 127148
-rect 128458 127126 128492 127148
-rect 128526 127126 128560 127148
-rect 128594 127126 128628 127148
-rect 128662 127126 128696 127148
-rect 128730 127126 128764 127148
-rect 128798 127126 128832 127148
-rect 128866 127126 128900 127148
-rect 128934 127126 128968 127148
-rect 129002 127126 129036 127148
-rect 129070 127126 129104 127148
-rect 129138 127126 129172 127148
-rect 129206 127126 129240 127148
-rect 129274 127126 129308 127148
-rect 129342 127126 129376 127148
-rect 129410 127126 129444 127148
-rect 129478 127126 129512 127148
-rect 129546 127126 129580 127148
-rect 129614 127126 129648 127148
-rect 129682 127126 129716 127148
-rect 129750 127126 129784 127148
-rect 129818 127126 129852 127148
-rect 129886 127126 129920 127148
-rect 129954 127126 129988 127148
-rect 130022 127126 130056 127148
-rect 130090 127126 130124 127148
-rect 130158 127126 130192 127148
-rect 130226 127126 130260 127148
-rect 130294 127126 130328 127148
-rect 130362 127126 130396 127148
-rect 130430 127126 130464 127148
-rect 130498 127126 130532 127148
-rect 130566 127126 130600 127148
-rect 130634 127126 130668 127148
-rect 130702 127126 130736 127148
-rect 130770 127126 130804 127148
-rect 130838 127126 130872 127148
-rect 130906 127126 130940 127148
-rect 130974 127126 131008 127148
-rect 131042 127126 131076 127148
-rect 131110 127126 131144 127148
-rect 131178 127126 131212 127148
-rect 131246 127126 131280 127148
-rect 131314 127126 131348 127148
-rect 131382 127126 131416 127148
-rect 131450 127126 131484 127148
-rect 131518 127126 131552 127148
-rect 131586 127126 131620 127148
-rect 131654 127126 131688 127148
-rect 131722 127126 131756 127148
-rect 131790 127126 131824 127148
-rect 131858 127126 131892 127148
-rect 131926 127126 131960 127148
-rect 131994 127126 132028 127148
-rect 132062 127126 132096 127148
-rect 132130 127126 132164 127148
-rect 132198 127126 132232 127148
-rect 132266 127126 132300 127148
-rect 132334 127126 132368 127148
-rect 132402 127126 132436 127148
-rect 132470 127126 132504 127148
-rect 132538 127126 132572 127148
-rect 132606 127126 132640 127148
-rect 132680 127127 132777 127148
-rect 132780 127127 132848 127148
-rect 132680 127126 132848 127127
-rect 125666 127094 132680 127126
-rect 120992 126779 121992 126829
-rect 122102 126779 123102 126829
-rect 123223 126779 124223 126829
-rect 124344 126779 125344 126829
-rect 125901 126779 126901 126829
-rect 127022 126779 128022 126829
-rect 128143 126779 129143 126829
-rect 129253 126779 130253 126829
-rect 130374 126779 131374 126829
-rect 131495 126779 132495 126829
-rect 120992 126609 121992 126659
-rect 122102 126609 123102 126659
-rect 123223 126609 124223 126659
-rect 124344 126609 125344 126659
-rect 125901 126609 126901 126659
-rect 127022 126609 128022 126659
-rect 128143 126609 129143 126659
-rect 129253 126609 130253 126659
-rect 130374 126609 131374 126659
-rect 131495 126609 132495 126659
-rect 132777 126350 132848 126380
-rect 134572 126350 134612 127174
-rect 120597 126346 120732 126350
-rect 125606 126346 125640 126350
-rect 120597 126312 132680 126346
-rect 132777 126336 134612 126350
-rect 132777 126322 134602 126336
-rect 132777 126312 132848 126322
-rect 120596 126296 120597 126312
-rect 132680 126297 132777 126312
-rect 132780 126297 132848 126312
-rect 132680 126296 132848 126297
-rect 134626 126296 134666 127174
-rect 120597 126258 132680 126296
-rect 132820 126282 134666 126296
-rect 132820 126268 134656 126282
-rect 100233 125696 100234 125720
-rect 100314 125696 100315 125720
-rect 101069 125696 101070 125720
-rect 101150 125696 101151 125720
-rect 101905 125696 101906 125720
-rect 101986 125696 101987 125720
-rect 102741 125696 102742 125720
-rect 102822 125696 102823 125720
-rect 103577 125696 103578 125720
-rect 103658 125696 103659 125720
-rect 104413 125696 104414 125720
-rect 104494 125696 104495 125720
-rect 105249 125696 105250 125720
-rect 105330 125696 105331 125720
-rect 106085 125696 106086 125720
-rect 106166 125696 106167 125720
-rect 106921 125696 106922 125720
-rect 107002 125696 107003 125720
-rect 107757 125696 107758 125720
-rect 107838 125696 107839 125720
-rect 108593 125696 108594 125720
-rect 108674 125696 108675 125720
-rect 109429 125696 109430 125720
-rect 109510 125696 109511 125720
-rect 110265 125696 110266 125720
-rect 110346 125696 110347 125720
-rect 100257 125672 100291 125684
-rect 101093 125672 101127 125684
-rect 101929 125672 101963 125684
-rect 102765 125672 102799 125684
-rect 103601 125672 103635 125684
-rect 104437 125672 104471 125684
-rect 105273 125672 105307 125684
-rect 106109 125672 106143 125684
-rect 106945 125672 106979 125684
-rect 107781 125672 107815 125684
-rect 108617 125672 108651 125684
-rect 109453 125672 109487 125684
-rect 110289 125672 110323 125684
-rect 121219 124928 121429 124964
-rect 133481 124928 133594 124964
-rect 134043 124928 134325 124964
-rect 120808 123928 120886 124928
-rect 121008 123928 121080 124928
-rect 121231 123928 121255 124928
-rect 121296 123928 121352 124928
-rect 121393 123928 121429 124928
-rect 121654 123928 121714 124928
-rect 121914 123928 121986 124928
-rect 122216 123928 122272 124928
-rect 122288 123928 122344 124928
-rect 122646 123928 122706 124928
-rect 122906 123928 122978 124928
-rect 123208 123928 123264 124928
-rect 123280 123928 123336 124928
-rect 123638 123928 123698 124928
-rect 123898 123928 123970 124928
-rect 124200 123928 124256 124928
-rect 124272 123928 124328 124928
-rect 124630 123928 124690 124928
-rect 124890 123928 124962 124928
-rect 125192 123928 125248 124928
-rect 125264 123928 125320 124928
-rect 125622 123928 125682 124928
-rect 125882 123928 125954 124928
-rect 126184 123928 126240 124928
-rect 126256 123928 126312 124928
-rect 126614 123928 126674 124928
-rect 126874 123928 126946 124928
-rect 127176 123928 127232 124928
-rect 127248 123928 127304 124928
-rect 127606 123928 127666 124928
-rect 127866 123928 127938 124928
-rect 128168 123928 128224 124928
-rect 128240 123928 128296 124928
-rect 128598 123928 128658 124928
-rect 128858 123928 128930 124928
-rect 129160 123928 129216 124928
-rect 129232 123928 129288 124928
-rect 129590 123928 129650 124928
-rect 129850 123928 129922 124928
-rect 130152 123928 130208 124928
-rect 130224 123928 130280 124928
-rect 130582 123928 130642 124928
-rect 130842 123928 130914 124928
-rect 131144 123928 131200 124928
-rect 131216 123928 131272 124928
-rect 131574 123928 131634 124928
-rect 131834 123928 131906 124928
-rect 132136 123928 132192 124928
-rect 132208 123928 132264 124928
-rect 132566 123928 132626 124928
-rect 132826 123928 132898 124928
-rect 133128 123928 133184 124928
-rect 133200 123928 133256 124928
-rect 133481 123928 133517 124928
-rect 133558 123928 133594 124928
-rect 133818 123928 133890 124928
-rect 134043 123928 134079 124928
-rect 134120 123928 134176 124928
-rect 134192 123928 134248 124928
-rect 134289 123928 134325 124928
-rect 134536 123928 134596 124928
-rect 134632 123928 134736 124928
-rect 140710 124063 140788 125063
-rect 140910 124063 140982 125063
-rect 161216 124211 161280 124247
-rect 121219 123892 121429 123928
-rect 133481 123892 133594 123928
-rect 134043 123892 134325 123928
-rect 41291 122532 41292 122556
-rect 41372 122532 41373 122556
-rect 42127 122532 42128 122556
-rect 42208 122532 42209 122556
-rect 42963 122532 42964 122556
-rect 43044 122532 43045 122556
-rect 43799 122532 43800 122556
-rect 43880 122532 43881 122556
-rect 44635 122532 44636 122556
-rect 44716 122532 44717 122556
-rect 45471 122532 45472 122556
-rect 45552 122532 45553 122556
-rect 46307 122532 46308 122556
-rect 46388 122532 46389 122556
-rect 47143 122532 47144 122556
-rect 47224 122532 47225 122556
-rect 47979 122532 47980 122556
-rect 48060 122532 48061 122556
-rect 48815 122532 48816 122556
-rect 48896 122532 48897 122556
-rect 49651 122532 49652 122556
-rect 49732 122532 49733 122556
-rect 50487 122532 50488 122556
-rect 50568 122532 50569 122556
-rect 51323 122532 51324 122556
-rect 51404 122532 51405 122556
-rect 41315 122508 41349 122520
-rect 42151 122508 42185 122520
-rect 42987 122508 43021 122520
-rect 43823 122508 43857 122520
-rect 44659 122508 44693 122520
-rect 45495 122508 45529 122520
-rect 46331 122508 46365 122520
-rect 47167 122508 47201 122520
-rect 48003 122508 48037 122520
-rect 48839 122508 48873 122520
-rect 49675 122508 49709 122520
-rect 50511 122508 50545 122520
-rect 51347 122508 51381 122520
-rect 41291 120532 41292 120556
-rect 41372 120532 41373 120556
-rect 42127 120532 42128 120556
-rect 42208 120532 42209 120556
-rect 42963 120532 42964 120556
-rect 43044 120532 43045 120556
-rect 43799 120532 43800 120556
-rect 43880 120532 43881 120556
-rect 44635 120532 44636 120556
-rect 44716 120532 44717 120556
-rect 45471 120532 45472 120556
-rect 45552 120532 45553 120556
-rect 46307 120532 46308 120556
-rect 46388 120532 46389 120556
-rect 47143 120532 47144 120556
-rect 47224 120532 47225 120556
-rect 47979 120532 47980 120556
-rect 48060 120532 48061 120556
-rect 48815 120532 48816 120556
-rect 48896 120532 48897 120556
-rect 49651 120532 49652 120556
-rect 49732 120532 49733 120556
-rect 50487 120532 50488 120556
-rect 50568 120532 50569 120556
-rect 51323 120532 51324 120556
-rect 51404 120532 51405 120556
-rect 41315 120508 41349 120520
-rect 42151 120508 42185 120520
-rect 42987 120508 43021 120520
-rect 43823 120508 43857 120520
-rect 44659 120508 44693 120520
-rect 45495 120508 45529 120520
-rect 46331 120508 46365 120520
-rect 47167 120508 47201 120520
-rect 48003 120508 48037 120520
-rect 48839 120508 48873 120520
-rect 49675 120508 49709 120520
-rect 50511 120508 50545 120520
-rect 51347 120508 51381 120520
-rect 3989 119308 3990 119332
-rect 4070 119308 4071 119332
-rect 4825 119308 4826 119332
-rect 4906 119308 4907 119332
-rect 5661 119308 5662 119332
-rect 5742 119308 5743 119332
-rect 6497 119308 6498 119332
-rect 6578 119308 6579 119332
-rect 7333 119308 7334 119332
-rect 7414 119308 7415 119332
-rect 8169 119308 8170 119332
-rect 8250 119308 8251 119332
-rect 9005 119308 9006 119332
-rect 9086 119308 9087 119332
-rect 9841 119308 9842 119332
-rect 9922 119308 9923 119332
-rect 10677 119308 10678 119332
-rect 10758 119308 10759 119332
-rect 11513 119308 11514 119332
-rect 11594 119308 11595 119332
-rect 12349 119308 12350 119332
-rect 12430 119308 12431 119332
-rect 4013 119284 4047 119296
-rect 4849 119284 4883 119296
-rect 5685 119284 5719 119296
-rect 6521 119284 6555 119296
-rect 7357 119284 7391 119296
-rect 8193 119284 8227 119296
-rect 9029 119284 9063 119296
-rect 9865 119284 9899 119296
-rect 10701 119284 10735 119296
-rect 11537 119284 11571 119296
-rect 12373 119284 12407 119296
-rect 3989 117687 3990 117711
-rect 4070 117687 4071 117711
-rect 4825 117687 4826 117711
-rect 4906 117687 4907 117711
-rect 5661 117687 5662 117711
-rect 5742 117687 5743 117711
-rect 6497 117687 6498 117711
-rect 6578 117687 6579 117711
-rect 7333 117687 7334 117711
-rect 7414 117687 7415 117711
-rect 8169 117687 8170 117711
-rect 8250 117687 8251 117711
-rect 9005 117687 9006 117711
-rect 9086 117687 9087 117711
-rect 9841 117687 9842 117711
-rect 9922 117687 9923 117711
-rect 10677 117687 10678 117711
-rect 10758 117687 10759 117711
-rect 11513 117687 11514 117711
-rect 11594 117687 11595 117711
-rect 12349 117687 12350 117711
-rect 12430 117687 12431 117711
-rect 4013 117663 4047 117675
-rect 4849 117663 4883 117675
-rect 5685 117663 5719 117675
-rect 6521 117663 6555 117675
-rect 7357 117663 7391 117675
-rect 8193 117663 8227 117675
-rect 9029 117663 9063 117675
-rect 9865 117663 9899 117675
-rect 10701 117663 10735 117675
-rect 11537 117663 11571 117675
-rect 12373 117663 12407 117675
-rect 24624 115300 24696 119300
-rect 24895 115333 25013 119267
-rect 25284 115300 25344 119300
-rect 25544 115300 25616 119300
-rect 25815 115333 25933 119267
-rect 26204 115300 26264 119300
-rect 26464 115300 26536 119300
-rect 26735 115333 26853 119267
-rect 27124 115300 27184 119300
-rect 27384 115300 27456 119300
-rect 27655 115333 27773 119267
-rect 28044 115300 28104 119300
-rect 28304 115300 28376 119300
-rect 28575 115333 28693 119267
-rect 28964 115300 29024 119300
-rect 29224 115300 29296 119300
-rect 29495 115333 29613 119267
-rect 29884 115300 29944 119300
-rect 30144 115300 30216 119300
-rect 30415 115333 30533 119267
-rect 30804 115300 30864 119300
-rect 31064 115300 31136 119300
-rect 31335 115333 31453 119267
-rect 31724 115300 31784 119300
-rect 31984 115300 32056 119300
-rect 32255 115333 32373 119267
-rect 32644 115300 32704 119300
-rect 59624 118764 59696 122764
-rect 59895 118797 60013 122731
-rect 60284 118764 60344 122764
-rect 60544 118764 60616 122764
-rect 60815 118797 60933 122731
-rect 61204 118764 61264 122764
-rect 61464 118764 61536 122764
-rect 61735 118797 61853 122731
-rect 62124 118764 62184 122764
-rect 62384 118764 62456 122764
-rect 62655 118797 62773 122731
-rect 63044 118764 63104 122764
-rect 63304 118764 63376 122764
-rect 63575 118797 63693 122731
-rect 63964 118764 64024 122764
-rect 64224 118764 64296 122764
-rect 64495 118797 64613 122731
-rect 64884 118764 64944 122764
-rect 65144 118764 65216 122764
-rect 65415 118797 65533 122731
-rect 65804 118764 65864 122764
-rect 66064 118764 66136 122764
-rect 66335 118797 66453 122731
-rect 66724 118764 66784 122764
-rect 66984 118764 67056 122764
-rect 67255 118797 67373 122731
-rect 67644 118764 67704 122764
-rect 67904 118764 67976 122764
-rect 68175 118797 68293 122731
-rect 68564 118764 68624 122764
-rect 68824 118764 68896 122764
-rect 69095 118797 69213 122731
-rect 69484 118764 69544 122764
-rect 80176 119734 80248 123734
-rect 80447 119767 80565 123701
-rect 80836 119734 80896 123734
-rect 81096 119734 81168 123734
-rect 81367 119767 81485 123701
-rect 81756 119734 81816 123734
-rect 82016 119734 82088 123734
-rect 82287 119767 82405 123701
-rect 82676 119734 82736 123734
-rect 82936 119734 83008 123734
-rect 83207 119767 83325 123701
-rect 83596 119734 83656 123734
-rect 83856 119734 83928 123734
-rect 84127 119767 84245 123701
-rect 84516 119734 84576 123734
-rect 84776 119734 84848 123734
-rect 85047 119767 85165 123701
-rect 85436 119734 85496 123734
-rect 85696 119734 85768 123734
-rect 85967 119767 86085 123701
-rect 86356 119734 86416 123734
-rect 86616 119734 86688 123734
-rect 86887 119767 87005 123701
-rect 87276 119734 87336 123734
-rect 87536 119734 87608 123734
-rect 87807 119767 87925 123701
-rect 88196 119734 88256 123734
-rect 88456 119734 88528 123734
-rect 88727 119767 88845 123701
-rect 89116 119734 89176 123734
-rect 89376 119734 89448 123734
-rect 89647 119767 89765 123701
-rect 90036 119734 90096 123734
-rect 100233 123696 100234 123720
-rect 100314 123696 100315 123720
-rect 101069 123696 101070 123720
-rect 101150 123696 101151 123720
-rect 101905 123696 101906 123720
-rect 101986 123696 101987 123720
-rect 102741 123696 102742 123720
-rect 102822 123696 102823 123720
-rect 103577 123696 103578 123720
-rect 103658 123696 103659 123720
-rect 104413 123696 104414 123720
-rect 104494 123696 104495 123720
-rect 105249 123696 105250 123720
-rect 105330 123696 105331 123720
-rect 106085 123696 106086 123720
-rect 106166 123696 106167 123720
-rect 106921 123696 106922 123720
-rect 107002 123696 107003 123720
-rect 107757 123696 107758 123720
-rect 107838 123696 107839 123720
-rect 108593 123696 108594 123720
-rect 108674 123696 108675 123720
-rect 109429 123696 109430 123720
-rect 109510 123696 109511 123720
-rect 110265 123696 110266 123720
-rect 110346 123696 110347 123720
-rect 100257 123672 100291 123684
-rect 101093 123672 101127 123684
-rect 101929 123672 101963 123684
-rect 102765 123672 102799 123684
-rect 103601 123672 103635 123684
-rect 104437 123672 104471 123684
-rect 105273 123672 105307 123684
-rect 106109 123672 106143 123684
-rect 106945 123672 106979 123684
-rect 107781 123672 107815 123684
-rect 108617 123672 108651 123684
-rect 109453 123672 109487 123684
-rect 110289 123672 110323 123684
-rect 121219 123327 121429 123363
-rect 133481 123327 133594 123363
-rect 134043 123327 134325 123363
-rect 120808 122327 120912 123327
-rect 121008 122327 121080 123327
-rect 121254 122327 121255 123327
-rect 121296 122327 121352 123327
-rect 121393 122327 121394 123327
-rect 121654 122327 121714 123327
-rect 121914 122327 121986 123327
-rect 122216 122327 122272 123327
-rect 122288 122327 122344 123327
-rect 122646 122327 122706 123327
-rect 122906 122327 122978 123327
-rect 123208 122327 123264 123327
-rect 123280 122327 123336 123327
-rect 123638 122327 123698 123327
-rect 123898 122327 123970 123327
-rect 124200 122327 124256 123327
-rect 124272 122327 124328 123327
-rect 124630 122327 124690 123327
-rect 124890 122327 124962 123327
-rect 125192 122327 125248 123327
-rect 125264 122327 125320 123327
-rect 125622 122327 125682 123327
-rect 125882 122327 125954 123327
-rect 126184 122327 126240 123327
-rect 126256 122327 126312 123327
-rect 126614 122327 126674 123327
-rect 126874 122327 126946 123327
-rect 127176 122327 127232 123327
-rect 127248 122327 127304 123327
-rect 127606 122327 127666 123327
-rect 127866 122327 127938 123327
-rect 128168 122327 128224 123327
-rect 128240 122327 128296 123327
-rect 128598 122327 128658 123327
-rect 128858 122327 128930 123327
-rect 129160 122327 129216 123327
-rect 129232 122327 129288 123327
-rect 129590 122327 129650 123327
-rect 129850 122327 129922 123327
-rect 130152 122327 130208 123327
-rect 130224 122327 130280 123327
-rect 130582 122327 130642 123327
-rect 130842 122327 130914 123327
-rect 131144 122327 131200 123327
-rect 131216 122327 131272 123327
-rect 131574 122327 131634 123327
-rect 131834 122327 131906 123327
-rect 132136 122327 132192 123327
-rect 132208 122327 132264 123327
-rect 132566 122327 132626 123327
-rect 132826 122327 132898 123327
-rect 133128 122327 133184 123327
-rect 133200 122327 133256 123327
-rect 133481 122327 133517 123327
-rect 133558 122327 133594 123327
-rect 133818 122327 133890 123327
-rect 134043 122327 134079 123327
-rect 134120 122327 134176 123327
-rect 134192 122327 134248 123327
-rect 134289 122327 134325 123327
-rect 134536 122327 134596 123327
-rect 134632 122327 134736 123327
-rect 140710 122462 140814 123462
-rect 140910 122462 140982 123462
-rect 121219 122291 121429 122327
-rect 133481 122291 133594 122327
-rect 134043 122291 134325 122327
-rect 100233 121696 100234 121720
-rect 100314 121696 100315 121720
-rect 101069 121696 101070 121720
-rect 101150 121696 101151 121720
-rect 101905 121696 101906 121720
-rect 101986 121696 101987 121720
-rect 102741 121696 102742 121720
-rect 102822 121696 102823 121720
-rect 103577 121696 103578 121720
-rect 103658 121696 103659 121720
-rect 104413 121696 104414 121720
-rect 104494 121696 104495 121720
-rect 105249 121696 105250 121720
-rect 105330 121696 105331 121720
-rect 106085 121696 106086 121720
-rect 106166 121696 106167 121720
-rect 106921 121696 106922 121720
-rect 107002 121696 107003 121720
-rect 107757 121696 107758 121720
-rect 107838 121696 107839 121720
-rect 108593 121696 108594 121720
-rect 108674 121696 108675 121720
-rect 109429 121696 109430 121720
-rect 109510 121696 109511 121720
-rect 110265 121696 110266 121720
-rect 110346 121696 110347 121720
-rect 100257 121672 100291 121684
-rect 101093 121672 101127 121684
-rect 101929 121672 101963 121684
-rect 102765 121672 102799 121684
-rect 103601 121672 103635 121684
-rect 104437 121672 104471 121684
-rect 105273 121672 105307 121684
-rect 106109 121672 106143 121684
-rect 106945 121672 106979 121684
-rect 107781 121672 107815 121684
-rect 108617 121672 108651 121684
-rect 109453 121672 109487 121684
-rect 110289 121672 110323 121684
-rect 140661 119964 140677 120030
-rect 120631 119824 120647 119890
-rect 122655 119824 122671 119890
-rect 128822 119758 128838 119774
-rect 101905 119696 101906 119720
-rect 101986 119696 101987 119720
-rect 102741 119696 102742 119720
-rect 102822 119696 102823 119720
-rect 103577 119696 103578 119720
-rect 103658 119696 103659 119720
-rect 104413 119696 104414 119720
-rect 104494 119696 104495 119720
-rect 105249 119696 105250 119720
-rect 105330 119696 105331 119720
-rect 106085 119696 106086 119720
-rect 106166 119696 106167 119720
-rect 106921 119696 106922 119720
-rect 107002 119696 107003 119720
-rect 107757 119696 107758 119720
-rect 107838 119696 107839 119720
-rect 108593 119696 108594 119720
-rect 108674 119696 108675 119720
-rect 109429 119696 109430 119720
-rect 109510 119696 109511 119720
-rect 110265 119696 110266 119720
-rect 110346 119696 110347 119720
-rect 101929 119672 101963 119684
-rect 102765 119672 102799 119684
-rect 103601 119672 103635 119684
-rect 104437 119672 104471 119684
-rect 105273 119672 105307 119684
-rect 106109 119672 106143 119684
-rect 106945 119672 106979 119684
-rect 107781 119672 107815 119684
-rect 108617 119672 108651 119684
-rect 109453 119672 109487 119684
-rect 110289 119672 110323 119684
-rect 128720 119452 128838 119758
-rect 128822 119436 128838 119452
-rect 131010 119758 131026 119774
-rect 131010 119452 131128 119758
-rect 131010 119436 131026 119452
-rect 42963 118532 42964 118556
-rect 43044 118532 43045 118556
-rect 43799 118532 43800 118556
-rect 43880 118532 43881 118556
-rect 44635 118532 44636 118556
-rect 44716 118532 44717 118556
-rect 45471 118532 45472 118556
-rect 45552 118532 45553 118556
-rect 46307 118532 46308 118556
-rect 46388 118532 46389 118556
-rect 47143 118532 47144 118556
-rect 47224 118532 47225 118556
-rect 47979 118532 47980 118556
-rect 48060 118532 48061 118556
-rect 48815 118532 48816 118556
-rect 48896 118532 48897 118556
-rect 49651 118532 49652 118556
-rect 49732 118532 49733 118556
-rect 50487 118532 50488 118556
-rect 50568 118532 50569 118556
-rect 51323 118532 51324 118556
-rect 51404 118532 51405 118556
-rect 42987 118508 43021 118520
-rect 43823 118508 43857 118520
-rect 44659 118508 44693 118520
-rect 45495 118508 45529 118520
-rect 46331 118508 46365 118520
-rect 47167 118508 47201 118520
-rect 48003 118508 48037 118520
-rect 48839 118508 48873 118520
-rect 49675 118508 49709 118520
-rect 50511 118508 50545 118520
-rect 51347 118508 51381 118520
-rect 42963 116911 42964 116935
-rect 43044 116911 43045 116935
-rect 43799 116911 43800 116935
-rect 43880 116911 43881 116935
-rect 44635 116911 44636 116935
-rect 44716 116911 44717 116935
-rect 45471 116911 45472 116935
-rect 45552 116911 45553 116935
-rect 46307 116911 46308 116935
-rect 46388 116911 46389 116935
-rect 47143 116911 47144 116935
-rect 47224 116911 47225 116935
-rect 47979 116911 47980 116935
-rect 48060 116911 48061 116935
-rect 48815 116911 48816 116935
-rect 48896 116911 48897 116935
-rect 49651 116911 49652 116935
-rect 49732 116911 49733 116935
-rect 50487 116911 50488 116935
-rect 50568 116911 50569 116935
-rect 51323 116911 51324 116935
-rect 51404 116911 51405 116935
-rect 42987 116887 43021 116899
-rect 43823 116887 43857 116899
-rect 44659 116887 44693 116899
-rect 45495 116887 45529 116899
-rect 46331 116887 46365 116899
-rect 47167 116887 47201 116899
-rect 48003 116887 48037 116899
-rect 48839 116887 48873 116899
-rect 49675 116887 49709 116899
-rect 50511 116887 50545 116899
-rect 51347 116887 51381 116899
-rect 24624 110700 24696 114700
-rect 24895 110733 25013 114667
-rect 25284 110700 25344 114700
-rect 25544 110700 25616 114700
-rect 25815 110733 25933 114667
-rect 26204 110700 26264 114700
-rect 26464 110700 26536 114700
-rect 26735 110733 26853 114667
-rect 27124 110700 27184 114700
-rect 27384 110700 27456 114700
-rect 27655 110733 27773 114667
-rect 28044 110700 28104 114700
-rect 28304 110700 28376 114700
-rect 28575 110733 28693 114667
-rect 28964 110700 29024 114700
-rect 29224 110700 29296 114700
-rect 29495 110733 29613 114667
-rect 29884 110700 29944 114700
-rect 30144 110700 30216 114700
-rect 30415 110733 30533 114667
-rect 30804 110700 30864 114700
-rect 31064 110700 31136 114700
-rect 31335 110733 31453 114667
-rect 31724 110700 31784 114700
-rect 31984 110700 32056 114700
-rect 32255 110733 32373 114667
-rect 32644 110700 32704 114700
-rect 61464 114164 61536 118164
-rect 61735 114197 61853 118131
-rect 62124 114164 62184 118164
-rect 62384 114164 62456 118164
-rect 62655 114197 62773 118131
-rect 63044 114164 63104 118164
-rect 63304 114164 63376 118164
-rect 63575 114197 63693 118131
-rect 63964 114164 64024 118164
-rect 64224 114164 64296 118164
-rect 64495 114197 64613 118131
-rect 64884 114164 64944 118164
-rect 65144 114164 65216 118164
-rect 65415 114197 65533 118131
-rect 65804 114164 65864 118164
-rect 66064 114164 66136 118164
-rect 66335 114197 66453 118131
-rect 66724 114164 66784 118164
-rect 66984 114164 67056 118164
-rect 67255 114197 67373 118131
-rect 67644 114164 67704 118164
-rect 67904 114164 67976 118164
-rect 68175 114197 68293 118131
-rect 68564 114164 68624 118164
-rect 68824 114164 68896 118164
-rect 69095 114197 69213 118131
-rect 69484 114164 69544 118164
-rect 82016 115134 82088 119134
-rect 82287 115167 82405 119101
-rect 82676 115134 82736 119134
-rect 82936 115134 83008 119134
-rect 83207 115167 83325 119101
-rect 83596 115134 83656 119134
-rect 83856 115134 83928 119134
-rect 84127 115167 84245 119101
-rect 84516 115134 84576 119134
-rect 84776 115134 84848 119134
-rect 85047 115167 85165 119101
-rect 85436 115134 85496 119134
-rect 85696 115134 85768 119134
-rect 85967 115167 86085 119101
-rect 86356 115134 86416 119134
-rect 86616 115134 86688 119134
-rect 86887 115167 87005 119101
-rect 87276 115134 87336 119134
-rect 87536 115134 87608 119134
-rect 87807 115167 87925 119101
-rect 88196 115134 88256 119134
-rect 88456 115134 88528 119134
-rect 88727 115167 88845 119101
-rect 89116 115134 89176 119134
-rect 89376 115134 89448 119134
-rect 89647 115167 89765 119101
-rect 90036 115134 90096 119134
-rect 101905 118075 101906 118099
-rect 101986 118075 101987 118099
-rect 102741 118075 102742 118099
-rect 102822 118075 102823 118099
-rect 103577 118075 103578 118099
-rect 103658 118075 103659 118099
-rect 104413 118075 104414 118099
-rect 104494 118075 104495 118099
-rect 105249 118075 105250 118099
-rect 105330 118075 105331 118099
-rect 106085 118075 106086 118099
-rect 106166 118075 106167 118099
-rect 106921 118075 106922 118099
-rect 107002 118075 107003 118099
-rect 107757 118075 107758 118099
-rect 107838 118075 107839 118099
-rect 108593 118075 108594 118099
-rect 108674 118075 108675 118099
-rect 109429 118075 109430 118099
-rect 109510 118075 109511 118099
-rect 110265 118075 110266 118099
-rect 110346 118075 110347 118099
-rect 101929 118051 101963 118063
-rect 102765 118051 102799 118063
-rect 103601 118051 103635 118063
-rect 104437 118051 104471 118063
-rect 105273 118051 105307 118063
-rect 106109 118051 106143 118063
-rect 106945 118051 106979 118063
-rect 107781 118051 107815 118063
-rect 108617 118051 108651 118063
-rect 109453 118051 109487 118063
-rect 110289 118051 110323 118063
-rect 120254 117573 120278 118362
-rect 24624 106100 24696 110100
-rect 24895 106133 25013 110067
-rect 25284 106100 25344 110100
-rect 25544 106100 25616 110100
-rect 25815 106133 25933 110067
-rect 26204 106100 26264 110100
-rect 26464 106100 26536 110100
-rect 26735 106133 26853 110067
-rect 27124 106100 27184 110100
-rect 27384 106100 27456 110100
-rect 27655 106133 27773 110067
-rect 28044 106100 28104 110100
-rect 28304 106100 28376 110100
-rect 28575 106133 28693 110067
-rect 28964 106100 29024 110100
-rect 29224 106100 29296 110100
-rect 29495 106133 29613 110067
-rect 29884 106100 29944 110100
-rect 30144 106100 30216 110100
-rect 30415 106133 30533 110067
-rect 30804 106100 30864 110100
-rect 31064 106100 31136 110100
-rect 31335 106133 31453 110067
-rect 31724 106100 31784 110100
-rect 31984 106100 32056 110100
-rect 32255 106133 32373 110067
-rect 32644 106100 32704 110100
-rect 61464 109564 61536 113564
-rect 61735 109597 61853 113531
-rect 62124 109564 62184 113564
-rect 62384 109564 62456 113564
-rect 62655 109597 62773 113531
-rect 63044 109564 63104 113564
-rect 63304 109564 63376 113564
-rect 63575 109597 63693 113531
-rect 63964 109564 64024 113564
-rect 64224 109564 64296 113564
-rect 64495 109597 64613 113531
-rect 64884 109564 64944 113564
-rect 65144 109564 65216 113564
-rect 65415 109597 65533 113531
-rect 65804 109564 65864 113564
-rect 66064 109564 66136 113564
-rect 66335 109597 66453 113531
-rect 66724 109564 66784 113564
-rect 66984 109564 67056 113564
-rect 67255 109597 67373 113531
-rect 67644 109564 67704 113564
-rect 67904 109564 67976 113564
-rect 68175 109597 68293 113531
-rect 68564 109564 68624 113564
-rect 68824 109564 68896 113564
-rect 69095 109597 69213 113531
-rect 69484 109564 69544 113564
-rect 82016 110534 82088 114534
-rect 82287 110567 82405 114501
-rect 82676 110534 82736 114534
-rect 82936 110534 83008 114534
-rect 83207 110567 83325 114501
-rect 83596 110534 83656 114534
-rect 83856 110534 83928 114534
-rect 84127 110567 84245 114501
-rect 84516 110534 84576 114534
-rect 84776 110534 84848 114534
-rect 85047 110567 85165 114501
-rect 85436 110534 85496 114534
-rect 85696 110534 85768 114534
-rect 85967 110567 86085 114501
-rect 86356 110534 86416 114534
-rect 86616 110534 86688 114534
-rect 86887 110567 87005 114501
-rect 87276 110534 87336 114534
-rect 87536 110534 87608 114534
-rect 87807 110567 87925 114501
-rect 88196 110534 88256 114534
-rect 88456 110534 88528 114534
-rect 88727 110567 88845 114501
-rect 89116 110534 89176 114534
-rect 89376 110534 89448 114534
-rect 89647 110567 89765 114501
-rect 90036 110534 90096 114534
-rect 120123 112827 120130 117570
-rect 121583 116639 121695 116665
-rect 121783 116639 121869 116665
-rect 122575 116639 122687 116665
-rect 122775 116639 122861 116665
-rect 123567 116639 123679 116665
-rect 123767 116639 123853 116665
-rect 124559 116639 124671 116665
-rect 124759 116639 124845 116665
-rect 125551 116639 125663 116665
-rect 125751 116639 125837 116665
-rect 126543 116639 126655 116665
-rect 126743 116639 126829 116665
-rect 127535 116639 127647 116665
-rect 127735 116639 127821 116665
-rect 128527 116639 128639 116665
-rect 128727 116639 128813 116665
-rect 129519 116639 129631 116665
-rect 129719 116639 129805 116665
-rect 130511 116639 130623 116665
-rect 130711 116639 130797 116665
-rect 131503 116639 131615 116665
-rect 131703 116639 131789 116665
-rect 132495 116639 132607 116665
-rect 132695 116639 132781 116665
-rect 133487 116639 133599 116665
-rect 133687 116639 133773 116665
-rect 120918 115639 120968 116639
-rect 121179 115639 121235 116639
-rect 121251 115639 121307 116639
-rect 121609 115675 121695 116639
-rect 121809 115717 121810 116639
-rect 121869 115717 122021 116639
-rect 121809 115675 122021 115717
-rect 121596 115651 121695 115675
-rect 121800 115651 122021 115675
-rect 121609 115639 121695 115651
-rect 121809 115639 122021 115651
-rect 122171 115639 122227 116639
-rect 122243 115639 122299 116639
-rect 122601 115675 122687 116639
-rect 122801 115717 122802 116639
-rect 122861 115717 123013 116639
-rect 122801 115675 123013 115717
-rect 122588 115651 122687 115675
-rect 122792 115651 123013 115675
-rect 122601 115639 122687 115651
-rect 122801 115639 123013 115651
-rect 123163 115639 123219 116639
-rect 123235 115639 123291 116639
-rect 123593 115675 123679 116639
-rect 123793 115717 123794 116639
-rect 123853 115717 124005 116639
-rect 123793 115675 124005 115717
-rect 123580 115651 123679 115675
-rect 123784 115651 124005 115675
-rect 123593 115639 123679 115651
-rect 123793 115639 124005 115651
-rect 124155 115639 124211 116639
-rect 124227 115639 124283 116639
-rect 124585 115675 124671 116639
-rect 124785 115717 124786 116639
-rect 124845 115717 124997 116639
-rect 124785 115675 124997 115717
-rect 124572 115651 124671 115675
-rect 124776 115651 124997 115675
-rect 124585 115639 124671 115651
-rect 124785 115639 124997 115651
-rect 125147 115639 125203 116639
-rect 125219 115639 125275 116639
-rect 125577 115675 125663 116639
-rect 125777 115717 125778 116639
-rect 125837 115717 125989 116639
-rect 125777 115675 125989 115717
-rect 125564 115651 125663 115675
-rect 125768 115651 125989 115675
-rect 125577 115639 125663 115651
-rect 125777 115639 125989 115651
-rect 126139 115639 126195 116639
-rect 126211 115639 126267 116639
-rect 126569 115675 126655 116639
-rect 126769 115717 126770 116639
-rect 126829 115717 126981 116639
-rect 126769 115675 126981 115717
-rect 126556 115651 126655 115675
-rect 126760 115651 126981 115675
-rect 126569 115639 126655 115651
-rect 126769 115639 126981 115651
-rect 127131 115639 127187 116639
-rect 127203 115639 127259 116639
-rect 127561 115675 127647 116639
-rect 127761 115717 127762 116639
-rect 127821 115717 127973 116639
-rect 127761 115675 127973 115717
-rect 127548 115651 127647 115675
-rect 127752 115651 127973 115675
-rect 127561 115639 127647 115651
-rect 127761 115639 127973 115651
-rect 128123 115639 128179 116639
-rect 128195 115639 128251 116639
-rect 128553 115675 128639 116639
-rect 128753 115717 128754 116639
-rect 128813 115717 128965 116639
-rect 128753 115675 128965 115717
-rect 128540 115651 128639 115675
-rect 128744 115651 128965 115675
-rect 128553 115639 128639 115651
-rect 128753 115639 128965 115651
-rect 129115 115639 129171 116639
-rect 129187 115639 129243 116639
-rect 129545 115675 129631 116639
-rect 129745 115717 129746 116639
-rect 129805 115717 129957 116639
-rect 129745 115675 129957 115717
-rect 129532 115651 129631 115675
-rect 129736 115651 129957 115675
-rect 129545 115639 129631 115651
-rect 129745 115639 129957 115651
-rect 130107 115639 130163 116639
-rect 130179 115639 130235 116639
-rect 130537 115675 130623 116639
-rect 130737 115717 130738 116639
-rect 130797 115717 130949 116639
-rect 130737 115675 130949 115717
-rect 130524 115651 130623 115675
-rect 130728 115651 130949 115675
-rect 130537 115639 130623 115651
-rect 130737 115639 130949 115651
-rect 131099 115639 131155 116639
-rect 131171 115639 131227 116639
-rect 131529 115675 131615 116639
-rect 131729 115717 131730 116639
-rect 131789 115717 131941 116639
-rect 131729 115675 131941 115717
-rect 131516 115651 131615 115675
-rect 131720 115651 131941 115675
-rect 131529 115639 131615 115651
-rect 131729 115639 131941 115651
-rect 132091 115639 132147 116639
-rect 132163 115639 132219 116639
-rect 132521 115675 132607 116639
-rect 132721 115717 132722 116639
-rect 132781 115717 132933 116639
-rect 132721 115675 132933 115717
-rect 132508 115651 132607 115675
-rect 132712 115651 132933 115675
-rect 132521 115639 132607 115651
-rect 132721 115639 132933 115651
-rect 133083 115639 133139 116639
-rect 133155 115639 133211 116639
-rect 133513 115675 133599 116639
-rect 133713 115717 133714 116639
-rect 133773 115717 133925 116639
-rect 133713 115675 133925 115717
-rect 133500 115651 133599 115675
-rect 133704 115651 133925 115675
-rect 133513 115639 133599 115651
-rect 133713 115639 133925 115651
-rect 134034 115639 134106 116639
-rect 134172 115639 134189 116639
-rect 134359 115639 134392 116639
-rect 140248 116622 140282 116646
-rect 134515 116447 134583 116473
-rect 134515 116413 134549 116439
-rect 121620 115627 121654 115639
-rect 121824 115627 121858 115639
-rect 122612 115627 122646 115639
-rect 122816 115627 122850 115639
-rect 123604 115627 123638 115639
-rect 123808 115627 123842 115639
-rect 124596 115627 124630 115639
-rect 124800 115627 124834 115639
-rect 125588 115627 125622 115639
-rect 125792 115627 125826 115639
-rect 126580 115627 126614 115639
-rect 126784 115627 126818 115639
-rect 127572 115627 127606 115639
-rect 127776 115627 127810 115639
-rect 128564 115627 128598 115639
-rect 128768 115627 128802 115639
-rect 129556 115627 129590 115639
-rect 129760 115627 129794 115639
-rect 130548 115627 130582 115639
-rect 130752 115627 130786 115639
-rect 131540 115627 131574 115639
-rect 131744 115627 131778 115639
-rect 132532 115627 132566 115639
-rect 132736 115627 132770 115639
-rect 133524 115627 133558 115639
-rect 133728 115627 133762 115639
-rect 121628 115113 121850 115191
-rect 122620 115113 122842 115191
-rect 123612 115113 123834 115191
-rect 124604 115113 124826 115191
-rect 125596 115113 125818 115191
-rect 126588 115113 126810 115191
-rect 127580 115113 127802 115191
-rect 128572 115113 128794 115191
-rect 129564 115113 129786 115191
-rect 130556 115113 130778 115191
-rect 131548 115113 131770 115191
-rect 132540 115113 132762 115191
-rect 133532 115113 133754 115191
-rect 121583 115039 121695 115065
-rect 121783 115039 121869 115065
-rect 122575 115039 122687 115065
-rect 122775 115039 122861 115065
-rect 123567 115039 123679 115065
-rect 123767 115039 123853 115065
-rect 124559 115039 124671 115065
-rect 124759 115039 124845 115065
-rect 125551 115039 125663 115065
-rect 125751 115039 125837 115065
-rect 126543 115039 126655 115065
-rect 126743 115039 126829 115065
-rect 127535 115039 127647 115065
-rect 127735 115039 127821 115065
-rect 128527 115039 128639 115065
-rect 128727 115039 128813 115065
-rect 129519 115039 129631 115065
-rect 129719 115039 129805 115065
-rect 130511 115039 130623 115065
-rect 130711 115039 130797 115065
-rect 131503 115039 131615 115065
-rect 131703 115039 131789 115065
-rect 132495 115039 132607 115065
-rect 132695 115039 132781 115065
-rect 133487 115039 133599 115065
-rect 133687 115039 133773 115065
-rect 120918 114039 120968 115039
-rect 121179 114039 121235 115039
-rect 121251 114039 121307 115039
-rect 121609 115027 121695 115039
-rect 121809 115027 121810 115039
-rect 121596 115003 121695 115027
-rect 121800 115003 121810 115027
-rect 121609 114039 121695 115003
-rect 121809 114040 121810 115003
-rect 121869 114040 122021 115039
-rect 121809 114039 122021 114040
-rect 122171 114039 122227 115039
-rect 122243 114039 122299 115039
-rect 122601 115027 122687 115039
-rect 122801 115027 122802 115039
-rect 122588 115003 122687 115027
-rect 122792 115003 122802 115027
-rect 122601 114039 122687 115003
-rect 122801 114040 122802 115003
-rect 122861 114040 123013 115039
-rect 122801 114039 123013 114040
-rect 123163 114039 123219 115039
-rect 123235 114039 123291 115039
-rect 123593 115027 123679 115039
-rect 123793 115027 123794 115039
-rect 123580 115003 123679 115027
-rect 123784 115003 123794 115027
-rect 123593 114039 123679 115003
-rect 123793 114040 123794 115003
-rect 123853 114040 124005 115039
-rect 123793 114039 124005 114040
-rect 124155 114039 124211 115039
-rect 124227 114039 124283 115039
-rect 124585 115027 124671 115039
-rect 124785 115027 124786 115039
-rect 124572 115003 124671 115027
-rect 124776 115003 124786 115027
-rect 124585 114039 124671 115003
-rect 124785 114040 124786 115003
-rect 124845 114040 124997 115039
-rect 124785 114039 124997 114040
-rect 125147 114039 125203 115039
-rect 125219 114039 125275 115039
-rect 125577 115027 125663 115039
-rect 125777 115027 125778 115039
-rect 125564 115003 125663 115027
-rect 125768 115003 125778 115027
-rect 125577 114039 125663 115003
-rect 125777 114040 125778 115003
-rect 125837 114040 125989 115039
-rect 125777 114039 125989 114040
-rect 126139 114039 126195 115039
-rect 126211 114039 126267 115039
-rect 126569 115027 126655 115039
-rect 126769 115027 126770 115039
-rect 126556 115003 126655 115027
-rect 126760 115003 126770 115027
-rect 126569 114039 126655 115003
-rect 126769 114040 126770 115003
-rect 126829 114040 126981 115039
-rect 126769 114039 126981 114040
-rect 127131 114039 127187 115039
-rect 127203 114039 127259 115039
-rect 127561 115027 127647 115039
-rect 127761 115027 127762 115039
-rect 127548 115003 127647 115027
-rect 127752 115003 127762 115027
-rect 127561 114039 127647 115003
-rect 127761 114040 127762 115003
-rect 127821 114040 127973 115039
-rect 127761 114039 127973 114040
-rect 128123 114039 128179 115039
-rect 128195 114039 128251 115039
-rect 128553 115027 128639 115039
-rect 128753 115027 128754 115039
-rect 128540 115003 128639 115027
-rect 128744 115003 128754 115027
-rect 128553 114039 128639 115003
-rect 128753 114040 128754 115003
-rect 128813 114040 128965 115039
-rect 128753 114039 128965 114040
-rect 129115 114039 129171 115039
-rect 129187 114039 129243 115039
-rect 129545 115027 129631 115039
-rect 129745 115027 129746 115039
-rect 129532 115003 129631 115027
-rect 129736 115003 129746 115027
-rect 129545 114039 129631 115003
-rect 129745 114040 129746 115003
-rect 129805 114040 129957 115039
-rect 129745 114039 129957 114040
-rect 130107 114039 130163 115039
-rect 130179 114039 130235 115039
-rect 130537 115027 130623 115039
-rect 130737 115027 130738 115039
-rect 130524 115003 130623 115027
-rect 130728 115003 130738 115027
-rect 130537 114039 130623 115003
-rect 130737 114040 130738 115003
-rect 130797 114040 130949 115039
-rect 130737 114039 130949 114040
-rect 131099 114039 131155 115039
-rect 131171 114039 131227 115039
-rect 131529 115027 131615 115039
-rect 131729 115027 131730 115039
-rect 131516 115003 131615 115027
-rect 131720 115003 131730 115027
-rect 131529 114039 131615 115003
-rect 131729 114040 131730 115003
-rect 131789 114040 131941 115039
-rect 131729 114039 131941 114040
-rect 132091 114039 132147 115039
-rect 132163 114039 132219 115039
-rect 132521 115027 132607 115039
-rect 132721 115027 132722 115039
-rect 132508 115003 132607 115027
-rect 132712 115003 132722 115027
-rect 132521 114039 132607 115003
-rect 132721 114040 132722 115003
-rect 132781 114040 132933 115039
-rect 132721 114039 132933 114040
-rect 133083 114039 133139 115039
-rect 133155 114039 133211 115039
-rect 133513 115027 133599 115039
-rect 133713 115027 133714 115039
-rect 133500 115003 133599 115027
-rect 133704 115003 133714 115027
-rect 133513 114039 133599 115003
-rect 133713 114040 133714 115003
-rect 133773 114040 133925 115039
-rect 133713 114039 133925 114040
-rect 134034 114039 134106 115039
-rect 134172 114039 134189 115039
-rect 134359 114039 134392 115039
-rect 140288 112935 140316 116622
-rect 140960 115574 141010 116574
-rect 161216 116425 161255 124211
-rect 161216 116369 161256 116425
-rect 161270 116369 161280 124211
-rect 161216 116333 161280 116369
-rect 140960 113974 141010 114974
-rect 140194 112931 140316 112935
-rect 140194 112911 140288 112931
-rect 140194 112905 140197 112911
-rect 120123 112801 120278 112827
-rect 120368 112801 127264 112837
-rect 140224 112834 140227 112905
-rect 120278 112770 120404 112801
-rect 120425 112770 120459 112794
-rect 120493 112770 120527 112794
-rect 120561 112770 120595 112794
-rect 120629 112770 120663 112794
-rect 120697 112770 120731 112794
-rect 120765 112770 120799 112794
-rect 120833 112770 120867 112794
-rect 120901 112770 120935 112794
-rect 120969 112770 121003 112794
-rect 121037 112770 121071 112794
-rect 121105 112770 121139 112794
-rect 121173 112770 121207 112794
-rect 121241 112770 121275 112794
-rect 121309 112770 121343 112794
-rect 121377 112770 121411 112794
-rect 121445 112770 121479 112794
-rect 121513 112770 121547 112794
-rect 121581 112770 121615 112794
-rect 121649 112770 121683 112794
-rect 121717 112770 121751 112794
-rect 121785 112770 121819 112794
-rect 121853 112770 121887 112794
-rect 121921 112770 121955 112794
-rect 121989 112770 122023 112794
-rect 122057 112770 122091 112794
-rect 122125 112770 122159 112794
-rect 122193 112770 122227 112794
-rect 122261 112770 122295 112794
-rect 122329 112770 122363 112794
-rect 122397 112770 122431 112794
-rect 122465 112770 122499 112794
-rect 122533 112770 122567 112794
-rect 122601 112770 122635 112794
-rect 122669 112770 122703 112794
-rect 122737 112770 122771 112794
-rect 122805 112770 122839 112794
-rect 122873 112770 122907 112794
-rect 122941 112770 122975 112794
-rect 123009 112770 123043 112794
-rect 123077 112770 123111 112794
-rect 123145 112770 123179 112794
-rect 123213 112770 123247 112794
-rect 123281 112770 123315 112794
-rect 123349 112770 123383 112794
-rect 123417 112770 123451 112794
-rect 123485 112770 123519 112794
-rect 123553 112770 123587 112794
-rect 123621 112770 123655 112794
-rect 123689 112770 123723 112794
-rect 123787 112770 123821 112794
-rect 123855 112770 123889 112794
-rect 123923 112770 123957 112794
-rect 123991 112770 124025 112794
-rect 124059 112770 124093 112794
-rect 124127 112770 124161 112794
-rect 124195 112770 124229 112794
-rect 124263 112770 124297 112794
-rect 124331 112770 124365 112794
-rect 124399 112770 124433 112794
-rect 124467 112770 124501 112794
-rect 124535 112770 124569 112794
-rect 124603 112770 124637 112794
-rect 124671 112770 124705 112794
-rect 124739 112770 124773 112794
-rect 124807 112770 124841 112794
-rect 124875 112770 124909 112794
-rect 124943 112770 124977 112794
-rect 125011 112770 125045 112794
-rect 125079 112770 125113 112794
-rect 125147 112770 125181 112794
-rect 125215 112770 125249 112794
-rect 125283 112770 125317 112794
-rect 125351 112770 125385 112794
-rect 125419 112770 125453 112794
-rect 125487 112770 125521 112794
-rect 125555 112770 125589 112794
-rect 125623 112770 125657 112794
-rect 125691 112770 125725 112794
-rect 125759 112770 125793 112794
-rect 125827 112770 125861 112794
-rect 125895 112770 125929 112794
-rect 125963 112770 125997 112794
-rect 126031 112770 126065 112794
-rect 126099 112770 126133 112794
-rect 126167 112770 126201 112794
-rect 126235 112770 126269 112794
-rect 126303 112770 126337 112794
-rect 126371 112770 126405 112794
-rect 126439 112770 126473 112794
-rect 126507 112770 126541 112794
-rect 126575 112770 126609 112794
-rect 126643 112770 126677 112794
-rect 126711 112770 126745 112794
-rect 126779 112770 126813 112794
-rect 126847 112770 126881 112794
-rect 126915 112770 126949 112794
-rect 126983 112770 127017 112794
-rect 127051 112770 127085 112794
-rect 127119 112770 127153 112794
-rect 127228 112770 127264 112801
-rect 120368 112747 127264 112770
-rect 120368 112734 120425 112747
-rect 120459 112734 120493 112747
-rect 120527 112734 120561 112747
-rect 120595 112734 120629 112747
-rect 120663 112734 120697 112747
-rect 120731 112734 120765 112747
-rect 120799 112734 120833 112747
-rect 120867 112734 120901 112747
-rect 120935 112734 120969 112747
-rect 121003 112734 121037 112747
-rect 121071 112734 121105 112747
-rect 121139 112734 121173 112747
-rect 121207 112734 121241 112747
-rect 121275 112734 121309 112747
-rect 121343 112734 121377 112747
-rect 121411 112734 121445 112747
-rect 121479 112734 121513 112747
-rect 121547 112734 121581 112747
-rect 121615 112734 121649 112747
-rect 121683 112734 121717 112747
-rect 121751 112734 121785 112747
-rect 121819 112734 121853 112747
-rect 121887 112734 121921 112747
-rect 121955 112734 121989 112747
-rect 122023 112734 122057 112747
-rect 122091 112734 122125 112747
-rect 122159 112734 122193 112747
-rect 122227 112734 122261 112747
-rect 122295 112734 122329 112747
-rect 122363 112734 122397 112747
-rect 122431 112734 122465 112747
-rect 122499 112734 122533 112747
-rect 122567 112734 122601 112747
-rect 122635 112734 122669 112747
-rect 122703 112734 122737 112747
-rect 122771 112734 122805 112747
-rect 122839 112734 122873 112747
-rect 122907 112734 122941 112747
-rect 122975 112734 123009 112747
-rect 123043 112734 123077 112747
-rect 123111 112734 123145 112747
-rect 123179 112734 123213 112747
-rect 123247 112734 123281 112747
-rect 123315 112734 123349 112747
-rect 123383 112734 123417 112747
-rect 123451 112734 123485 112747
-rect 123519 112734 123553 112747
-rect 123587 112734 123621 112747
-rect 123655 112734 123689 112747
-rect 123723 112734 123787 112747
-rect 123821 112734 123855 112747
-rect 123889 112734 123923 112747
-rect 123957 112734 123991 112747
-rect 124025 112734 124059 112747
-rect 124093 112734 124127 112747
-rect 124161 112734 124195 112747
-rect 124229 112734 124263 112747
-rect 124297 112734 124331 112747
-rect 124365 112734 124399 112747
-rect 124433 112734 124467 112747
-rect 124501 112734 124535 112747
-rect 124569 112734 124603 112747
-rect 124637 112734 124671 112747
-rect 124705 112734 124739 112747
-rect 124773 112734 124807 112747
-rect 124841 112734 124875 112747
-rect 124909 112734 124943 112747
-rect 124977 112734 125011 112747
-rect 125045 112734 125079 112747
-rect 125113 112734 125147 112747
-rect 125181 112734 125215 112747
-rect 125249 112734 125283 112747
-rect 125317 112734 125351 112747
-rect 125385 112734 125419 112747
-rect 125453 112734 125487 112747
-rect 125521 112734 125555 112747
-rect 125589 112734 125623 112747
-rect 125657 112734 125691 112747
-rect 125725 112734 125759 112747
-rect 125793 112734 125827 112747
-rect 125861 112734 125895 112747
-rect 125929 112734 125963 112747
-rect 125997 112734 126031 112747
-rect 126065 112734 126099 112747
-rect 126133 112734 126167 112747
-rect 126201 112734 126235 112747
-rect 126269 112734 126303 112747
-rect 126337 112734 126371 112747
-rect 126405 112734 126439 112747
-rect 126473 112734 126507 112747
-rect 126541 112734 126575 112747
-rect 126609 112734 126643 112747
-rect 126677 112734 126711 112747
-rect 126745 112734 126779 112747
-rect 126813 112734 126847 112747
-rect 126881 112734 126915 112747
-rect 126949 112734 126983 112747
-rect 127017 112734 127051 112747
-rect 127085 112734 127119 112747
-rect 127153 112734 127264 112747
-rect 121044 112423 122044 112473
-rect 122174 112423 123574 112473
-rect 123936 112423 125336 112473
-rect 125466 112423 126866 112473
-rect 121044 112267 122044 112395
-rect 122174 112267 123574 112395
-rect 123936 112267 125336 112395
-rect 125466 112267 126866 112395
-rect 121044 112111 122044 112239
-rect 122174 112111 123574 112239
-rect 123936 112111 125336 112239
-rect 125466 112111 126866 112239
-rect 121044 111955 122044 112083
-rect 122174 111955 123574 112083
-rect 123936 111955 125336 112083
-rect 125466 111955 126866 112083
-rect 128877 112031 129047 112337
-rect 132165 112001 132181 112067
-rect 134189 112001 134205 112067
-rect 121044 111805 122044 111855
-rect 122174 111805 123574 111855
-rect 123936 111805 125336 111855
-rect 125466 111805 126866 111855
-rect 121202 111368 121236 111392
-rect 121270 111368 121304 111392
-rect 121338 111368 121372 111392
-rect 121406 111368 121440 111392
-rect 121474 111368 121508 111392
-rect 121542 111368 121576 111392
-rect 121610 111368 121644 111392
-rect 121678 111368 121712 111392
-rect 121746 111368 121780 111392
-rect 121814 111368 121848 111392
-rect 121882 111368 121916 111392
-rect 121950 111368 121984 111392
-rect 122018 111368 122052 111392
-rect 122086 111368 122120 111392
-rect 122154 111368 122188 111392
-rect 122222 111368 122256 111392
-rect 122290 111368 122324 111392
-rect 122358 111368 122392 111392
-rect 122426 111368 122460 111392
-rect 122494 111368 122528 111392
-rect 122562 111368 122596 111392
-rect 122630 111368 122664 111392
-rect 122698 111368 122732 111392
-rect 122766 111368 122800 111392
-rect 122834 111368 122868 111392
-rect 122902 111368 122936 111392
-rect 122970 111368 123004 111392
-rect 123038 111368 123072 111392
-rect 123106 111368 123140 111392
-rect 123174 111368 123208 111392
-rect 123242 111368 123276 111392
-rect 123310 111368 123344 111392
-rect 123378 111368 123412 111392
-rect 123446 111368 123480 111392
-rect 123514 111368 123548 111392
-rect 123582 111368 123616 111392
-rect 123650 111368 123684 111392
-rect 123718 111368 123752 111392
-rect 123786 111368 123820 111392
-rect 123854 111368 123888 111392
-rect 123922 111368 123956 111392
-rect 123990 111368 124024 111392
-rect 124058 111368 124092 111392
-rect 124126 111368 124160 111392
-rect 124194 111368 124228 111392
-rect 124262 111368 124296 111392
-rect 124330 111368 124364 111392
-rect 124398 111368 124432 111392
-rect 124466 111368 124500 111392
-rect 124534 111368 124568 111392
-rect 124602 111368 124636 111392
-rect 124670 111368 124704 111392
-rect 124738 111368 124772 111392
-rect 124806 111368 124840 111392
-rect 124874 111368 124908 111392
-rect 124942 111368 124976 111392
-rect 125010 111368 125044 111392
-rect 125067 111343 125068 111368
-rect 125026 111334 125068 111343
-rect 121577 111004 124577 111054
-rect 121577 110848 124577 110976
-rect 125026 110932 125128 110956
-rect 125026 110908 125050 110932
-rect 125104 110908 125128 110932
-rect 126509 110908 126543 110966
-rect 126698 110932 126732 110966
-rect 126770 110932 126804 110966
-rect 126842 110932 126876 110966
-rect 126914 110932 126948 110966
-rect 126698 110908 126722 110932
-rect 126924 110908 126948 110932
-rect 127102 110932 127204 110956
-rect 128332 110945 128356 110969
-rect 128230 110932 128254 110935
-rect 127102 110908 127126 110932
-rect 127180 110908 127204 110932
-rect 128308 110921 128332 110935
-rect 128485 110932 128587 110956
-rect 121577 110692 124577 110820
-rect 121577 110536 124577 110664
-rect 121577 110380 124577 110508
-rect 121577 110224 124577 110352
-rect 121577 110068 124577 110196
-rect 1823 105308 1824 105332
-rect 1904 105308 1905 105332
-rect 2377 105308 2378 105332
-rect 2458 105308 2459 105332
-rect 2931 105308 2932 105332
-rect 3012 105308 3013 105332
-rect 3485 105308 3486 105332
-rect 3566 105308 3567 105332
-rect 4039 105308 4040 105332
-rect 4120 105308 4121 105332
-rect 4593 105308 4594 105332
-rect 4674 105308 4675 105332
-rect 5147 105308 5148 105332
-rect 5228 105308 5229 105332
-rect 5701 105308 5702 105332
-rect 5782 105308 5783 105332
-rect 6255 105308 6256 105332
-rect 6336 105308 6337 105332
-rect 6809 105308 6810 105332
-rect 6890 105308 6891 105332
-rect 7363 105308 7364 105332
-rect 7444 105308 7445 105332
-rect 7917 105308 7918 105332
-rect 7998 105308 7999 105332
-rect 8471 105308 8472 105332
-rect 8552 105308 8553 105332
-rect 9025 105308 9026 105332
-rect 9106 105308 9107 105332
-rect 9579 105308 9580 105332
-rect 9660 105308 9661 105332
-rect 10133 105308 10134 105332
-rect 10214 105308 10215 105332
-rect 10687 105308 10688 105332
-rect 10768 105308 10769 105332
-rect 11241 105308 11242 105332
-rect 11322 105308 11323 105332
-rect 11795 105308 11796 105332
-rect 11876 105308 11877 105332
-rect 12349 105308 12350 105332
-rect 12430 105308 12431 105332
-rect 1847 105284 1881 105296
-rect 2401 105284 2435 105296
-rect 2955 105284 2989 105296
-rect 3509 105284 3543 105296
-rect 4063 105284 4097 105296
-rect 4617 105284 4651 105296
-rect 5171 105284 5205 105296
-rect 5725 105284 5759 105296
-rect 6279 105284 6313 105296
-rect 6833 105284 6867 105296
-rect 7387 105284 7421 105296
-rect 7941 105284 7975 105296
-rect 8495 105284 8529 105296
-rect 9049 105284 9083 105296
-rect 9603 105284 9637 105296
-rect 10157 105284 10191 105296
-rect 10711 105284 10745 105296
-rect 11265 105284 11299 105296
-rect 11819 105284 11853 105296
-rect 12373 105284 12407 105296
-rect 1823 103308 1824 103332
-rect 1904 103308 1905 103332
-rect 2377 103308 2378 103332
-rect 2458 103308 2459 103332
-rect 2931 103308 2932 103332
-rect 3012 103308 3013 103332
-rect 3485 103308 3486 103332
-rect 3566 103308 3567 103332
-rect 4039 103308 4040 103332
-rect 4120 103308 4121 103332
-rect 4593 103308 4594 103332
-rect 4674 103308 4675 103332
-rect 5147 103308 5148 103332
-rect 5228 103308 5229 103332
-rect 5701 103308 5702 103332
-rect 5782 103308 5783 103332
-rect 6255 103308 6256 103332
-rect 6336 103308 6337 103332
-rect 6809 103308 6810 103332
-rect 6890 103308 6891 103332
-rect 7363 103308 7364 103332
-rect 7444 103308 7445 103332
-rect 7917 103308 7918 103332
-rect 7998 103308 7999 103332
-rect 8471 103308 8472 103332
-rect 8552 103308 8553 103332
-rect 9025 103308 9026 103332
-rect 9106 103308 9107 103332
-rect 9579 103308 9580 103332
-rect 9660 103308 9661 103332
-rect 10133 103308 10134 103332
-rect 10214 103308 10215 103332
-rect 10687 103308 10688 103332
-rect 10768 103308 10769 103332
-rect 11241 103308 11242 103332
-rect 11322 103308 11323 103332
-rect 11795 103308 11796 103332
-rect 11876 103308 11877 103332
-rect 12349 103308 12350 103332
-rect 12430 103308 12431 103332
-rect 1847 103284 1881 103296
-rect 2401 103284 2435 103296
-rect 2955 103284 2989 103296
-rect 3509 103284 3543 103296
-rect 4063 103284 4097 103296
-rect 4617 103284 4651 103296
-rect 5171 103284 5205 103296
-rect 5725 103284 5759 103296
-rect 6279 103284 6313 103296
-rect 6833 103284 6867 103296
-rect 7387 103284 7421 103296
-rect 7941 103284 7975 103296
-rect 8495 103284 8529 103296
-rect 9049 103284 9083 103296
-rect 9603 103284 9637 103296
-rect 10157 103284 10191 103296
-rect 10711 103284 10745 103296
-rect 11265 103284 11299 103296
-rect 11819 103284 11853 103296
-rect 12373 103284 12407 103296
-rect 22784 101500 22856 105500
-rect 23055 101533 23173 105467
-rect 23444 101500 23504 105500
-rect 23704 101500 23776 105500
-rect 23975 101533 24093 105467
-rect 24364 101500 24424 105500
-rect 24624 101500 24696 105500
-rect 24895 101533 25013 105467
-rect 25284 101500 25344 105500
-rect 25544 101500 25616 105500
-rect 25815 101533 25933 105467
-rect 26204 101500 26264 105500
-rect 26464 101500 26536 105500
-rect 26735 101533 26853 105467
-rect 27124 101500 27184 105500
-rect 27384 101500 27456 105500
-rect 27655 101533 27773 105467
-rect 28044 101500 28104 105500
-rect 28304 101500 28376 105500
-rect 28575 101533 28693 105467
-rect 28964 101500 29024 105500
-rect 29224 101500 29296 105500
-rect 29495 101533 29613 105467
-rect 29884 101500 29944 105500
-rect 30144 101500 30216 105500
-rect 30415 101533 30533 105467
-rect 30804 101500 30864 105500
-rect 31064 101500 31136 105500
-rect 31335 101533 31453 105467
-rect 31724 101500 31784 105500
-rect 31984 101500 32056 105500
-rect 32255 101533 32373 105467
-rect 32644 101500 32704 105500
-rect 61464 104964 61536 108964
-rect 61735 104997 61853 108931
-rect 62124 104964 62184 108964
-rect 62384 104964 62456 108964
-rect 62655 104997 62773 108931
-rect 63044 104964 63104 108964
-rect 63304 104964 63376 108964
-rect 63575 104997 63693 108931
-rect 63964 104964 64024 108964
-rect 64224 104964 64296 108964
-rect 64495 104997 64613 108931
-rect 64884 104964 64944 108964
-rect 65144 104964 65216 108964
-rect 65415 104997 65533 108931
-rect 65804 104964 65864 108964
-rect 66064 104964 66136 108964
-rect 66335 104997 66453 108931
-rect 66724 104964 66784 108964
-rect 66984 104964 67056 108964
-rect 67255 104997 67373 108931
-rect 67644 104964 67704 108964
-rect 67904 104964 67976 108964
-rect 68175 104997 68293 108931
-rect 68564 104964 68624 108964
-rect 68824 104964 68896 108964
-rect 69095 104997 69213 108931
-rect 69484 104964 69544 108964
-rect 82016 105934 82088 109934
-rect 82287 105967 82405 109901
-rect 82676 105934 82736 109934
-rect 82936 105934 83008 109934
-rect 83207 105967 83325 109901
-rect 83596 105934 83656 109934
-rect 83856 105934 83928 109934
-rect 84127 105967 84245 109901
-rect 84516 105934 84576 109934
-rect 84776 105934 84848 109934
-rect 85047 105967 85165 109901
-rect 85436 105934 85496 109934
-rect 85696 105934 85768 109934
-rect 85967 105967 86085 109901
-rect 86356 105934 86416 109934
-rect 86616 105934 86688 109934
-rect 86887 105967 87005 109901
-rect 87276 105934 87336 109934
-rect 87536 105934 87608 109934
-rect 87807 105967 87925 109901
-rect 88196 105934 88256 109934
-rect 88456 105934 88528 109934
-rect 88727 105967 88845 109901
-rect 89116 105934 89176 109934
-rect 89376 105934 89448 109934
-rect 89647 105967 89765 109901
-rect 90036 105934 90096 109934
-rect 121577 109918 124577 109968
-rect 125414 109504 125457 110904
-rect 125564 109504 125692 110904
-rect 125727 109504 125855 110904
-rect 125890 109504 126018 110904
-rect 126053 109504 126181 110904
-rect 126216 109504 126344 110904
-rect 126379 109504 126422 110904
-rect 127291 109504 127341 110904
-rect 127448 109504 127576 110904
-rect 127611 109504 127739 110904
-rect 127774 109504 127902 110904
-rect 127937 109504 128065 110904
-rect 128100 109504 128143 110904
-rect 128206 110887 128230 110911
-rect 128332 110887 128356 110911
-rect 128485 110908 128509 110932
-rect 128563 110908 128587 110932
-rect 122070 109105 122240 109411
-rect 122870 109105 123040 109411
-rect 123670 109105 123840 109411
-rect 122070 108605 122240 108911
-rect 122870 108605 123040 108911
-rect 123670 108605 123840 108911
-rect 119527 107145 119577 107745
-rect 119677 107145 119805 107745
-rect 119833 107145 119961 107745
-rect 119989 107145 120045 107745
-rect 120145 107145 120273 107745
-rect 120301 107145 120429 107745
-rect 120457 107145 120507 107745
-rect 120587 107145 120637 107745
-rect 120737 107145 120787 107745
-rect 121225 107144 121275 107744
-rect 121375 107144 121503 107744
-rect 121531 107144 121659 107744
-rect 121687 107144 121743 107744
-rect 121843 107144 121971 107744
-rect 121999 107144 122127 107744
-rect 122155 107144 122205 107744
-rect 122285 107144 122335 107744
-rect 122435 107144 122485 107744
-rect 122607 107144 122657 107744
-rect 122757 107144 122807 107744
-rect 122887 107144 122937 107744
-rect 123037 107144 123165 107744
-rect 123193 107144 123321 107744
-rect 123349 107144 123405 107744
-rect 123505 107144 123633 107744
-rect 123661 107144 123789 107744
-rect 123817 107144 123867 107744
-rect 125414 107232 125457 108632
-rect 125564 107232 125692 108632
-rect 125727 107232 125855 108632
-rect 125890 107232 126018 108632
-rect 126053 107232 126181 108632
-rect 126216 107232 126344 108632
-rect 126379 107232 126422 108632
-rect 125026 107204 125128 107228
-rect 125104 107180 125128 107204
-rect 126509 107180 126543 107238
-rect 126698 107204 126732 107238
-rect 126770 107204 126804 107238
-rect 126842 107204 126876 107238
-rect 126914 107204 126948 107238
-rect 127291 107232 127341 108632
-rect 127448 107232 127576 108632
-rect 127611 107232 127739 108632
-rect 127774 107232 127902 108632
-rect 127937 107232 128065 108632
-rect 128100 107232 128143 108632
-rect 126698 107180 126722 107204
-rect 126924 107180 126948 107204
-rect 127102 107204 127204 107228
-rect 128206 107225 128230 107249
-rect 128332 107225 128356 107249
-rect 127102 107180 127126 107204
-rect 127180 107180 127204 107204
-rect 128230 107201 128254 107204
-rect 128308 107201 128332 107215
-rect 128485 107204 128587 107228
-rect 128332 107167 128356 107191
-rect 128485 107180 128509 107204
-rect 128563 107180 128587 107204
-rect 128763 106764 129299 111372
-rect 131734 111064 131825 111166
-rect 132058 111131 132072 111155
-rect 132024 111107 132048 111131
-rect 132082 111107 132106 111131
-rect 135278 111057 135312 111077
-rect 131681 110944 131705 110968
-rect 131739 110944 131763 110968
-rect 132215 110962 132249 110966
-rect 132283 110962 132317 110966
-rect 132351 110962 132385 110966
-rect 132419 110962 132453 110966
-rect 132487 110962 132521 110966
-rect 132555 110962 132589 110966
-rect 132623 110962 132657 110966
-rect 132691 110962 132725 110966
-rect 132759 110962 132793 110966
-rect 132827 110962 132861 110966
-rect 132895 110962 132929 110966
-rect 132963 110962 132997 110966
-rect 133031 110962 133065 110966
-rect 133099 110962 133133 110966
-rect 133167 110962 133201 110966
-rect 133235 110962 133269 110966
-rect 133303 110962 133337 110966
-rect 133371 110962 133405 110966
-rect 133439 110962 133473 110966
-rect 133507 110962 133541 110966
-rect 133575 110962 133609 110966
-rect 133643 110962 133677 110966
-rect 133711 110962 133745 110966
-rect 133779 110962 133813 110966
-rect 133847 110962 133881 110966
-rect 133915 110962 133949 110966
-rect 133983 110962 134017 110966
-rect 134051 110962 134085 110966
-rect 134119 110962 134153 110966
-rect 134187 110962 134221 110966
-rect 134255 110962 134289 110966
-rect 134323 110962 134357 110966
-rect 134391 110962 134425 110966
-rect 134459 110962 134493 110966
-rect 134527 110962 134561 110966
-rect 134595 110962 134629 110966
-rect 134663 110962 134697 110966
-rect 134731 110962 134765 110966
-rect 134799 110962 134833 110966
-rect 134867 110962 134901 110966
-rect 134935 110962 134969 110966
-rect 132147 110944 135047 110962
-rect 132215 110940 132249 110944
-rect 132283 110940 132317 110944
-rect 132351 110940 132385 110944
-rect 132419 110940 132453 110944
-rect 132487 110940 132521 110944
-rect 132555 110940 132589 110944
-rect 132623 110940 132657 110944
-rect 132691 110940 132725 110944
-rect 132759 110940 132793 110944
-rect 132827 110940 132861 110944
-rect 132895 110940 132929 110944
-rect 132963 110940 132997 110944
-rect 133031 110940 133065 110944
-rect 133099 110940 133133 110944
-rect 133167 110940 133201 110944
-rect 133235 110940 133269 110944
-rect 133303 110940 133337 110944
-rect 133371 110940 133405 110944
-rect 133439 110940 133473 110944
-rect 133507 110940 133541 110944
-rect 133575 110940 133609 110944
-rect 133643 110940 133677 110944
-rect 133711 110940 133745 110944
-rect 133779 110940 133813 110944
-rect 133847 110940 133881 110944
-rect 133915 110940 133949 110944
-rect 133983 110940 134017 110944
-rect 134051 110940 134085 110944
-rect 134119 110940 134153 110944
-rect 134187 110940 134221 110944
-rect 134255 110940 134289 110944
-rect 134323 110940 134357 110944
-rect 134391 110940 134425 110944
-rect 134459 110940 134493 110944
-rect 134527 110940 134561 110944
-rect 134595 110940 134629 110944
-rect 134663 110940 134697 110944
-rect 134731 110940 134765 110944
-rect 134799 110940 134833 110944
-rect 134867 110940 134901 110944
-rect 134935 110940 134969 110944
-rect 135186 110941 135210 110965
-rect 131705 110920 131739 110934
-rect 132151 110932 135043 110940
-rect 132191 110920 134993 110932
-rect 135210 110917 135234 110932
-rect 135278 110921 135312 110956
-rect 129333 109504 129461 110904
-rect 129496 109504 129624 110904
-rect 129659 109504 129787 110904
-rect 129822 109504 129950 110904
-rect 129985 109504 130113 110904
-rect 130148 109504 130276 110904
-rect 130311 109504 130354 110904
-rect 130447 109504 130490 110904
-rect 130597 109504 130725 110904
-rect 130760 109504 130888 110904
-rect 130923 109504 131051 110904
-rect 131086 109504 131214 110904
-rect 131249 109504 131377 110904
-rect 131412 109504 131540 110904
-rect 131575 109504 131625 110904
-rect 131681 110886 131705 110910
-rect 131739 110886 131763 110910
-rect 135288 110908 135312 110921
-rect 131892 109119 131994 110683
-rect 132261 109436 132311 110836
-rect 132418 109436 132546 110836
-rect 132581 109436 132709 110836
-rect 132744 109436 132872 110836
-rect 132907 109436 133035 110836
-rect 133070 109436 133198 110836
-rect 133233 109436 133361 110836
-rect 133396 109436 133439 110836
-rect 133532 109436 133575 110836
-rect 133682 109436 133810 110836
-rect 133845 109436 133973 110836
-rect 134008 109436 134136 110836
-rect 134171 109436 134299 110836
-rect 134334 109436 134462 110836
-rect 134497 109436 134625 110836
-rect 134660 109436 134788 110836
-rect 134823 109436 134866 110836
-rect 140951 109684 140967 109750
-rect 131926 109095 131960 109119
-rect 131926 109017 131960 109041
-rect 129333 107232 129461 108632
-rect 129496 107232 129624 108632
-rect 129659 107232 129787 108632
-rect 129822 107232 129950 108632
-rect 129985 107232 130113 108632
-rect 130148 107232 130276 108632
-rect 130311 107232 130354 108632
-rect 130447 107232 130490 108632
-rect 130597 107232 130725 108632
-rect 130760 107232 130888 108632
-rect 130923 107232 131051 108632
-rect 131086 107232 131214 108632
-rect 131249 107232 131377 108632
-rect 131412 107232 131540 108632
-rect 131575 107232 131625 108632
-rect 131892 107453 131994 109017
-rect 132261 107300 132311 108700
-rect 132418 107300 132546 108700
-rect 132581 107300 132709 108700
-rect 132744 107300 132872 108700
-rect 132907 107300 133035 108700
-rect 133070 107300 133198 108700
-rect 133233 107300 133361 108700
-rect 133396 107300 133439 108700
-rect 133532 107300 133575 108700
-rect 133682 107300 133810 108700
-rect 133845 107300 133973 108700
-rect 134008 107300 134136 108700
-rect 134171 107300 134299 108700
-rect 134334 107300 134462 108700
-rect 134497 107300 134625 108700
-rect 134660 107300 134788 108700
-rect 134823 107300 134866 108700
-rect 131681 107226 131705 107250
-rect 131739 107226 131763 107250
-rect 132215 107234 132249 107238
-rect 132283 107234 132317 107238
-rect 132351 107234 132385 107238
-rect 132419 107234 132453 107238
-rect 132487 107234 132521 107238
-rect 132555 107234 132589 107238
-rect 132623 107234 132657 107238
-rect 132691 107234 132725 107238
-rect 132759 107234 132793 107238
-rect 132827 107234 132861 107238
-rect 132895 107234 132929 107238
-rect 132963 107234 132997 107238
-rect 133031 107234 133065 107238
-rect 133099 107234 133133 107238
-rect 133167 107234 133201 107238
-rect 133235 107234 133269 107238
-rect 133303 107234 133337 107238
-rect 133371 107234 133405 107238
-rect 133439 107234 133473 107238
-rect 133507 107234 133541 107238
-rect 133575 107234 133609 107238
-rect 133643 107234 133677 107238
-rect 133711 107234 133745 107238
-rect 133779 107234 133813 107238
-rect 133847 107234 133881 107238
-rect 133915 107234 133949 107238
-rect 133983 107234 134017 107238
-rect 134051 107234 134085 107238
-rect 134119 107234 134153 107238
-rect 134187 107234 134221 107238
-rect 134255 107234 134289 107238
-rect 134323 107234 134357 107238
-rect 134391 107234 134425 107238
-rect 134459 107234 134493 107238
-rect 134527 107234 134561 107238
-rect 134595 107234 134629 107238
-rect 134663 107234 134697 107238
-rect 134731 107234 134765 107238
-rect 134799 107234 134833 107238
-rect 134867 107234 134901 107238
-rect 134935 107234 134969 107238
-rect 132181 107226 135013 107234
-rect 135244 107229 135312 107249
-rect 132215 107222 132249 107226
-rect 132283 107222 132317 107226
-rect 132351 107222 132385 107226
-rect 132419 107222 132453 107226
-rect 132487 107222 132521 107226
-rect 132555 107222 132589 107226
-rect 132623 107222 132657 107226
-rect 132691 107222 132725 107226
-rect 132759 107222 132793 107226
-rect 132827 107222 132861 107226
-rect 132895 107222 132929 107226
-rect 132963 107222 132997 107226
-rect 133031 107222 133065 107226
-rect 133099 107222 133133 107226
-rect 133167 107222 133201 107226
-rect 133235 107222 133269 107226
-rect 133303 107222 133337 107226
-rect 133371 107222 133405 107226
-rect 133439 107222 133473 107226
-rect 133507 107222 133541 107226
-rect 133575 107222 133609 107226
-rect 133643 107222 133677 107226
-rect 133711 107222 133745 107226
-rect 133779 107222 133813 107226
-rect 133847 107222 133881 107226
-rect 133915 107222 133949 107226
-rect 133983 107222 134017 107226
-rect 134051 107222 134085 107226
-rect 134119 107222 134153 107226
-rect 134187 107222 134221 107226
-rect 134255 107222 134289 107226
-rect 134323 107222 134357 107226
-rect 134391 107222 134425 107226
-rect 134459 107222 134493 107226
-rect 134527 107222 134561 107226
-rect 134595 107222 134629 107226
-rect 134663 107222 134697 107226
-rect 134731 107222 134765 107226
-rect 134799 107222 134833 107226
-rect 134867 107222 134901 107226
-rect 134935 107222 134969 107226
-rect 131705 107202 131739 107216
-rect 132147 107204 135047 107222
-rect 135210 107204 135234 107219
-rect 135278 107204 135312 107229
-rect 132191 107202 134993 107204
-rect 131681 107168 131705 107192
-rect 131739 107168 131763 107192
-rect 135186 107171 135210 107195
-rect 135288 107180 135312 107204
-rect 135244 107093 135312 107113
-rect 131734 106970 131825 107072
-rect 132024 107005 132048 107029
-rect 132082 107005 132106 107029
-rect 132058 106981 132072 107005
-rect 99739 105696 99740 105720
-rect 99820 105696 99821 105720
-rect 100293 105696 100294 105720
-rect 100374 105696 100375 105720
-rect 100847 105696 100848 105720
-rect 100928 105696 100929 105720
-rect 101401 105696 101402 105720
-rect 101482 105696 101483 105720
-rect 101955 105696 101956 105720
-rect 102036 105696 102037 105720
-rect 102509 105696 102510 105720
-rect 102590 105696 102591 105720
-rect 103063 105696 103064 105720
-rect 103144 105696 103145 105720
-rect 103617 105696 103618 105720
-rect 103698 105696 103699 105720
-rect 104171 105696 104172 105720
-rect 104252 105696 104253 105720
-rect 104725 105696 104726 105720
-rect 104806 105696 104807 105720
-rect 105279 105696 105280 105720
-rect 105360 105696 105361 105720
-rect 105833 105696 105834 105720
-rect 105914 105696 105915 105720
-rect 106387 105696 106388 105720
-rect 106468 105696 106469 105720
-rect 106941 105696 106942 105720
-rect 107022 105696 107023 105720
-rect 107495 105696 107496 105720
-rect 107576 105696 107577 105720
-rect 108049 105696 108050 105720
-rect 108130 105696 108131 105720
-rect 108603 105696 108604 105720
-rect 108684 105696 108685 105720
-rect 109157 105696 109158 105720
-rect 109238 105696 109239 105720
-rect 109711 105696 109712 105720
-rect 109792 105696 109793 105720
-rect 110265 105696 110266 105720
-rect 110346 105696 110347 105720
-rect 99763 105672 99797 105684
-rect 100317 105672 100351 105684
-rect 100871 105672 100905 105684
-rect 101425 105672 101459 105684
-rect 101979 105672 102013 105684
-rect 102533 105672 102567 105684
-rect 103087 105672 103121 105684
-rect 103641 105672 103675 105684
-rect 104195 105672 104229 105684
-rect 104749 105672 104783 105684
-rect 105303 105672 105337 105684
-rect 105857 105672 105891 105684
-rect 106411 105672 106445 105684
-rect 106965 105672 106999 105684
-rect 107519 105672 107553 105684
-rect 108073 105672 108107 105684
-rect 108627 105672 108661 105684
-rect 109181 105672 109215 105684
-rect 109735 105672 109769 105684
-rect 110289 105672 110323 105684
-rect 121923 105627 121973 105827
-rect 122073 105627 122129 105827
-rect 122229 105627 122279 105827
-rect 122629 105627 122679 105827
-rect 122779 105627 122835 105827
-rect 122935 105627 122985 105827
-rect 123049 105627 123060 105827
-rect 129913 105381 129963 106381
-rect 130063 105381 130119 106381
-rect 130219 105381 130275 106381
-rect 130375 105381 130431 106381
-rect 130531 105924 130581 106381
-rect 130995 105924 131045 106381
-rect 130531 105840 130584 105924
-rect 130992 105840 131045 105924
-rect 130531 105591 130581 105840
-rect 130995 105591 131045 105840
-rect 130531 105507 130584 105591
-rect 130992 105507 131045 105591
-rect 130531 105381 130581 105507
-rect 130995 105381 131045 105507
-rect 131145 105381 131201 106381
-rect 131301 105381 131357 106381
-rect 131457 105381 131513 106381
-rect 131613 105381 131663 106381
-rect 133848 105992 133898 106592
-rect 133998 105992 134048 106592
-rect 134120 105992 134170 106592
-rect 134270 105992 134320 106592
-rect 134396 105992 134446 106592
-rect 134546 105992 134596 106592
-rect 134668 105992 134718 106592
-rect 134818 105992 134868 106592
-rect 132804 105669 133110 105839
-rect 40797 104532 40798 104556
-rect 40878 104532 40879 104556
-rect 41351 104532 41352 104556
-rect 41432 104532 41433 104556
-rect 41905 104532 41906 104556
-rect 41986 104532 41987 104556
-rect 42459 104532 42460 104556
-rect 42540 104532 42541 104556
-rect 43013 104532 43014 104556
-rect 43094 104532 43095 104556
-rect 43567 104532 43568 104556
-rect 43648 104532 43649 104556
-rect 44121 104532 44122 104556
-rect 44202 104532 44203 104556
-rect 44675 104532 44676 104556
-rect 44756 104532 44757 104556
-rect 45229 104532 45230 104556
-rect 45310 104532 45311 104556
-rect 45783 104532 45784 104556
-rect 45864 104532 45865 104556
-rect 46337 104532 46338 104556
-rect 46418 104532 46419 104556
-rect 46891 104532 46892 104556
-rect 46972 104532 46973 104556
-rect 47445 104532 47446 104556
-rect 47526 104532 47527 104556
-rect 47999 104532 48000 104556
-rect 48080 104532 48081 104556
-rect 48553 104532 48554 104556
-rect 48634 104532 48635 104556
-rect 49107 104532 49108 104556
-rect 49188 104532 49189 104556
-rect 49661 104532 49662 104556
-rect 49742 104532 49743 104556
-rect 50215 104532 50216 104556
-rect 50296 104532 50297 104556
-rect 50769 104532 50770 104556
-rect 50850 104532 50851 104556
-rect 51323 104532 51324 104556
-rect 51404 104532 51405 104556
-rect 40821 104508 40855 104520
-rect 41375 104508 41409 104520
-rect 41929 104508 41963 104520
-rect 42483 104508 42517 104520
-rect 43037 104508 43071 104520
-rect 43591 104508 43625 104520
-rect 44145 104508 44179 104520
-rect 44699 104508 44733 104520
-rect 45253 104508 45287 104520
-rect 45807 104508 45841 104520
-rect 46361 104508 46395 104520
-rect 46915 104508 46949 104520
-rect 47469 104508 47503 104520
-rect 48023 104508 48057 104520
-rect 48577 104508 48611 104520
-rect 49131 104508 49165 104520
-rect 49685 104508 49719 104520
-rect 50239 104508 50273 104520
-rect 50793 104508 50827 104520
-rect 51347 104508 51381 104520
-rect 40797 102532 40798 102556
-rect 40878 102532 40879 102556
-rect 41351 102532 41352 102556
-rect 41432 102532 41433 102556
-rect 41905 102532 41906 102556
-rect 41986 102532 41987 102556
-rect 42459 102532 42460 102556
-rect 42540 102532 42541 102556
-rect 43013 102532 43014 102556
-rect 43094 102532 43095 102556
-rect 43567 102532 43568 102556
-rect 43648 102532 43649 102556
-rect 44121 102532 44122 102556
-rect 44202 102532 44203 102556
-rect 44675 102532 44676 102556
-rect 44756 102532 44757 102556
-rect 45229 102532 45230 102556
-rect 45310 102532 45311 102556
-rect 45783 102532 45784 102556
-rect 45864 102532 45865 102556
-rect 46337 102532 46338 102556
-rect 46418 102532 46419 102556
-rect 46891 102532 46892 102556
-rect 46972 102532 46973 102556
-rect 47445 102532 47446 102556
-rect 47526 102532 47527 102556
-rect 47999 102532 48000 102556
-rect 48080 102532 48081 102556
-rect 48553 102532 48554 102556
-rect 48634 102532 48635 102556
-rect 49107 102532 49108 102556
-rect 49188 102532 49189 102556
-rect 49661 102532 49662 102556
-rect 49742 102532 49743 102556
-rect 50215 102532 50216 102556
-rect 50296 102532 50297 102556
-rect 50769 102532 50770 102556
-rect 50850 102532 50851 102556
-rect 51323 102532 51324 102556
-rect 51404 102532 51405 102556
-rect 40821 102508 40855 102520
-rect 41375 102508 41409 102520
-rect 41929 102508 41963 102520
-rect 42483 102508 42517 102520
-rect 43037 102508 43071 102520
-rect 43591 102508 43625 102520
-rect 44145 102508 44179 102520
-rect 44699 102508 44733 102520
-rect 45253 102508 45287 102520
-rect 45807 102508 45841 102520
-rect 46361 102508 46395 102520
-rect 46915 102508 46949 102520
-rect 47469 102508 47503 102520
-rect 48023 102508 48057 102520
-rect 48577 102508 48611 102520
-rect 49131 102508 49165 102520
-rect 49685 102508 49719 102520
-rect 50239 102508 50273 102520
-rect 50793 102508 50827 102520
-rect 51347 102508 51381 102520
-rect 1823 101308 1824 101332
-rect 1904 101308 1905 101332
-rect 2377 101308 2378 101332
-rect 2458 101308 2459 101332
-rect 2931 101308 2932 101332
-rect 3012 101308 3013 101332
-rect 3485 101308 3486 101332
-rect 3566 101308 3567 101332
-rect 4039 101308 4040 101332
-rect 4120 101308 4121 101332
-rect 4593 101308 4594 101332
-rect 4674 101308 4675 101332
-rect 5147 101308 5148 101332
-rect 5228 101308 5229 101332
-rect 5701 101308 5702 101332
-rect 5782 101308 5783 101332
-rect 6255 101308 6256 101332
-rect 6336 101308 6337 101332
-rect 6809 101308 6810 101332
-rect 6890 101308 6891 101332
-rect 7363 101308 7364 101332
-rect 7444 101308 7445 101332
-rect 7917 101308 7918 101332
-rect 7998 101308 7999 101332
-rect 8471 101308 8472 101332
-rect 8552 101308 8553 101332
-rect 9025 101308 9026 101332
-rect 9106 101308 9107 101332
-rect 9579 101308 9580 101332
-rect 9660 101308 9661 101332
-rect 10133 101308 10134 101332
-rect 10214 101308 10215 101332
-rect 10687 101308 10688 101332
-rect 10768 101308 10769 101332
-rect 11241 101308 11242 101332
-rect 11322 101308 11323 101332
-rect 11795 101308 11796 101332
-rect 11876 101308 11877 101332
-rect 12349 101308 12350 101332
-rect 12430 101308 12431 101332
-rect 1847 101284 1881 101296
-rect 2401 101284 2435 101296
-rect 2955 101284 2989 101296
-rect 3509 101284 3543 101296
-rect 4063 101284 4097 101296
-rect 4617 101284 4651 101296
-rect 5171 101284 5205 101296
-rect 5725 101284 5759 101296
-rect 6279 101284 6313 101296
-rect 6833 101284 6867 101296
-rect 7387 101284 7421 101296
-rect 7941 101284 7975 101296
-rect 8495 101284 8529 101296
-rect 9049 101284 9083 101296
-rect 9603 101284 9637 101296
-rect 10157 101284 10191 101296
-rect 10711 101284 10745 101296
-rect 11265 101284 11299 101296
-rect 11819 101284 11853 101296
-rect 12373 101284 12407 101296
-rect 40797 100532 40798 100556
-rect 40878 100532 40879 100556
-rect 41351 100532 41352 100556
-rect 41432 100532 41433 100556
-rect 41905 100532 41906 100556
-rect 41986 100532 41987 100556
-rect 42459 100532 42460 100556
-rect 42540 100532 42541 100556
-rect 43013 100532 43014 100556
-rect 43094 100532 43095 100556
-rect 43567 100532 43568 100556
-rect 43648 100532 43649 100556
-rect 44121 100532 44122 100556
-rect 44202 100532 44203 100556
-rect 44675 100532 44676 100556
-rect 44756 100532 44757 100556
-rect 45229 100532 45230 100556
-rect 45310 100532 45311 100556
-rect 45783 100532 45784 100556
-rect 45864 100532 45865 100556
-rect 46337 100532 46338 100556
-rect 46418 100532 46419 100556
-rect 46891 100532 46892 100556
-rect 46972 100532 46973 100556
-rect 47445 100532 47446 100556
-rect 47526 100532 47527 100556
-rect 47999 100532 48000 100556
-rect 48080 100532 48081 100556
-rect 48553 100532 48554 100556
-rect 48634 100532 48635 100556
-rect 49107 100532 49108 100556
-rect 49188 100532 49189 100556
-rect 49661 100532 49662 100556
-rect 49742 100532 49743 100556
-rect 50215 100532 50216 100556
-rect 50296 100532 50297 100556
-rect 50769 100532 50770 100556
-rect 50850 100532 50851 100556
-rect 51323 100532 51324 100556
-rect 51404 100532 51405 100556
-rect 40821 100508 40855 100520
-rect 41375 100508 41409 100520
-rect 41929 100508 41963 100520
-rect 42483 100508 42517 100520
-rect 43037 100508 43071 100520
-rect 43591 100508 43625 100520
-rect 44145 100508 44179 100520
-rect 44699 100508 44733 100520
-rect 45253 100508 45287 100520
-rect 45807 100508 45841 100520
-rect 46361 100508 46395 100520
-rect 46915 100508 46949 100520
-rect 47469 100508 47503 100520
-rect 48023 100508 48057 100520
-rect 48577 100508 48611 100520
-rect 49131 100508 49165 100520
-rect 49685 100508 49719 100520
-rect 50239 100508 50273 100520
-rect 50793 100508 50827 100520
-rect 51347 100508 51381 100520
-rect 59624 100364 59696 104364
-rect 59895 100397 60013 104331
-rect 60284 100364 60344 104364
-rect 60544 100364 60616 104364
-rect 60815 100397 60933 104331
-rect 61204 100364 61264 104364
-rect 61464 100364 61536 104364
-rect 61735 100397 61853 104331
-rect 62124 100364 62184 104364
-rect 62384 100364 62456 104364
-rect 62655 100397 62773 104331
-rect 63044 100364 63104 104364
-rect 63304 100364 63376 104364
-rect 63575 100397 63693 104331
-rect 63964 100364 64024 104364
-rect 64224 100364 64296 104364
-rect 64495 100397 64613 104331
-rect 64884 100364 64944 104364
-rect 65144 100364 65216 104364
-rect 65415 100397 65533 104331
-rect 65804 100364 65864 104364
-rect 66064 100364 66136 104364
-rect 66335 100397 66453 104331
-rect 66724 100364 66784 104364
-rect 66984 100364 67056 104364
-rect 67255 100397 67373 104331
-rect 67644 100364 67704 104364
-rect 67904 100364 67976 104364
-rect 68175 100397 68293 104331
-rect 68564 100364 68624 104364
-rect 68824 100364 68896 104364
-rect 69095 100397 69213 104331
-rect 69484 100364 69544 104364
-rect 80176 101334 80248 105334
-rect 80447 101367 80565 105301
-rect 80836 101334 80896 105334
-rect 81096 101334 81168 105334
-rect 81367 101367 81485 105301
-rect 81756 101334 81816 105334
-rect 82016 101334 82088 105334
-rect 82287 101367 82405 105301
-rect 82676 101334 82736 105334
-rect 82936 101334 83008 105334
-rect 83207 101367 83325 105301
-rect 83596 101334 83656 105334
-rect 83856 101334 83928 105334
-rect 84127 101367 84245 105301
-rect 84516 101334 84576 105334
-rect 84776 101334 84848 105334
-rect 85047 101367 85165 105301
-rect 85436 101334 85496 105334
-rect 85696 101334 85768 105334
-rect 85967 101367 86085 105301
-rect 86356 101334 86416 105334
-rect 86616 101334 86688 105334
-rect 86887 101367 87005 105301
-rect 87276 101334 87336 105334
-rect 87536 101334 87608 105334
-rect 87807 101367 87925 105301
-rect 88196 101334 88256 105334
-rect 88456 101334 88528 105334
-rect 88727 101367 88845 105301
-rect 89116 101334 89176 105334
-rect 89376 101334 89448 105334
-rect 89647 101367 89765 105301
-rect 90036 101334 90096 105334
-rect 130062 105043 130112 105159
-rect 130059 104959 130112 105043
-rect 130232 104959 130360 105159
-rect 130408 104959 130464 105159
-rect 130584 104959 130712 105159
-rect 130760 104959 130816 105159
-rect 130936 104959 131064 105159
-rect 131112 104959 131168 105159
-rect 131288 104959 131416 105159
-rect 131464 105043 131514 105159
-rect 133925 105105 134925 105155
-rect 131464 104959 131517 105043
-rect 130067 104955 130101 104959
-rect 131475 104955 131509 104959
-rect 133925 104949 134925 105077
-rect 133925 104793 134925 104921
-rect 130000 104645 131000 104695
-rect 132192 104645 133192 104695
-rect 133925 104637 134925 104765
-rect 122363 104026 122413 104626
-rect 122513 104026 122563 104626
-rect 122643 104026 122693 104626
-rect 122793 104026 122921 104626
-rect 122949 104026 123077 104626
-rect 123105 104026 123161 104626
-rect 123261 104026 123389 104626
-rect 123417 104026 123545 104626
-rect 123573 104026 123623 104626
-rect 130000 104489 131000 104545
-rect 132192 104489 133192 104545
-rect 133925 104481 134925 104609
-rect 131338 104405 131422 104408
-rect 130000 104333 131000 104389
-rect 131222 104355 131422 104405
-rect 131770 104405 131854 104408
-rect 131770 104400 131970 104405
-rect 131766 104366 131970 104400
-rect 131770 104355 131970 104366
-rect 132192 104333 133192 104389
-rect 133925 104325 134925 104453
-rect 130000 104177 131000 104233
-rect 131222 104179 131422 104307
-rect 131770 104179 131970 104307
-rect 132192 104177 133192 104233
-rect 133925 104169 134925 104297
-rect 130000 104021 131000 104077
-rect 131222 104003 131422 104059
-rect 131770 104003 131970 104059
-rect 132192 104021 133192 104077
-rect 133925 104013 134925 104141
-rect 130000 103871 131000 103921
-rect 130458 103868 130542 103871
-rect 130790 103868 130874 103871
-rect 131222 103827 131422 103955
-rect 131770 103827 131970 103955
-rect 132192 103871 133192 103921
-rect 132318 103868 132402 103871
-rect 132650 103868 132734 103871
-rect 133925 103857 134925 103985
-rect 99739 103696 99740 103720
-rect 99820 103696 99821 103720
-rect 100293 103696 100294 103720
-rect 100374 103696 100375 103720
-rect 100847 103696 100848 103720
-rect 100928 103696 100929 103720
-rect 101401 103696 101402 103720
-rect 101482 103696 101483 103720
-rect 101955 103696 101956 103720
-rect 102036 103696 102037 103720
-rect 102509 103696 102510 103720
-rect 102590 103696 102591 103720
-rect 103063 103696 103064 103720
-rect 103144 103696 103145 103720
-rect 103617 103696 103618 103720
-rect 103698 103696 103699 103720
-rect 104171 103696 104172 103720
-rect 104252 103696 104253 103720
-rect 104725 103696 104726 103720
-rect 104806 103696 104807 103720
-rect 105279 103696 105280 103720
-rect 105360 103696 105361 103720
-rect 105833 103696 105834 103720
-rect 105914 103696 105915 103720
-rect 106387 103696 106388 103720
-rect 106468 103696 106469 103720
-rect 106941 103696 106942 103720
-rect 107022 103696 107023 103720
-rect 107495 103696 107496 103720
-rect 107576 103696 107577 103720
-rect 108049 103696 108050 103720
-rect 108130 103696 108131 103720
-rect 108603 103696 108604 103720
-rect 108684 103696 108685 103720
-rect 109157 103696 109158 103720
-rect 109238 103696 109239 103720
-rect 109711 103696 109712 103720
-rect 109792 103696 109793 103720
-rect 110265 103696 110266 103720
-rect 110346 103696 110347 103720
-rect 99763 103672 99797 103684
-rect 100317 103672 100351 103684
-rect 100871 103672 100905 103684
-rect 101425 103672 101459 103684
-rect 101979 103672 102013 103684
-rect 102533 103672 102567 103684
-rect 103087 103672 103121 103684
-rect 103641 103672 103675 103684
-rect 104195 103672 104229 103684
-rect 104749 103672 104783 103684
-rect 105303 103672 105337 103684
-rect 105857 103672 105891 103684
-rect 106411 103672 106445 103684
-rect 106965 103672 106999 103684
-rect 107519 103672 107553 103684
-rect 108073 103672 108107 103684
-rect 108627 103672 108661 103684
-rect 109181 103672 109215 103684
-rect 109735 103672 109769 103684
-rect 110289 103672 110323 103684
-rect 125664 103634 125698 103668
-rect 125733 103634 125767 103668
-rect 125802 103634 125836 103668
-rect 125871 103634 125905 103668
-rect 125940 103634 125974 103668
-rect 126009 103634 126043 103668
-rect 126078 103634 126112 103668
-rect 126147 103634 126181 103668
-rect 126216 103634 126250 103668
-rect 126285 103634 126319 103668
-rect 126354 103634 126388 103668
-rect 126423 103634 126457 103668
-rect 126492 103634 126526 103668
-rect 126561 103634 126595 103668
-rect 126630 103634 126664 103668
-rect 126699 103634 126733 103668
-rect 126768 103634 126802 103668
-rect 126837 103634 126871 103668
-rect 126906 103634 126940 103668
-rect 126975 103634 127009 103668
-rect 127044 103634 127078 103668
-rect 127113 103634 127147 103668
-rect 127182 103634 127216 103668
-rect 127251 103634 127285 103668
-rect 127320 103634 127354 103668
-rect 127389 103634 127423 103668
-rect 127458 103634 127492 103668
-rect 127527 103634 127561 103668
-rect 127596 103634 127630 103668
-rect 127665 103634 127699 103668
-rect 127734 103635 127763 103668
-rect 131222 103657 131422 103707
-rect 131770 103657 131970 103707
-rect 133925 103701 134925 103829
-rect 127734 103634 127797 103635
-rect 125664 103610 125688 103634
-rect 133925 103545 134925 103673
-rect 133925 103389 134925 103517
-rect 133925 103233 134925 103361
-rect 133925 103077 134925 103205
-rect 133925 102927 134925 102977
-rect 128376 102515 128400 102539
-rect 128436 102515 128460 102539
-rect 130751 102515 130775 102539
-rect 130810 102515 130834 102539
-rect 127858 102481 128306 102515
-rect 128412 102491 128424 102515
-rect 130786 102491 130799 102515
-rect 130904 102481 131352 102515
-rect 128414 102427 128448 102437
-rect 128390 102416 128448 102427
-rect 126030 102403 128448 102416
-rect 130796 102416 130830 102437
-rect 131423 102427 131457 102437
-rect 131399 102416 131457 102427
-rect 130796 102403 131457 102416
-rect 126030 102395 128414 102403
-rect 130796 102395 131423 102403
-rect 133133 102402 133157 102426
-rect 126030 102386 131613 102395
-rect 133062 102386 133133 102392
-rect 126030 102365 131583 102386
-rect 133109 102378 133133 102386
-rect 99739 101696 99740 101720
-rect 99820 101696 99821 101720
-rect 100293 101696 100294 101720
-rect 100374 101696 100375 101720
-rect 100847 101696 100848 101720
-rect 100928 101696 100929 101720
-rect 101401 101696 101402 101720
-rect 101482 101696 101483 101720
-rect 101955 101696 101956 101720
-rect 102036 101696 102037 101720
-rect 102509 101696 102510 101720
-rect 102590 101696 102591 101720
-rect 103063 101696 103064 101720
-rect 103144 101696 103145 101720
-rect 103617 101696 103618 101720
-rect 103698 101696 103699 101720
-rect 104171 101696 104172 101720
-rect 104252 101696 104253 101720
-rect 104725 101696 104726 101720
-rect 104806 101696 104807 101720
-rect 105279 101696 105280 101720
-rect 105360 101696 105361 101720
-rect 105833 101696 105834 101720
-rect 105914 101696 105915 101720
-rect 106387 101696 106388 101720
-rect 106468 101696 106469 101720
-rect 106941 101696 106942 101720
-rect 107022 101696 107023 101720
-rect 107495 101696 107496 101720
-rect 107576 101696 107577 101720
-rect 108049 101696 108050 101720
-rect 108130 101696 108131 101720
-rect 108603 101696 108604 101720
-rect 108684 101696 108685 101720
-rect 109157 101696 109158 101720
-rect 109238 101696 109239 101720
-rect 109711 101696 109712 101720
-rect 109792 101696 109793 101720
-rect 110265 101696 110266 101720
-rect 110346 101696 110347 101720
-rect 99763 101672 99797 101684
-rect 100317 101672 100351 101684
-rect 100871 101672 100905 101684
-rect 101425 101672 101459 101684
-rect 101979 101672 102013 101684
-rect 102533 101672 102567 101684
-rect 103087 101672 103121 101684
-rect 103641 101672 103675 101684
-rect 104195 101672 104229 101684
-rect 104749 101672 104783 101684
-rect 105303 101672 105337 101684
-rect 105857 101672 105891 101684
-rect 106411 101672 106445 101684
-rect 106965 101672 106999 101684
-rect 107519 101672 107553 101684
-rect 108073 101672 108107 101684
-rect 108627 101672 108661 101684
-rect 109181 101672 109215 101684
-rect 109735 101672 109769 101684
-rect 110289 101672 110323 101684
-rect 121250 101546 121300 102146
-rect 121420 101546 121476 102146
-rect 121596 101546 121646 102146
-rect 119951 101460 119975 101484
-rect 120012 101474 120036 101484
-rect 120012 101470 120046 101474
-rect 120083 101470 120117 101474
-rect 120154 101470 120188 101474
-rect 119990 101460 120212 101470
-rect 119988 101456 119999 101460
-rect 120012 101456 120046 101460
-rect 120083 101456 120117 101460
-rect 120154 101456 120188 101460
-rect 119988 101436 120212 101456
-rect 120012 101416 120036 101436
-rect 121735 101324 121785 102324
-rect 121885 101324 121935 102324
-rect 122044 101324 122094 102324
-rect 122194 101324 122244 102324
-rect 122374 101864 122554 102064
-rect 122719 102049 122779 102064
-rect 122805 102049 122865 102064
-rect 124379 102049 124439 102064
-rect 124465 102049 124525 102064
-rect 122734 101879 122764 102049
-rect 122820 101879 122850 102049
-rect 124394 101879 124424 102049
-rect 124480 101879 124510 102049
-rect 122723 101876 122775 101879
-rect 122809 101876 122861 101879
-rect 124383 101876 124435 101879
-rect 124469 101876 124521 101879
-rect 122719 101864 122779 101876
-rect 122805 101864 122865 101876
-rect 124379 101864 124439 101876
-rect 124465 101864 124525 101876
-rect 124690 101864 124870 102064
-rect 122374 101604 122554 101804
-rect 122719 101789 122779 101804
-rect 122805 101789 122865 101804
-rect 124379 101789 124439 101804
-rect 124465 101789 124525 101804
-rect 122734 101619 122764 101789
-rect 122820 101619 122850 101789
-rect 124394 101619 124424 101789
-rect 124480 101619 124510 101789
-rect 122723 101616 122775 101619
-rect 122809 101616 122861 101619
-rect 124383 101616 124435 101619
-rect 124469 101616 124521 101619
-rect 122719 101604 122779 101616
-rect 122805 101604 122865 101616
-rect 124379 101604 124439 101616
-rect 124465 101604 124525 101616
-rect 124690 101604 124870 101804
-rect 122374 101344 122554 101544
-rect 122719 101529 122779 101544
-rect 122805 101529 122865 101544
-rect 124379 101529 124439 101544
-rect 124465 101529 124525 101544
-rect 122734 101524 122764 101529
-rect 122820 101524 122850 101529
-rect 124394 101524 124424 101529
-rect 124480 101524 124510 101529
-rect 124690 101344 124870 101544
-rect 125000 101324 125050 102324
-rect 125150 101324 125200 102324
-rect 125309 101324 125359 102324
-rect 125459 101324 125509 102324
-rect 126787 102302 132608 102338
-rect 126787 102290 126823 102302
-rect 126873 102290 126907 102302
-rect 126942 102290 126976 102302
-rect 127011 102290 127045 102302
-rect 127080 102290 127114 102302
-rect 127149 102290 127183 102302
-rect 127218 102290 127252 102302
-rect 127287 102290 127321 102302
-rect 127356 102290 127390 102302
-rect 127425 102290 127459 102302
-rect 127494 102290 127528 102302
-rect 127563 102290 127597 102302
-rect 127632 102290 127666 102302
-rect 127701 102290 127735 102302
-rect 127770 102290 127804 102302
-rect 127839 102290 127873 102302
-rect 127908 102290 127942 102302
-rect 127977 102290 128011 102302
-rect 128046 102290 128080 102302
-rect 128115 102290 128149 102302
-rect 128184 102290 128218 102302
-rect 128253 102290 128287 102302
-rect 128322 102290 128356 102302
-rect 128391 102290 128425 102302
-rect 128460 102290 128494 102302
-rect 128529 102290 128563 102302
-rect 128598 102290 128632 102302
-rect 128667 102290 128701 102302
-rect 128736 102290 128770 102302
-rect 128805 102290 128839 102302
-rect 128874 102290 128908 102302
-rect 128943 102290 128977 102302
-rect 129012 102290 129046 102302
-rect 129081 102290 129115 102302
-rect 129150 102290 129184 102302
-rect 129219 102290 129253 102302
-rect 129288 102290 129322 102302
-rect 129357 102290 129391 102302
-rect 129426 102290 129460 102302
-rect 129495 102290 129529 102302
-rect 129564 102290 129598 102302
-rect 129633 102290 129667 102302
-rect 129702 102290 129736 102302
-rect 129771 102290 129805 102302
-rect 129840 102290 129874 102302
-rect 129909 102290 129943 102302
-rect 129978 102290 130012 102302
-rect 130047 102290 130081 102302
-rect 130116 102290 130150 102302
-rect 130185 102290 130219 102302
-rect 130254 102290 130288 102302
-rect 130323 102290 130357 102302
-rect 130392 102290 130426 102302
-rect 130461 102290 130495 102302
-rect 130530 102290 130564 102302
-rect 130599 102290 130633 102302
-rect 130668 102290 130702 102302
-rect 130737 102290 130771 102302
-rect 130806 102290 130840 102302
-rect 130875 102290 130909 102302
-rect 130944 102290 130978 102302
-rect 131013 102290 131047 102302
-rect 131082 102290 131116 102302
-rect 131151 102290 131185 102302
-rect 131220 102290 131254 102302
-rect 131289 102290 131323 102302
-rect 131358 102290 131392 102302
-rect 131426 102290 131460 102302
-rect 131494 102290 131528 102302
-rect 131562 102290 131596 102302
-rect 131630 102290 131664 102302
-rect 131698 102290 131732 102302
-rect 131766 102290 131800 102302
-rect 131834 102290 131868 102302
-rect 131902 102290 131936 102302
-rect 131970 102290 132004 102302
-rect 132038 102290 132072 102302
-rect 132106 102290 132140 102302
-rect 132174 102290 132208 102302
-rect 132242 102290 132276 102302
-rect 132310 102290 132344 102302
-rect 132378 102290 132412 102302
-rect 132446 102290 132480 102302
-rect 132514 102290 132548 102302
-rect 132572 102290 132608 102302
-rect 126787 102254 126839 102290
-rect 125598 101546 125648 102146
-rect 125768 101546 125824 102146
-rect 125944 101546 125994 102146
-rect 126823 101599 126839 102254
-rect 126803 101596 126839 101599
-rect 126849 101596 126885 102290
-rect 126907 102254 126942 102290
-rect 126976 102254 127011 102290
-rect 127045 102254 127080 102290
-rect 127114 102254 127149 102290
-rect 127183 102254 127218 102290
-rect 127252 102254 127287 102290
-rect 127321 102254 127356 102290
-rect 127390 102254 127425 102290
-rect 127459 102254 127494 102290
-rect 127528 102254 127563 102290
-rect 127597 102254 127632 102290
-rect 127666 102254 127701 102290
-rect 127735 102254 127770 102290
-rect 127804 102254 127839 102290
-rect 127873 102254 127908 102290
-rect 127942 102254 127977 102290
-rect 128011 102254 128046 102290
-rect 128080 102254 128115 102290
-rect 128149 102254 128184 102290
-rect 128218 102254 128253 102290
-rect 128287 102254 128322 102290
-rect 128356 102254 128391 102290
-rect 128425 102254 128460 102290
-rect 128494 102254 128529 102290
-rect 128563 102254 128598 102290
-rect 128632 102254 128667 102290
-rect 128701 102254 128736 102290
-rect 128770 102254 128805 102290
-rect 128839 102254 128874 102290
-rect 128908 102254 128943 102290
-rect 128977 102254 129012 102290
-rect 129046 102254 129081 102290
-rect 129115 102254 129150 102290
-rect 129184 102254 129219 102290
-rect 129253 102254 129288 102290
-rect 129322 102254 129357 102290
-rect 129391 102254 129426 102290
-rect 129460 102254 129495 102290
-rect 129529 102254 129564 102290
-rect 129598 102254 129633 102290
-rect 129667 102254 129702 102290
-rect 129736 102254 129771 102290
-rect 129805 102254 129840 102290
-rect 129874 102254 129909 102290
-rect 129943 102254 129978 102290
-rect 130012 102254 130047 102290
-rect 130081 102254 130116 102290
-rect 130150 102254 130185 102290
-rect 130219 102254 130254 102290
-rect 130288 102254 130323 102290
-rect 130357 102254 130392 102290
-rect 130426 102254 130461 102290
-rect 130495 102254 130530 102290
-rect 130564 102254 130599 102290
-rect 130633 102254 130668 102290
-rect 130702 102254 130737 102290
-rect 130771 102254 130806 102290
-rect 130840 102254 130875 102290
-rect 130909 102254 130944 102290
-rect 130978 102254 131013 102290
-rect 131047 102254 131082 102290
-rect 131116 102254 131151 102290
-rect 131185 102254 131220 102290
-rect 131254 102254 131289 102290
-rect 131323 102254 131358 102290
-rect 131392 102254 131426 102290
-rect 131460 102254 131494 102290
-rect 131528 102254 131562 102290
-rect 131596 102254 131630 102290
-rect 131664 102254 131698 102290
-rect 131732 102254 131766 102290
-rect 131800 102254 131834 102290
-rect 131868 102254 131902 102290
-rect 131936 102254 131970 102290
-rect 132004 102254 132038 102290
-rect 132072 102254 132106 102290
-rect 132140 102254 132174 102290
-rect 132208 102254 132242 102290
-rect 132276 102254 132310 102290
-rect 132344 102254 132378 102290
-rect 132412 102254 132446 102290
-rect 132480 102254 132514 102290
-rect 132548 102254 132608 102290
-rect 126803 101560 126885 101596
-rect 126983 101582 127033 102182
-rect 127153 101582 127209 102182
-rect 127329 101582 127379 102182
-rect 127445 101582 127495 102182
-rect 127615 101582 127671 102182
-rect 127791 101582 127919 102182
-rect 127967 101582 128095 102182
-rect 128143 101582 128271 102182
-rect 128319 101582 128369 102182
-rect 128435 101582 128485 102182
-rect 128605 101582 128733 102182
-rect 128781 101582 128837 102182
-rect 128957 101582 129085 102182
-rect 129133 101582 129183 102182
-rect 129249 101582 129299 102182
-rect 129419 101582 129547 102182
-rect 129595 101582 129723 102182
-rect 129771 101582 129899 102182
-rect 129947 101582 130075 102182
-rect 130123 101582 130251 102182
-rect 130299 101582 130355 102182
-rect 130475 101582 130603 102182
-rect 130651 101582 130779 102182
-rect 130827 101582 130955 102182
-rect 131003 101582 131131 102182
-rect 131179 101582 131307 102182
-rect 131355 101582 131411 102182
-rect 131531 101582 131659 102182
-rect 131707 101582 131763 102182
-rect 131883 101582 132011 102182
-rect 132059 101582 132115 102182
-rect 132235 101582 132363 102182
-rect 132411 101582 132461 102182
-rect 133886 101872 134066 102072
-rect 134122 101872 134302 102072
-rect 133886 101737 134066 101774
-rect 134573 101472 134623 102072
-rect 134743 101472 134799 102072
-rect 134919 101472 134975 102072
-rect 135095 101472 135145 102072
-rect 122374 101030 122554 101230
-rect 124690 101030 124870 101230
-rect 120738 100254 120788 100854
-rect 120888 100254 120944 100854
-rect 121044 100254 121094 100854
-rect 121778 100770 121958 100970
-rect 122014 100770 122194 100970
-rect 122374 100770 122554 100970
-rect 122719 100955 122779 100970
-rect 122805 100955 122865 100970
-rect 124379 100955 124439 100970
-rect 124465 100955 124525 100970
-rect 122734 100785 122764 100955
-rect 122820 100785 122850 100955
-rect 122975 100836 123063 100872
-rect 122975 100832 123011 100836
-rect 123027 100832 123063 100836
-rect 123011 100798 123063 100832
-rect 122723 100782 122775 100785
-rect 122809 100782 122861 100785
-rect 122719 100770 122779 100782
-rect 122805 100770 122865 100782
-rect 122975 100764 123011 100798
-rect 123027 100764 123063 100798
-rect 123011 100730 123063 100764
-rect 121778 100510 121958 100710
-rect 122014 100510 122194 100710
-rect 122374 100510 122554 100710
-rect 122719 100695 122779 100710
-rect 122805 100695 122865 100710
-rect 122975 100696 123011 100730
-rect 123027 100696 123063 100730
-rect 122734 100525 122764 100695
-rect 122820 100525 122850 100695
-rect 123011 100662 123063 100696
-rect 122975 100628 123011 100662
-rect 123027 100628 123063 100662
-rect 123011 100594 123063 100628
-rect 122975 100560 123011 100594
-rect 123027 100560 123063 100594
-rect 123011 100526 123063 100560
-rect 122723 100522 122775 100525
-rect 122809 100522 122861 100525
-rect 122719 100510 122779 100522
-rect 122805 100510 122865 100522
-rect 122975 100494 123011 100526
-rect 123027 100520 123063 100526
-rect 122374 100250 122554 100450
-rect 122719 100435 122779 100450
-rect 122805 100435 122865 100450
-rect 122734 100396 122764 100435
-rect 122820 100396 122850 100435
-rect 122977 100256 123011 100494
-rect 123015 100484 123063 100520
-rect 124179 100836 124269 100872
-rect 124179 100520 124215 100836
-rect 124233 100520 124269 100836
-rect 124394 100785 124424 100955
-rect 124480 100785 124510 100955
-rect 124383 100782 124435 100785
-rect 124469 100782 124521 100785
-rect 124379 100770 124439 100782
-rect 124465 100770 124525 100782
-rect 124690 100770 124870 100970
-rect 125050 100770 125230 100970
-rect 125286 100770 125466 100970
-rect 124379 100695 124439 100710
-rect 124465 100695 124525 100710
-rect 124394 100525 124424 100695
-rect 124480 100525 124510 100695
-rect 124383 100522 124435 100525
-rect 124469 100522 124521 100525
-rect 124179 100484 124269 100520
-rect 124379 100510 124439 100522
-rect 124465 100510 124525 100522
-rect 124690 100510 124870 100710
-rect 125050 100510 125230 100710
-rect 125286 100510 125466 100710
-rect 123015 100256 123051 100484
-rect 124235 100256 124267 100484
-rect 124379 100435 124439 100450
-rect 124465 100435 124525 100450
-rect 124394 100396 124424 100435
-rect 124480 100396 124510 100435
-rect 122975 100220 123051 100256
-rect 124690 100250 124870 100450
-rect 126150 100254 126200 100854
-rect 126300 100254 126356 100854
-rect 126456 100254 126506 100854
-rect 127003 100268 127053 101268
-rect 127153 100268 127209 101268
-rect 127309 100268 127359 101268
-rect 127445 100268 127495 101268
-rect 127595 100268 127651 101268
-rect 127751 100268 127807 101268
-rect 127907 100268 127963 101268
-rect 128063 100268 128113 101268
-rect 128179 100268 128229 101268
-rect 128329 100268 128457 101268
-rect 128485 100268 128613 101268
-rect 128641 100268 128769 101268
-rect 128797 100268 128925 101268
-rect 128953 100268 129081 101268
-rect 129109 100268 129165 101268
-rect 129265 100268 129393 101268
-rect 129421 100268 129549 101268
-rect 129577 100268 129705 101268
-rect 129733 100268 129861 101268
-rect 129889 100268 130017 101268
-rect 130045 100268 130101 101268
-rect 130221 100268 130349 101268
-rect 130397 100268 130525 101268
-rect 130573 100268 130701 101268
-rect 130749 100268 130877 101268
-rect 130925 100268 131053 101268
-rect 131101 100268 131229 101268
-rect 131277 100268 131405 101268
-rect 131453 100268 131503 101268
-rect 131569 100268 131619 101268
-rect 131719 100268 131847 101268
-rect 131875 100268 132003 101268
-rect 132031 100268 132159 101268
-rect 132187 100268 132243 101268
-rect 132343 100268 132471 101268
-rect 132499 100268 132627 101268
-rect 132655 100268 132783 101268
-rect 132811 100268 132861 101268
-rect 133452 100709 133505 100859
-rect 133455 100612 133505 100709
-rect 133452 100390 133505 100612
-rect 133455 100259 133505 100390
-rect 133625 100259 133681 100859
-rect 133801 100259 133851 100859
-rect 134227 100264 134277 100864
-rect 134397 100264 134447 100864
-rect 1823 99308 1824 99332
-rect 1904 99308 1905 99332
-rect 2377 99308 2378 99332
-rect 2458 99308 2459 99332
-rect 2931 99308 2932 99332
-rect 3012 99308 3013 99332
-rect 3485 99308 3486 99332
-rect 3566 99308 3567 99332
-rect 4039 99308 4040 99332
-rect 4120 99308 4121 99332
-rect 4593 99308 4594 99332
-rect 4674 99308 4675 99332
-rect 5147 99308 5148 99332
-rect 5228 99308 5229 99332
-rect 5701 99308 5702 99332
-rect 5782 99308 5783 99332
-rect 6255 99308 6256 99332
-rect 6336 99308 6337 99332
-rect 6809 99308 6810 99332
-rect 6890 99308 6891 99332
-rect 7363 99308 7364 99332
-rect 7444 99308 7445 99332
-rect 7917 99308 7918 99332
-rect 7998 99308 7999 99332
-rect 8471 99308 8472 99332
-rect 8552 99308 8553 99332
-rect 9025 99308 9026 99332
-rect 9106 99308 9107 99332
-rect 9579 99308 9580 99332
-rect 9660 99308 9661 99332
-rect 10133 99308 10134 99332
-rect 10214 99308 10215 99332
-rect 10687 99308 10688 99332
-rect 10768 99308 10769 99332
-rect 11241 99308 11242 99332
-rect 11322 99308 11323 99332
-rect 11795 99308 11796 99332
-rect 11876 99308 11877 99332
-rect 12349 99308 12350 99332
-rect 12430 99308 12431 99332
-rect 1847 99284 1881 99296
-rect 2401 99284 2435 99296
-rect 2955 99284 2989 99296
-rect 3509 99284 3543 99296
-rect 4063 99284 4097 99296
-rect 4617 99284 4651 99296
-rect 5171 99284 5205 99296
-rect 5725 99284 5759 99296
-rect 6279 99284 6313 99296
-rect 6833 99284 6867 99296
-rect 7387 99284 7421 99296
-rect 7941 99284 7975 99296
-rect 8495 99284 8529 99296
-rect 9049 99284 9083 99296
-rect 9603 99284 9637 99296
-rect 10157 99284 10191 99296
-rect 10711 99284 10745 99296
-rect 11265 99284 11299 99296
-rect 11819 99284 11853 99296
-rect 12373 99284 12407 99296
-rect 20904 98024 20954 99424
-rect 21054 98024 21182 99424
-rect 21210 98024 21338 99424
-rect 21366 98024 21494 99424
-rect 21522 98024 21650 99424
-rect 21678 98024 21806 99424
-rect 21834 98024 21962 99424
-rect 21990 98024 22118 99424
-rect 22146 98024 22274 99424
-rect 22302 98024 22430 99424
-rect 22458 98024 22586 99424
-rect 22614 98024 22742 99424
-rect 22770 98024 22898 99424
-rect 22926 98024 23054 99424
-rect 23082 98024 23210 99424
-rect 23238 98024 23366 99424
-rect 23394 98024 23522 99424
-rect 23550 98024 23678 99424
-rect 23706 98024 23834 99424
-rect 23862 98024 23990 99424
-rect 24018 98024 24146 99424
-rect 24174 98024 24302 99424
-rect 24330 98024 24458 99424
-rect 24486 98024 24614 99424
-rect 24642 98024 24770 99424
-rect 24798 98024 24926 99424
-rect 24954 98024 25082 99424
-rect 25110 98024 25238 99424
-rect 25266 98024 25394 99424
-rect 25422 98024 25550 99424
-rect 25578 98024 25706 99424
-rect 25734 98024 25862 99424
-rect 25890 98024 26018 99424
-rect 26046 98024 26174 99424
-rect 26202 98024 26330 99424
-rect 26358 98024 26486 99424
-rect 26514 98024 26642 99424
-rect 26670 98024 26798 99424
-rect 26826 98024 26954 99424
-rect 26982 98024 27110 99424
-rect 27138 98024 27266 99424
-rect 27294 98024 27422 99424
-rect 27450 98024 27578 99424
-rect 27606 98024 27734 99424
-rect 27762 98024 27890 99424
-rect 27918 98024 28046 99424
-rect 28074 98024 28202 99424
-rect 28230 98024 28358 99424
-rect 28386 98024 28514 99424
-rect 28542 98024 28670 99424
-rect 28698 98024 28748 99424
-rect 30619 98580 30669 99980
-rect 30769 98580 30897 99980
-rect 30925 98580 31053 99980
-rect 31081 98580 31209 99980
-rect 31237 98580 31365 99980
-rect 31393 98580 31521 99980
-rect 31549 98580 31677 99980
-rect 31705 98580 31833 99980
-rect 31861 98580 31989 99980
-rect 32017 98580 32145 99980
-rect 32173 98580 32301 99980
-rect 32329 98580 32457 99980
-rect 32485 98580 32613 99980
-rect 32641 98580 32769 99980
-rect 32797 98580 32925 99980
-rect 32953 98580 33003 99980
-rect 40797 98532 40798 98556
-rect 40878 98532 40879 98556
-rect 41351 98532 41352 98556
-rect 41432 98532 41433 98556
-rect 41905 98532 41906 98556
-rect 41986 98532 41987 98556
-rect 42459 98532 42460 98556
-rect 42540 98532 42541 98556
-rect 43013 98532 43014 98556
-rect 43094 98532 43095 98556
-rect 43567 98532 43568 98556
-rect 43648 98532 43649 98556
-rect 44121 98532 44122 98556
-rect 44202 98532 44203 98556
-rect 44675 98532 44676 98556
-rect 44756 98532 44757 98556
-rect 45229 98532 45230 98556
-rect 45310 98532 45311 98556
-rect 45783 98532 45784 98556
-rect 45864 98532 45865 98556
-rect 46337 98532 46338 98556
-rect 46418 98532 46419 98556
-rect 46891 98532 46892 98556
-rect 46972 98532 46973 98556
-rect 47445 98532 47446 98556
-rect 47526 98532 47527 98556
-rect 47999 98532 48000 98556
-rect 48080 98532 48081 98556
-rect 48553 98532 48554 98556
-rect 48634 98532 48635 98556
-rect 49107 98532 49108 98556
-rect 49188 98532 49189 98556
-rect 49661 98532 49662 98556
-rect 49742 98532 49743 98556
-rect 50215 98532 50216 98556
-rect 50296 98532 50297 98556
-rect 50769 98532 50770 98556
-rect 50850 98532 50851 98556
-rect 51323 98532 51324 98556
-rect 51404 98532 51405 98556
-rect 40821 98508 40855 98520
-rect 41375 98508 41409 98520
-rect 41929 98508 41963 98520
-rect 42483 98508 42517 98520
-rect 43037 98508 43071 98520
-rect 43591 98508 43625 98520
-rect 44145 98508 44179 98520
-rect 44699 98508 44733 98520
-rect 45253 98508 45287 98520
-rect 45807 98508 45841 98520
-rect 46361 98508 46395 98520
-rect 46915 98508 46949 98520
-rect 47469 98508 47503 98520
-rect 48023 98508 48057 98520
-rect 48577 98508 48611 98520
-rect 49131 98508 49165 98520
-rect 49685 98508 49719 98520
-rect 50239 98508 50273 98520
-rect 50793 98508 50827 98520
-rect 51347 98508 51381 98520
-rect 1823 97308 1824 97332
-rect 1904 97308 1905 97332
-rect 2377 97308 2378 97332
-rect 2458 97308 2459 97332
-rect 2931 97308 2932 97332
-rect 3012 97308 3013 97332
-rect 3485 97308 3486 97332
-rect 3566 97308 3567 97332
-rect 4039 97308 4040 97332
-rect 4120 97308 4121 97332
-rect 4593 97308 4594 97332
-rect 4674 97308 4675 97332
-rect 5147 97308 5148 97332
-rect 5228 97308 5229 97332
-rect 5701 97308 5702 97332
-rect 5782 97308 5783 97332
-rect 6255 97308 6256 97332
-rect 6336 97308 6337 97332
-rect 6809 97308 6810 97332
-rect 6890 97308 6891 97332
-rect 7363 97308 7364 97332
-rect 7444 97308 7445 97332
-rect 7917 97308 7918 97332
-rect 7998 97308 7999 97332
-rect 8471 97308 8472 97332
-rect 8552 97308 8553 97332
-rect 9025 97308 9026 97332
-rect 9106 97308 9107 97332
-rect 9579 97308 9580 97332
-rect 9660 97308 9661 97332
-rect 10133 97308 10134 97332
-rect 10214 97308 10215 97332
-rect 10687 97308 10688 97332
-rect 10768 97308 10769 97332
-rect 11241 97308 11242 97332
-rect 11322 97308 11323 97332
-rect 11795 97308 11796 97332
-rect 11876 97308 11877 97332
-rect 12349 97308 12350 97332
-rect 12430 97308 12431 97332
-rect 1847 97284 1881 97296
-rect 2401 97284 2435 97296
-rect 2955 97284 2989 97296
-rect 3509 97284 3543 97296
-rect 4063 97284 4097 97296
-rect 4617 97284 4651 97296
-rect 5171 97284 5205 97296
-rect 5725 97284 5759 97296
-rect 6279 97284 6313 97296
-rect 6833 97284 6867 97296
-rect 7387 97284 7421 97296
-rect 7941 97284 7975 97296
-rect 8495 97284 8529 97296
-rect 9049 97284 9083 97296
-rect 9603 97284 9637 97296
-rect 10157 97284 10191 97296
-rect 10711 97284 10745 97296
-rect 11265 97284 11299 97296
-rect 11819 97284 11853 97296
-rect 12373 97284 12407 97296
-rect 31199 96493 31249 97493
-rect 32049 96493 32099 97493
-rect 32351 96493 32401 97493
-rect 33201 96493 33251 97493
-rect 57744 96888 57794 98288
-rect 57894 96888 58022 98288
-rect 58050 96888 58178 98288
-rect 58206 96888 58334 98288
-rect 58362 96888 58490 98288
-rect 58518 96888 58646 98288
-rect 58674 96888 58802 98288
-rect 58830 96888 58958 98288
-rect 58986 96888 59114 98288
-rect 59142 96888 59270 98288
-rect 59298 96888 59426 98288
-rect 59454 96888 59582 98288
-rect 59610 96888 59738 98288
-rect 59766 96888 59894 98288
-rect 59922 96888 60050 98288
-rect 60078 96888 60206 98288
-rect 60234 96888 60362 98288
-rect 60390 96888 60518 98288
-rect 60546 96888 60674 98288
-rect 60702 96888 60830 98288
-rect 60858 96888 60986 98288
-rect 61014 96888 61142 98288
-rect 61170 96888 61298 98288
-rect 61326 96888 61454 98288
-rect 61482 96888 61610 98288
-rect 61638 96888 61766 98288
-rect 61794 96888 61922 98288
-rect 61950 96888 62078 98288
-rect 62106 96888 62234 98288
-rect 62262 96888 62390 98288
-rect 62418 96888 62546 98288
-rect 62574 96888 62702 98288
-rect 62730 96888 62858 98288
-rect 62886 96888 63014 98288
-rect 63042 96888 63170 98288
-rect 63198 96888 63326 98288
-rect 63354 96888 63482 98288
-rect 63510 96888 63638 98288
-rect 63666 96888 63794 98288
-rect 63822 96888 63950 98288
-rect 63978 96888 64106 98288
-rect 64134 96888 64262 98288
-rect 64290 96888 64418 98288
-rect 64446 96888 64574 98288
-rect 64602 96888 64730 98288
-rect 64758 96888 64886 98288
-rect 64914 96888 65042 98288
-rect 65070 96888 65198 98288
-rect 65226 96888 65354 98288
-rect 65382 96888 65510 98288
-rect 65538 96888 65588 98288
-rect 67459 97444 67509 98844
-rect 67609 97444 67737 98844
-rect 67765 97444 67893 98844
-rect 67921 97444 68049 98844
-rect 68077 97444 68205 98844
-rect 68233 97444 68361 98844
-rect 68389 97444 68517 98844
-rect 68545 97444 68673 98844
-rect 68701 97444 68829 98844
-rect 68857 97444 68985 98844
-rect 69013 97444 69141 98844
-rect 69169 97444 69297 98844
-rect 69325 97444 69453 98844
-rect 69481 97444 69609 98844
-rect 69637 97444 69765 98844
-rect 69793 97444 69843 98844
-rect 78296 97858 78346 99258
-rect 78446 97858 78574 99258
-rect 78602 97858 78730 99258
-rect 78758 97858 78886 99258
-rect 78914 97858 79042 99258
-rect 79070 97858 79198 99258
-rect 79226 97858 79354 99258
-rect 79382 97858 79510 99258
-rect 79538 97858 79666 99258
-rect 79694 97858 79822 99258
-rect 79850 97858 79978 99258
-rect 80006 97858 80134 99258
-rect 80162 97858 80290 99258
-rect 80318 97858 80446 99258
-rect 80474 97858 80602 99258
-rect 80630 97858 80758 99258
-rect 80786 97858 80914 99258
-rect 80942 97858 81070 99258
-rect 81098 97858 81226 99258
-rect 81254 97858 81382 99258
-rect 81410 97858 81538 99258
-rect 81566 97858 81694 99258
-rect 81722 97858 81850 99258
-rect 81878 97858 82006 99258
-rect 82034 97858 82162 99258
-rect 82190 97858 82318 99258
-rect 82346 97858 82474 99258
-rect 82502 97858 82630 99258
-rect 82658 97858 82786 99258
-rect 82814 97858 82942 99258
-rect 82970 97858 83098 99258
-rect 83126 97858 83254 99258
-rect 83282 97858 83410 99258
-rect 83438 97858 83566 99258
-rect 83594 97858 83722 99258
-rect 83750 97858 83878 99258
-rect 83906 97858 84034 99258
-rect 84062 97858 84190 99258
-rect 84218 97858 84346 99258
-rect 84374 97858 84502 99258
-rect 84530 97858 84658 99258
-rect 84686 97858 84814 99258
-rect 84842 97858 84970 99258
-rect 84998 97858 85126 99258
-rect 85154 97858 85282 99258
-rect 85310 97858 85438 99258
-rect 85466 97858 85594 99258
-rect 85622 97858 85750 99258
-rect 85778 97858 85906 99258
-rect 85934 97858 86062 99258
-rect 86090 97858 86140 99258
-rect 88011 98414 88061 99814
-rect 88161 98414 88289 99814
-rect 88317 98414 88445 99814
-rect 88473 98414 88601 99814
-rect 88629 98414 88757 99814
-rect 88785 98414 88913 99814
-rect 88941 98414 89069 99814
-rect 89097 98414 89225 99814
-rect 89253 98414 89381 99814
-rect 89409 98414 89537 99814
-rect 89565 98414 89693 99814
-rect 89721 98414 89849 99814
-rect 89877 98414 90005 99814
-rect 90033 98414 90161 99814
-rect 90189 98414 90317 99814
-rect 90345 98414 90395 99814
-rect 99739 99696 99740 99720
-rect 99820 99696 99821 99720
-rect 100293 99696 100294 99720
-rect 100374 99696 100375 99720
-rect 100847 99696 100848 99720
-rect 100928 99696 100929 99720
-rect 101401 99696 101402 99720
-rect 101482 99696 101483 99720
-rect 101955 99696 101956 99720
-rect 102036 99696 102037 99720
-rect 102509 99696 102510 99720
-rect 102590 99696 102591 99720
-rect 103063 99696 103064 99720
-rect 103144 99696 103145 99720
-rect 103617 99696 103618 99720
-rect 103698 99696 103699 99720
-rect 104171 99696 104172 99720
-rect 104252 99696 104253 99720
-rect 104725 99696 104726 99720
-rect 104806 99696 104807 99720
-rect 105279 99696 105280 99720
-rect 105360 99696 105361 99720
-rect 105833 99696 105834 99720
-rect 105914 99696 105915 99720
-rect 106387 99696 106388 99720
-rect 106468 99696 106469 99720
-rect 106941 99696 106942 99720
-rect 107022 99696 107023 99720
-rect 107495 99696 107496 99720
-rect 107576 99696 107577 99720
-rect 108049 99696 108050 99720
-rect 108130 99696 108131 99720
-rect 108603 99696 108604 99720
-rect 108684 99696 108685 99720
-rect 109157 99696 109158 99720
-rect 109238 99696 109239 99720
-rect 109711 99696 109712 99720
-rect 109792 99696 109793 99720
-rect 110265 99696 110266 99720
-rect 110346 99696 110347 99720
-rect 99763 99672 99797 99684
-rect 100317 99672 100351 99684
-rect 100871 99672 100905 99684
-rect 101425 99672 101459 99684
-rect 101979 99672 102013 99684
-rect 102533 99672 102567 99684
-rect 103087 99672 103121 99684
-rect 103641 99672 103675 99684
-rect 104195 99672 104229 99684
-rect 104749 99672 104783 99684
-rect 105303 99672 105337 99684
-rect 105857 99672 105891 99684
-rect 106411 99672 106445 99684
-rect 106965 99672 106999 99684
-rect 107519 99672 107553 99684
-rect 108073 99672 108107 99684
-rect 108627 99672 108661 99684
-rect 109181 99672 109215 99684
-rect 109735 99672 109769 99684
-rect 110289 99672 110323 99684
-rect 119455 99282 119463 99507
-rect 119532 98425 119582 99025
-rect 119682 98425 119732 99025
-rect 120588 98787 120638 99387
-rect 120738 98787 120794 99387
-rect 120894 98787 120944 99387
-rect 121116 98721 121166 99721
-rect 121266 98721 121322 99721
-rect 121422 98721 121472 99721
-rect 121538 98721 121588 99721
-rect 121688 98721 121816 99721
-rect 121844 98721 121972 99721
-rect 122000 98721 122128 99721
-rect 122156 98721 122212 99721
-rect 122312 98721 122440 99721
-rect 122468 98721 122596 99721
-rect 122624 98721 122752 99721
-rect 122780 98721 122830 99721
-rect 122982 98721 123032 99721
-rect 123132 98721 123260 99721
-rect 123288 98721 123416 99721
-rect 123444 98721 123572 99721
-rect 123600 98721 123728 99721
-rect 123756 98721 123884 99721
-rect 123912 98721 124040 99721
-rect 124068 98721 124196 99721
-rect 124224 98721 124274 99721
-rect 124426 98771 124476 99771
-rect 124576 98771 124704 99771
-rect 124732 98771 124860 99771
-rect 124888 98771 125016 99771
-rect 125044 98771 125172 99771
-rect 125200 98771 125328 99771
-rect 125356 98771 125484 99771
-rect 125512 98771 125640 99771
-rect 125668 98771 125718 99771
-rect 125870 98721 125920 99721
-rect 126020 98721 126148 99721
-rect 126176 98721 126304 99721
-rect 126332 98721 126460 99721
-rect 126488 98721 126616 99721
-rect 126644 98721 126772 99721
-rect 126800 98721 126928 99721
-rect 126956 98721 127084 99721
-rect 127112 98721 127168 99721
-rect 127268 98721 127318 99721
-rect 127470 99121 127520 99721
-rect 127904 99121 127954 99721
-rect 129147 99236 129197 99836
-rect 129297 99236 129425 99836
-rect 129453 99236 129581 99836
-rect 129609 99236 129659 99836
-rect 129739 99236 129789 99836
-rect 129889 99236 130017 99836
-rect 130045 99236 130101 99836
-rect 130201 99236 130329 99836
-rect 130357 99236 130407 99836
-rect 130487 99236 130537 99836
-rect 130637 99236 130693 99836
-rect 130793 99236 130843 99836
-rect 129772 98456 129808 99056
-rect 130132 98456 130188 99056
-rect 130322 98456 130372 99056
-rect 126035 98342 126101 98358
-rect 126197 98342 126263 98358
-rect 128605 98288 128680 98298
-rect 128900 98288 128975 98298
-rect 99739 97696 99740 97720
-rect 99820 97696 99821 97720
-rect 100293 97696 100294 97720
-rect 100374 97696 100375 97720
-rect 100847 97696 100848 97720
-rect 100928 97696 100929 97720
-rect 101401 97696 101402 97720
-rect 101482 97696 101483 97720
-rect 101955 97696 101956 97720
-rect 102036 97696 102037 97720
-rect 102509 97696 102510 97720
-rect 102590 97696 102591 97720
-rect 103063 97696 103064 97720
-rect 103144 97696 103145 97720
-rect 103617 97696 103618 97720
-rect 103698 97696 103699 97720
-rect 104171 97696 104172 97720
-rect 104252 97696 104253 97720
-rect 104725 97696 104726 97720
-rect 104806 97696 104807 97720
-rect 105279 97696 105280 97720
-rect 105360 97696 105361 97720
-rect 105833 97696 105834 97720
-rect 105914 97696 105915 97720
-rect 106387 97696 106388 97720
-rect 106468 97696 106469 97720
-rect 106941 97696 106942 97720
-rect 107022 97696 107023 97720
-rect 107495 97696 107496 97720
-rect 107576 97696 107577 97720
-rect 108049 97696 108050 97720
-rect 108130 97696 108131 97720
-rect 108603 97696 108604 97720
-rect 108684 97696 108685 97720
-rect 109157 97696 109158 97720
-rect 109238 97696 109239 97720
-rect 109711 97696 109712 97720
-rect 109792 97696 109793 97720
-rect 110265 97696 110266 97720
-rect 110346 97696 110347 97720
-rect 99763 97672 99797 97684
-rect 100317 97672 100351 97684
-rect 100871 97672 100905 97684
-rect 101425 97672 101459 97684
-rect 101979 97672 102013 97684
-rect 102533 97672 102567 97684
-rect 103087 97672 103121 97684
-rect 103641 97672 103675 97684
-rect 104195 97672 104229 97684
-rect 104749 97672 104783 97684
-rect 105303 97672 105337 97684
-rect 105857 97672 105891 97684
-rect 106411 97672 106445 97684
-rect 106965 97672 106999 97684
-rect 107519 97672 107553 97684
-rect 108073 97672 108107 97684
-rect 108627 97672 108661 97684
-rect 109181 97672 109215 97684
-rect 109735 97672 109769 97684
-rect 110289 97672 110323 97684
-rect 120888 97638 120938 98238
-rect 121038 97638 121094 98238
-rect 121194 97638 121244 98238
-rect 121456 97607 121506 98207
-rect 121606 97607 121734 98207
-rect 121762 97607 121890 98207
-rect 121918 97607 122046 98207
-rect 122074 97607 122124 98207
-rect 122190 97607 122240 98207
-rect 122340 97607 122468 98207
-rect 122496 97607 122624 98207
-rect 122652 97607 122780 98207
-rect 122808 97607 122864 98207
-rect 122964 97607 123092 98207
-rect 123120 97607 123248 98207
-rect 123276 97607 123404 98207
-rect 123432 97607 123488 98207
-rect 123588 97607 123644 98207
-rect 123744 97607 123800 98207
-rect 123900 97607 123950 98207
-rect 124016 97607 124066 98207
-rect 124166 97607 124294 98207
-rect 124322 97607 124378 98207
-rect 124478 97607 124606 98207
-rect 124634 97607 124684 98207
-rect 127617 98115 128617 98165
-rect 128680 98115 128730 98226
-rect 128677 97995 128730 98115
-rect 127617 97945 128617 97995
-rect 40797 96532 40798 96556
-rect 40878 96532 40879 96556
-rect 41351 96532 41352 96556
-rect 41432 96532 41433 96556
-rect 41905 96532 41906 96556
-rect 41986 96532 41987 96556
-rect 42459 96532 42460 96556
-rect 42540 96532 42541 96556
-rect 43013 96532 43014 96556
-rect 43094 96532 43095 96556
-rect 43567 96532 43568 96556
-rect 43648 96532 43649 96556
-rect 44121 96532 44122 96556
-rect 44202 96532 44203 96556
-rect 44675 96532 44676 96556
-rect 44756 96532 44757 96556
-rect 45229 96532 45230 96556
-rect 45310 96532 45311 96556
-rect 45783 96532 45784 96556
-rect 45864 96532 45865 96556
-rect 46337 96532 46338 96556
-rect 46418 96532 46419 96556
-rect 46891 96532 46892 96556
-rect 46972 96532 46973 96556
-rect 47445 96532 47446 96556
-rect 47526 96532 47527 96556
-rect 47999 96532 48000 96556
-rect 48080 96532 48081 96556
-rect 48553 96532 48554 96556
-rect 48634 96532 48635 96556
-rect 49107 96532 49108 96556
-rect 49188 96532 49189 96556
-rect 49661 96532 49662 96556
-rect 49742 96532 49743 96556
-rect 50215 96532 50216 96556
-rect 50296 96532 50297 96556
-rect 50769 96532 50770 96556
-rect 50850 96532 50851 96556
-rect 51323 96532 51324 96556
-rect 51404 96532 51405 96556
-rect 40821 96508 40855 96520
-rect 41375 96508 41409 96520
-rect 41929 96508 41963 96520
-rect 42483 96508 42517 96520
-rect 43037 96508 43071 96520
-rect 43591 96508 43625 96520
-rect 44145 96508 44179 96520
-rect 44699 96508 44733 96520
-rect 45253 96508 45287 96520
-rect 45807 96508 45841 96520
-rect 46361 96508 46395 96520
-rect 46915 96508 46949 96520
-rect 47469 96508 47503 96520
-rect 48023 96508 48057 96520
-rect 48577 96508 48611 96520
-rect 49131 96508 49165 96520
-rect 49685 96508 49719 96520
-rect 50239 96508 50273 96520
-rect 50793 96508 50827 96520
-rect 51347 96508 51381 96520
-rect -820 95764 -754 95780
-rect 6411 95594 6497 95630
-rect 3043 95400 3395 95426
-rect 3867 95400 4219 95426
-rect 4691 95400 5043 95426
-rect 5515 95400 5867 95426
-rect 3069 92401 3070 95400
-rect 3369 92401 3395 95400
-rect 3069 92400 3395 92401
-rect 3893 92401 3894 95400
-rect 4193 92401 4219 95400
-rect 3893 92400 4219 92401
-rect 4717 92401 4718 95400
-rect 5017 92401 5043 95400
-rect 4717 92400 5043 92401
-rect 5541 92401 5542 95400
-rect 5841 92401 5867 95400
-rect 5541 92400 5867 92401
-rect 6411 92206 6447 95594
-rect 6461 92206 6497 95594
-rect 7041 95400 7393 95426
-rect 7865 95400 8217 95426
-rect 8689 95400 9041 95426
-rect 9513 95400 9865 95426
-rect 7067 92401 7068 95400
-rect 7367 92401 7393 95400
-rect 7067 92400 7393 92401
-rect 7891 92401 7892 95400
-rect 8191 92401 8217 95400
-rect 7891 92400 8217 92401
-rect 8715 92401 8716 95400
-rect 9015 92401 9041 95400
-rect 8715 92400 9041 92401
-rect 9539 92401 9540 95400
-rect 9839 92401 9865 95400
-rect 22591 95249 22641 96249
-rect 23441 95249 23491 96249
-rect 23743 95249 23793 96249
-rect 25393 95249 25443 96249
-rect 25695 95249 25745 96249
-rect 27345 95249 27395 96249
-rect 27647 95249 27697 96249
-rect 29297 95249 29347 96249
-rect 29599 95249 29649 96249
-rect 31249 95249 31299 96249
-rect 31551 95249 31601 96249
-rect 33201 95249 33251 96249
-rect 68039 95357 68089 96357
-rect 68889 95357 68939 96357
-rect 69191 95357 69241 96357
-rect 70041 95357 70091 96357
-rect 88591 96327 88641 97327
-rect 89441 96327 89491 97327
-rect 89743 96327 89793 97327
-rect 90593 96327 90643 97327
-rect 127580 97225 127630 97825
-rect 127750 97225 127806 97825
-rect 127926 97225 127976 97825
-rect 128196 97226 128246 97826
-rect 128366 97226 128422 97826
-rect 128542 97226 128592 97826
-rect 128680 97226 128730 97995
-rect 128850 97226 128900 98226
-rect 128963 98115 129563 98165
-rect 128963 98015 128975 98115
-rect 130862 98045 130912 98645
-rect 131032 98045 131088 98645
-rect 131208 98045 131336 98645
-rect 131384 98045 131440 98645
-rect 131560 98045 131616 98645
-rect 131736 98045 131792 98645
-rect 131912 98045 132040 98645
-rect 132088 98045 132138 98645
-rect 128963 97965 129563 98015
-rect 128979 97226 129029 97826
-rect 129149 97226 129205 97826
-rect 129325 97226 129375 97826
-rect 129666 97226 129716 97826
-rect 129836 97226 129964 97826
-rect 130012 97226 130068 97826
-rect 130188 97226 130316 97826
-rect 130364 97226 130414 97826
-rect 130786 97290 130836 97890
-rect 130956 97290 131084 97890
-rect 131132 97290 131260 97890
-rect 131308 97290 131436 97890
-rect 131484 97290 131612 97890
-rect 131660 97290 131716 97890
-rect 131836 97290 131964 97890
-rect 132012 97290 132062 97890
-rect 123463 97102 123513 97183
-rect 119796 96771 119811 96786
-rect 119760 96741 119811 96771
-rect 119796 96726 119811 96741
-rect 120654 96430 120704 97030
-rect 120824 96430 120952 97030
-rect 121000 96430 121056 97030
-rect 121176 96601 121226 97030
-rect 121289 96830 121301 97030
-rect 123460 96830 123513 97102
-rect 121176 96529 121229 96601
-rect 123463 96583 123513 96830
-rect 123613 96583 123663 97183
-rect 123729 96583 123779 97183
-rect 123879 96583 124007 97183
-rect 124035 96583 124163 97183
-rect 124191 96583 124319 97183
-rect 124397 96583 124450 97183
-rect 121176 96430 121226 96529
-rect 121289 96430 121301 96529
-rect 123800 96245 124290 96246
-rect 124400 96183 124450 96583
-rect 124550 96183 124678 97183
-rect 124706 96183 124756 97183
-rect 124957 96998 124967 97032
-rect 124957 96930 124967 96964
-rect 124957 96862 124967 96896
-rect 124957 96794 124967 96828
-rect 124957 96719 124967 96753
-rect 124957 96651 124967 96685
-rect 124957 96583 124967 96617
-rect 124957 96515 124967 96549
-rect 124957 96447 124967 96481
-rect 124957 96379 124967 96413
-rect 124957 96311 124967 96345
-rect 124957 96243 124967 96277
-rect 124957 96175 124967 96209
-rect 97096 96152 97162 96168
-rect 124957 96107 124967 96141
-rect 22591 94005 22641 95005
-rect 23441 94005 23491 95005
-rect 23743 94005 23793 95005
-rect 25393 94005 25443 95005
-rect 25695 94005 25745 95005
-rect 27345 94005 27395 95005
-rect 27647 94005 27697 95005
-rect 29297 94005 29347 95005
-rect 29599 94005 29649 95005
-rect 31249 94005 31299 95005
-rect 31551 94005 31601 95005
-rect 33201 94005 33251 95005
-rect 38154 94988 38220 95004
-rect 45385 94818 45471 94854
-rect 42017 94624 42369 94650
-rect 42841 94624 43193 94650
-rect 43665 94624 44017 94650
-rect 44489 94624 44841 94650
-rect 22591 92761 22641 93761
-rect 23441 92761 23491 93761
-rect 23743 92761 23793 93761
-rect 25393 92761 25443 93761
-rect 25695 92761 25745 93761
-rect 27345 92761 27395 93761
-rect 27647 92761 27697 93761
-rect 29297 92761 29347 93761
-rect 29599 92761 29649 93761
-rect 31249 92761 31299 93761
-rect 31551 92761 31601 93761
-rect 33201 92761 33251 93761
-rect 9539 92400 9865 92401
-rect 6411 92170 6497 92206
-rect 42043 91625 42044 94624
-rect 42343 91625 42369 94624
-rect 42043 91624 42369 91625
-rect 42867 91625 42868 94624
-rect 43167 91625 43193 94624
-rect 42867 91624 43193 91625
-rect 43691 91625 43692 94624
-rect 43991 91625 44017 94624
-rect 43691 91624 44017 91625
-rect 44515 91625 44516 94624
-rect 44815 91625 44841 94624
-rect 44515 91624 44841 91625
-rect 45385 91430 45421 94818
-rect 45435 91430 45471 94818
-rect 46015 94624 46367 94650
-rect 46839 94624 47191 94650
-rect 47663 94624 48015 94650
-rect 48487 94624 48839 94650
-rect 46041 91625 46042 94624
-rect 46341 91625 46367 94624
-rect 46041 91624 46367 91625
-rect 46865 91625 46866 94624
-rect 47165 91625 47191 94624
-rect 46865 91624 47191 91625
-rect 47689 91625 47690 94624
-rect 47989 91625 48015 94624
-rect 47689 91624 48015 91625
-rect 48513 91625 48514 94624
-rect 48813 91625 48839 94624
-rect 59431 94113 59481 95113
-rect 60281 94113 60331 95113
-rect 60583 94113 60633 95113
-rect 62233 94113 62283 95113
-rect 62535 94113 62585 95113
-rect 64185 94113 64235 95113
-rect 64487 94113 64537 95113
-rect 66137 94113 66187 95113
-rect 66439 94113 66489 95113
-rect 68089 94113 68139 95113
-rect 68391 94113 68441 95113
-rect 70041 94113 70091 95113
-rect 79983 95083 80033 96083
-rect 80833 95083 80883 96083
-rect 81135 95083 81185 96083
-rect 82785 95083 82835 96083
-rect 83087 95083 83137 96083
-rect 84737 95083 84787 96083
-rect 85039 95083 85089 96083
-rect 86689 95083 86739 96083
-rect 86991 95083 87041 96083
-rect 88641 95083 88691 96083
-rect 88943 95083 88993 96083
-rect 90593 95083 90643 96083
-rect 125026 96062 125076 97062
-rect 125196 96062 125324 97062
-rect 125372 96062 125500 97062
-rect 125548 96062 125604 97062
-rect 125724 96062 125774 97062
-rect 125873 96942 125939 96958
-rect 126359 96942 126425 96958
-rect 125873 96158 125939 96174
-rect 126035 96158 126101 96174
-rect 126197 96158 126263 96174
-rect 126359 96158 126425 96174
-rect 126524 96062 126574 97062
-rect 126694 96062 126750 97062
-rect 126870 96062 126998 97062
-rect 127046 96062 127174 97062
-rect 127222 96062 127272 97062
-rect 127365 96998 127375 97032
-rect 127365 96930 127375 96964
-rect 127365 96862 127375 96896
-rect 127365 96794 127375 96828
-rect 127365 96719 127375 96753
-rect 127365 96651 127375 96685
-rect 127365 96583 127375 96617
-rect 127365 96515 127375 96549
-rect 127365 96447 127375 96481
-rect 127365 96379 127375 96413
-rect 127365 96311 127375 96345
-rect 127365 96243 127375 96277
-rect 127365 96175 127375 96209
-rect 127365 96107 127375 96141
-rect 127424 96062 127474 97062
-rect 127594 96062 127722 97062
-rect 127770 96062 127826 97062
-rect 127946 96062 127996 97062
-rect 128162 96462 128212 97062
-rect 128332 96462 128388 97062
-rect 128508 96462 128636 97062
-rect 128684 96462 128734 97062
-rect 128886 96462 128936 97062
-rect 129036 96462 129164 97062
-rect 129192 96462 129248 97062
-rect 129348 96462 129476 97062
-rect 129504 96462 129554 97062
-rect 129758 96462 129808 97062
-rect 129908 96462 130036 97062
-rect 130064 96462 130192 97062
-rect 130220 96462 130276 97062
-rect 130376 96462 130426 97062
-rect 132211 96933 132261 96988
-rect 104327 95982 104413 96018
-rect 100959 95788 101311 95814
-rect 101783 95788 102135 95814
-rect 102607 95788 102959 95814
-rect 103431 95788 103783 95814
-rect 59431 92869 59481 93869
-rect 60281 92869 60331 93869
-rect 60583 92869 60633 93869
-rect 62233 92869 62283 93869
-rect 62535 92869 62585 93869
-rect 64185 92869 64235 93869
-rect 64487 92869 64537 93869
-rect 66137 92869 66187 93869
-rect 66439 92869 66489 93869
-rect 68089 92869 68139 93869
-rect 68391 92869 68441 93869
-rect 70041 92869 70091 93869
-rect 79983 93839 80033 94839
-rect 80833 93839 80883 94839
-rect 81135 93839 81185 94839
-rect 82785 93839 82835 94839
-rect 83087 93839 83137 94839
-rect 84737 93839 84787 94839
-rect 85039 93839 85089 94839
-rect 86689 93839 86739 94839
-rect 86991 93839 87041 94839
-rect 88641 93839 88691 94839
-rect 88943 93839 88993 94839
-rect 90593 93839 90643 94839
-rect 59431 91625 59481 92625
-rect 60281 91625 60331 92625
-rect 60583 91625 60633 92625
-rect 62233 91625 62283 92625
-rect 62535 91625 62585 92625
-rect 64185 91625 64235 92625
-rect 64487 91625 64537 92625
-rect 66137 91625 66187 92625
-rect 66439 91625 66489 92625
-rect 68089 91625 68139 92625
-rect 68391 91625 68441 92625
-rect 70041 91625 70091 92625
-rect 79983 92595 80033 93595
-rect 80833 92595 80883 93595
-rect 81135 92595 81185 93595
-rect 82785 92595 82835 93595
-rect 83087 92595 83137 93595
-rect 84737 92595 84787 93595
-rect 85039 92595 85089 93595
-rect 86689 92595 86739 93595
-rect 86991 92595 87041 93595
-rect 88641 92595 88691 93595
-rect 88943 92595 88993 93595
-rect 90593 92595 90643 93595
-rect 100985 92789 100986 95788
-rect 101285 92789 101311 95788
-rect 100985 92788 101311 92789
-rect 101809 92789 101810 95788
-rect 102109 92789 102135 95788
-rect 101809 92788 102135 92789
-rect 102633 92789 102634 95788
-rect 102933 92789 102959 95788
-rect 102633 92788 102959 92789
-rect 103457 92789 103458 95788
-rect 103757 92789 103783 95788
-rect 103457 92788 103783 92789
-rect 104327 92594 104363 95982
-rect 104377 92594 104413 95982
-rect 104957 95788 105309 95814
-rect 105781 95788 106133 95814
-rect 106605 95788 106957 95814
-rect 107429 95788 107781 95814
-rect 104983 92789 104984 95788
-rect 105283 92789 105309 95788
-rect 104983 92788 105309 92789
-rect 105807 92789 105808 95788
-rect 106107 92789 106133 95788
-rect 105807 92788 106133 92789
-rect 106631 92789 106632 95788
-rect 106931 92789 106957 95788
-rect 106631 92788 106957 92789
-rect 107455 92789 107456 95788
-rect 107755 92789 107781 95788
-rect 119826 95382 119879 95532
-rect 119829 94932 119879 95382
-rect 119999 94932 120055 95532
-rect 120175 94932 120231 95532
-rect 120351 94932 120407 95532
-rect 120527 94932 120577 95532
-rect 120643 94932 120693 95532
-rect 120813 94932 120869 95532
-rect 120989 94932 121045 95532
-rect 121165 94932 121215 95532
-rect 121486 95332 121666 95532
-rect 121722 95332 121902 95532
-rect 123456 95332 123636 95532
-rect 123692 95332 123872 95532
-rect 121722 95197 121902 95234
-rect 123456 95197 123636 95234
-rect 124143 94932 124193 95532
-rect 124313 94932 124369 95532
-rect 124489 94932 124545 95532
-rect 124665 94932 124715 95532
-rect 124797 94932 124847 95532
-rect 124967 94932 125023 95532
-rect 125143 94932 125199 95532
-rect 125319 94932 125369 95532
-rect 125640 95332 125820 95532
-rect 125876 95332 126056 95532
-rect 127610 95332 127790 95532
-rect 127846 95332 128026 95532
-rect 125876 95197 126056 95234
-rect 127610 95197 127790 95234
-rect 128297 94932 128347 95532
-rect 128467 94932 128523 95532
-rect 128643 94932 128699 95532
-rect 128819 94932 128869 95532
-rect 128951 94932 129001 95532
-rect 129121 94932 129177 95532
-rect 129297 94932 129353 95532
-rect 129473 94932 129523 95532
-rect 129794 95332 129974 95532
-rect 130030 95332 130210 95532
-rect 131764 95332 131944 95532
-rect 132000 95332 132180 95532
-rect 130030 95197 130210 95234
-rect 131764 95197 131944 95234
-rect 132451 94932 132501 95532
-rect 132621 94932 132677 95532
-rect 132797 94932 132853 95532
-rect 132973 94932 133023 95532
-rect 133204 94534 133228 94558
-rect 133625 94534 133649 94558
-rect 133228 94510 133252 94525
-rect 133601 94510 133625 94525
-rect 133032 94354 133056 94378
-rect 133057 94330 133080 94354
-rect 119452 93516 119502 94116
-rect 119622 93516 119678 94116
-rect 119798 93516 119848 94116
-rect 121341 93724 121391 94324
-rect 121511 93724 121561 94324
-rect 121937 93719 121987 94319
-rect 122107 93719 122163 94319
-rect 122283 94072 122333 94319
-rect 122396 94169 122408 94319
-rect 123022 94169 123075 94319
-rect 123025 94072 123075 94169
-rect 122283 94000 122336 94072
-rect 122283 93719 122333 94000
-rect 122396 93850 122408 94000
-rect 123022 93850 123075 94072
-rect 123025 93719 123075 93850
-rect 123195 93719 123251 94319
-rect 123371 93719 123421 94319
-rect 123797 93724 123847 94324
-rect 123967 93724 124017 94324
-rect 125495 93724 125545 94324
-rect 125665 93724 125715 94324
-rect 126091 93719 126141 94319
-rect 126261 93719 126317 94319
-rect 126437 94072 126487 94319
-rect 126550 94169 126562 94319
-rect 127176 94169 127229 94319
-rect 127179 94072 127229 94169
-rect 126437 94000 126490 94072
-rect 126437 93719 126487 94000
-rect 126550 93850 126562 94000
-rect 127176 93850 127229 94072
-rect 127179 93719 127229 93850
-rect 127349 93719 127405 94319
-rect 127525 93719 127575 94319
-rect 127951 93724 128001 94324
-rect 128121 93724 128171 94324
-rect 129649 93724 129699 94324
-rect 129819 93724 129869 94324
-rect 130245 93719 130295 94319
-rect 130415 93719 130471 94319
-rect 130591 94072 130641 94319
-rect 130704 94169 130716 94319
-rect 131330 94169 131383 94319
-rect 131333 94072 131383 94169
-rect 130591 94000 130644 94072
-rect 130591 93719 130641 94000
-rect 130704 93850 130716 94000
-rect 131330 93850 131383 94072
-rect 131333 93719 131383 93850
-rect 131503 93719 131559 94319
-rect 131679 93719 131729 94319
-rect 132105 93724 132155 94324
-rect 132275 93724 132325 94324
-rect 133252 94266 133286 94290
-rect 133322 94266 133356 94290
-rect 133392 94266 133426 94290
-rect 133462 94266 133496 94290
-rect 133532 94266 133566 94290
-rect 133602 94266 133625 94290
-rect 133057 94232 133080 94256
-rect 133032 94208 133056 94232
-rect 120880 93397 120914 93421
-rect 120948 93397 120982 93421
-rect 121016 93397 121050 93421
-rect 121084 93397 121118 93421
-rect 121152 93397 121186 93421
-rect 121220 93397 121254 93421
-rect 121288 93397 121322 93421
-rect 121356 93397 121390 93421
-rect 121424 93397 121458 93421
-rect 121492 93397 121526 93421
-rect 121560 93397 121594 93421
-rect 121628 93397 121662 93421
-rect 121696 93397 121730 93421
-rect 121764 93397 121798 93421
-rect 121832 93397 121866 93421
-rect 121900 93397 121934 93421
-rect 121968 93397 122002 93421
-rect 122036 93397 122070 93421
-rect 122104 93397 122138 93421
-rect 122172 93397 122206 93421
-rect 122240 93397 122274 93421
-rect 122308 93397 122342 93421
-rect 122376 93397 122410 93421
-rect 122444 93397 122478 93421
-rect 122512 93397 122546 93421
-rect 122580 93397 122614 93421
-rect 122648 93397 122682 93421
-rect 122716 93397 122750 93421
-rect 122784 93397 122818 93421
-rect 122852 93397 122886 93421
-rect 122920 93397 122954 93421
-rect 122988 93397 123022 93421
-rect 123056 93397 123090 93421
-rect 123124 93397 123158 93421
-rect 123192 93397 123226 93421
-rect 123260 93397 123294 93421
-rect 123328 93397 123362 93421
-rect 123396 93397 123430 93421
-rect 123464 93397 123498 93421
-rect 123532 93397 123566 93421
-rect 123600 93397 123634 93421
-rect 123668 93397 123702 93421
-rect 123736 93397 123770 93421
-rect 123804 93397 123838 93421
-rect 123872 93397 123906 93421
-rect 123940 93397 123974 93421
-rect 124008 93397 124042 93421
-rect 124076 93397 124110 93421
-rect 124144 93397 124178 93421
-rect 124212 93397 124246 93421
-rect 124280 93397 124314 93421
-rect 124348 93397 124382 93421
-rect 124416 93397 124450 93421
-rect 124484 93397 124518 93421
-rect 124552 93397 124586 93421
-rect 124620 93397 124654 93421
-rect 124688 93397 124722 93421
-rect 124756 93397 124790 93421
-rect 124824 93397 124858 93421
-rect 124892 93397 124926 93421
-rect 124960 93397 124994 93421
-rect 125028 93397 125062 93421
-rect 125096 93397 125130 93421
-rect 125164 93397 125198 93421
-rect 125232 93397 125266 93421
-rect 125300 93397 125334 93421
-rect 125368 93397 125402 93421
-rect 125436 93397 125470 93421
-rect 125504 93397 125538 93421
-rect 125572 93397 125606 93421
-rect 125640 93397 125674 93421
-rect 125708 93397 125742 93421
-rect 125776 93397 125810 93421
-rect 125844 93397 125878 93421
-rect 125912 93397 125946 93421
-rect 125980 93397 126014 93421
-rect 126048 93397 126082 93421
-rect 126116 93397 126150 93421
-rect 126184 93397 126218 93421
-rect 126252 93397 126286 93421
-rect 126320 93397 126354 93421
-rect 126388 93397 126422 93421
-rect 126456 93397 126490 93421
-rect 126524 93397 126558 93421
-rect 126592 93397 126626 93421
-rect 126660 93397 126694 93421
-rect 126728 93397 126762 93421
-rect 126796 93397 126830 93421
-rect 126864 93397 126898 93421
-rect 126932 93397 126966 93421
-rect 127000 93397 127034 93421
-rect 127068 93397 127102 93421
-rect 127136 93397 127170 93421
-rect 127204 93397 127238 93421
-rect 127272 93397 127306 93421
-rect 127340 93397 127374 93421
-rect 127408 93397 127442 93421
-rect 127476 93397 127510 93421
-rect 127544 93397 127578 93421
-rect 127612 93397 127646 93421
-rect 127680 93397 127714 93421
-rect 127748 93397 127782 93421
-rect 127816 93397 127850 93421
-rect 127884 93397 127918 93421
-rect 127952 93397 127986 93421
-rect 128020 93397 128054 93421
-rect 128088 93397 128122 93421
-rect 128156 93397 128190 93421
-rect 128224 93397 128258 93421
-rect 128358 93397 128392 93421
-rect 128426 93397 128460 93421
-rect 128494 93397 128528 93421
-rect 128562 93397 128596 93421
-rect 128630 93397 128664 93421
-rect 128698 93397 128732 93421
-rect 128766 93397 128800 93421
-rect 128834 93397 128868 93421
-rect 128902 93397 128936 93421
-rect 128970 93397 129004 93421
-rect 129038 93397 129072 93421
-rect 129106 93397 129140 93421
-rect 129174 93397 129208 93421
-rect 129242 93397 129276 93421
-rect 129310 93397 129344 93421
-rect 129378 93397 129412 93421
-rect 129446 93397 129480 93421
-rect 129514 93397 129548 93421
-rect 129582 93397 129616 93421
-rect 129650 93397 129684 93421
-rect 129718 93397 129752 93421
-rect 129786 93397 129820 93421
-rect 129854 93397 129888 93421
-rect 129922 93397 129956 93421
-rect 129990 93397 130024 93421
-rect 130058 93397 130092 93421
-rect 130126 93397 130160 93421
-rect 130194 93397 130228 93421
-rect 130262 93397 130296 93421
-rect 130330 93397 130364 93421
-rect 130398 93397 130432 93421
-rect 130466 93397 130500 93421
-rect 130534 93397 130568 93421
-rect 130602 93397 130636 93421
-rect 130670 93397 130704 93421
-rect 130738 93397 130772 93421
-rect 130806 93397 130840 93421
-rect 130874 93397 130908 93421
-rect 130942 93397 130976 93421
-rect 131010 93397 131044 93421
-rect 131078 93397 131112 93421
-rect 131146 93397 131180 93421
-rect 131214 93397 131248 93421
-rect 131282 93397 131316 93421
-rect 131350 93397 131384 93421
-rect 131418 93397 131452 93421
-rect 128334 93363 128362 93397
-rect 107455 92788 107781 92789
-rect 104327 92558 104413 92594
-rect 48513 91624 48839 91625
-rect 45385 91394 45471 91430
-rect 1188 85320 1254 85336
-rect 2211 83550 2212 83574
-rect 2292 83550 2293 83574
-rect 2765 83550 2766 83574
-rect 2846 83550 2847 83574
-rect 3319 83550 3320 83574
-rect 3400 83550 3401 83574
-rect 3873 83550 3874 83574
-rect 3954 83550 3955 83574
-rect 4427 83550 4428 83574
-rect 4508 83550 4509 83574
-rect 4981 83550 4982 83574
-rect 5062 83550 5063 83574
-rect 5535 83550 5536 83574
-rect 5616 83550 5617 83574
-rect 6089 83550 6090 83574
-rect 6170 83550 6171 83574
-rect 6643 83550 6644 83574
-rect 6724 83550 6725 83574
-rect 7197 83550 7198 83574
-rect 7278 83550 7279 83574
-rect 7751 83550 7752 83574
-rect 7832 83550 7833 83574
-rect 8305 83550 8306 83574
-rect 8386 83550 8387 83574
-rect 8859 83550 8860 83574
-rect 8940 83550 8941 83574
-rect 9413 83550 9414 83574
-rect 9494 83550 9495 83574
-rect 9967 83550 9968 83574
-rect 10048 83550 10049 83574
-rect 10521 83550 10522 83574
-rect 10602 83550 10603 83574
-rect 11075 83550 11076 83574
-rect 11156 83550 11157 83574
-rect 11629 83550 11630 83574
-rect 11710 83550 11711 83574
-rect 12183 83550 12184 83574
-rect 12264 83550 12265 83574
-rect 12737 83550 12738 83574
-rect 12818 83550 12819 83574
-rect 2235 83526 2269 83538
-rect 2789 83526 2823 83538
-rect 3343 83526 3377 83538
-rect 3897 83526 3931 83538
-rect 4451 83526 4485 83538
-rect 5005 83526 5039 83538
-rect 5559 83526 5593 83538
-rect 6113 83526 6147 83538
-rect 6667 83526 6701 83538
-rect 7221 83526 7255 83538
-rect 7775 83526 7809 83538
-rect 8329 83526 8363 83538
-rect 8883 83526 8917 83538
-rect 9437 83526 9471 83538
-rect 9991 83526 10025 83538
-rect 10545 83526 10579 83538
-rect 11099 83526 11133 83538
-rect 11653 83526 11687 83538
-rect 12207 83526 12241 83538
-rect 12761 83526 12795 83538
-rect 21232 83370 21304 85370
-rect 21503 83403 21621 85297
-rect 21892 83370 21952 85370
-rect 22152 83370 22224 85370
-rect 22423 83403 22541 85297
-rect 22812 83370 22872 85370
-rect 23072 83370 23144 85370
-rect 23343 83403 23461 85297
-rect 23732 83370 23792 85370
-rect 23992 83370 24064 85370
-rect 24263 83403 24381 85297
-rect 24652 83370 24712 85370
-rect 24912 83370 24984 85370
-rect 25183 83403 25301 85297
-rect 25572 83370 25632 85370
-rect 25832 83370 25904 85370
-rect 26103 83403 26221 85297
-rect 26492 83370 26552 85370
-rect 26752 83370 26824 85370
-rect 27023 83403 27141 85297
-rect 27412 83370 27472 85370
-rect 27672 83370 27744 85370
-rect 27943 83403 28061 85297
-rect 28332 83370 28392 85370
-rect 28592 83370 28664 85370
-rect 28863 83403 28981 85297
-rect 29252 83370 29312 85370
-rect 29512 83370 29584 85370
-rect 29783 83403 29901 85297
-rect 30172 83370 30232 85370
-rect 30432 83370 30504 85370
-rect 30703 83403 30821 85297
-rect 31092 83370 31152 85370
-rect 41010 83564 41082 85564
-rect 41281 83597 41399 85491
-rect 41670 83564 41730 85564
-rect 41930 83564 42002 85564
-rect 42201 83597 42319 85491
-rect 42590 83564 42650 85564
-rect 42850 83564 42922 85564
-rect 43121 83597 43239 85491
-rect 43510 83564 43570 85564
-rect 43770 83564 43842 85564
-rect 44041 83597 44159 85491
-rect 44430 83564 44490 85564
-rect 44690 83564 44762 85564
-rect 44961 83597 45079 85491
-rect 45350 83564 45410 85564
-rect 45610 83564 45682 85564
-rect 45881 83597 45999 85491
-rect 46270 83564 46330 85564
-rect 46530 83564 46602 85564
-rect 46801 83597 46919 85491
-rect 47190 83564 47250 85564
-rect 47450 83564 47522 85564
-rect 47721 83597 47839 85491
-rect 48110 83564 48170 85564
-rect 48370 83564 48442 85564
-rect 48641 83597 48759 85491
-rect 49030 83564 49090 85564
-rect 49290 83564 49362 85564
-rect 49561 83597 49679 85491
-rect 49950 83564 50010 85564
-rect 50210 83564 50282 85564
-rect 50481 83597 50599 85491
-rect 50870 83564 50930 85564
-rect 61684 83574 61750 83590
-rect 80686 83380 80752 83396
-rect 2765 81550 2766 81574
-rect 2846 81550 2847 81574
-rect 3319 81550 3320 81574
-rect 3400 81550 3401 81574
-rect 3873 81550 3874 81574
-rect 3954 81550 3955 81574
-rect 4427 81550 4428 81574
-rect 4508 81550 4509 81574
-rect 4981 81550 4982 81574
-rect 5062 81550 5063 81574
-rect 5535 81550 5536 81574
-rect 5616 81550 5617 81574
-rect 6089 81550 6090 81574
-rect 6170 81550 6171 81574
-rect 6643 81550 6644 81574
-rect 6724 81550 6725 81574
-rect 7197 81550 7198 81574
-rect 7278 81550 7279 81574
-rect 7751 81550 7752 81574
-rect 7832 81550 7833 81574
-rect 8305 81550 8306 81574
-rect 8386 81550 8387 81574
-rect 8859 81550 8860 81574
-rect 8940 81550 8941 81574
-rect 9413 81550 9414 81574
-rect 9494 81550 9495 81574
-rect 9967 81550 9968 81574
-rect 10048 81550 10049 81574
-rect 10521 81550 10522 81574
-rect 10602 81550 10603 81574
-rect 11075 81550 11076 81574
-rect 11156 81550 11157 81574
-rect 11629 81550 11630 81574
-rect 11710 81550 11711 81574
-rect 12183 81550 12184 81574
-rect 12264 81550 12265 81574
-rect 12737 81550 12738 81574
-rect 12818 81550 12819 81574
-rect 2789 81526 2823 81538
-rect 3343 81526 3377 81538
-rect 3897 81526 3931 81538
-rect 4451 81526 4485 81538
-rect 5005 81526 5039 81538
-rect 5559 81526 5593 81538
-rect 6113 81526 6147 81538
-rect 6667 81526 6701 81538
-rect 7221 81526 7255 81538
-rect 7775 81526 7809 81538
-rect 8329 81526 8363 81538
-rect 8883 81526 8917 81538
-rect 9437 81526 9471 81538
-rect 9991 81526 10025 81538
-rect 10545 81526 10579 81538
-rect 11099 81526 11133 81538
-rect 11653 81526 11687 81538
-rect 12207 81526 12241 81538
-rect 12761 81526 12795 81538
-rect 2705 79550 2706 79574
-rect 2786 79550 2787 79574
-rect 3541 79550 3542 79574
-rect 3622 79550 3623 79574
-rect 4377 79550 4378 79574
-rect 4458 79550 4459 79574
-rect 5213 79550 5214 79574
-rect 5294 79550 5295 79574
-rect 6049 79550 6050 79574
-rect 6130 79550 6131 79574
-rect 6885 79550 6886 79574
-rect 6966 79550 6967 79574
-rect 7721 79550 7722 79574
-rect 7802 79550 7803 79574
-rect 8557 79550 8558 79574
-rect 8638 79550 8639 79574
-rect 9393 79550 9394 79574
-rect 9474 79550 9475 79574
-rect 10229 79550 10230 79574
-rect 10310 79550 10311 79574
-rect 11065 79550 11066 79574
-rect 11146 79550 11147 79574
-rect 11901 79550 11902 79574
-rect 11982 79550 11983 79574
-rect 12737 79550 12738 79574
-rect 12818 79550 12819 79574
-rect 2729 79526 2763 79538
-rect 3565 79526 3599 79538
-rect 4401 79526 4435 79538
-rect 5237 79526 5271 79538
-rect 6073 79526 6107 79538
-rect 6909 79526 6943 79538
-rect 7745 79526 7779 79538
-rect 8581 79526 8615 79538
-rect 9417 79526 9451 79538
-rect 10253 79526 10287 79538
-rect 11089 79526 11123 79538
-rect 11925 79526 11959 79538
-rect 12761 79526 12795 79538
-rect 21232 78770 21304 82770
-rect 21503 78803 21621 82737
-rect 21892 78770 21952 82770
-rect 22152 78770 22224 82770
-rect 22423 78803 22541 82737
-rect 22812 78770 22872 82770
-rect 23072 78770 23144 82770
-rect 23343 78803 23461 82737
-rect 23732 78770 23792 82770
-rect 23992 78770 24064 82770
-rect 24263 78803 24381 82737
-rect 24652 78770 24712 82770
-rect 24912 78770 24984 82770
-rect 25183 78803 25301 82737
-rect 25572 78770 25632 82770
-rect 25832 78770 25904 82770
-rect 26103 78803 26221 82737
-rect 26492 78770 26552 82770
-rect 26752 78770 26824 82770
-rect 27023 78803 27141 82737
-rect 27412 78770 27472 82770
-rect 27672 78770 27744 82770
-rect 27943 78803 28061 82737
-rect 28332 78770 28392 82770
-rect 28592 78770 28664 82770
-rect 28863 78803 28981 82737
-rect 29252 78770 29312 82770
-rect 29512 78770 29584 82770
-rect 29783 78803 29901 82737
-rect 30172 78770 30232 82770
-rect 30432 78770 30504 82770
-rect 30703 78803 30821 82737
-rect 31092 78770 31152 82770
-rect 41010 78964 41082 82964
-rect 41281 78997 41399 82931
-rect 41670 78964 41730 82964
-rect 41930 78964 42002 82964
-rect 42201 78997 42319 82931
-rect 42590 78964 42650 82964
-rect 42850 78964 42922 82964
-rect 43121 78997 43239 82931
-rect 43510 78964 43570 82964
-rect 43770 78964 43842 82964
-rect 44041 78997 44159 82931
-rect 44430 78964 44490 82964
-rect 44690 78964 44762 82964
-rect 44961 78997 45079 82931
-rect 45350 78964 45410 82964
-rect 45610 78964 45682 82964
-rect 45881 78997 45999 82931
-rect 46270 78964 46330 82964
-rect 46530 78964 46602 82964
-rect 46801 78997 46919 82931
-rect 47190 78964 47250 82964
-rect 47450 78964 47522 82964
-rect 47721 78997 47839 82931
-rect 48110 78964 48170 82964
-rect 48370 78964 48442 82964
-rect 48641 78997 48759 82931
-rect 49030 78964 49090 82964
-rect 49290 78964 49362 82964
-rect 49561 78997 49679 82931
-rect 49950 78964 50010 82964
-rect 50210 78964 50282 82964
-rect 50481 78997 50599 82931
-rect 50870 78964 50930 82964
-rect 62707 81804 62708 81828
-rect 62788 81804 62789 81828
-rect 63261 81804 63262 81828
-rect 63342 81804 63343 81828
-rect 63815 81804 63816 81828
-rect 63896 81804 63897 81828
-rect 64369 81804 64370 81828
-rect 64450 81804 64451 81828
-rect 64923 81804 64924 81828
-rect 65004 81804 65005 81828
-rect 65477 81804 65478 81828
-rect 65558 81804 65559 81828
-rect 66031 81804 66032 81828
-rect 66112 81804 66113 81828
-rect 66585 81804 66586 81828
-rect 66666 81804 66667 81828
-rect 67139 81804 67140 81828
-rect 67220 81804 67221 81828
-rect 67693 81804 67694 81828
-rect 67774 81804 67775 81828
-rect 68247 81804 68248 81828
-rect 68328 81804 68329 81828
-rect 68801 81804 68802 81828
-rect 68882 81804 68883 81828
-rect 69355 81804 69356 81828
-rect 69436 81804 69437 81828
-rect 69909 81804 69910 81828
-rect 69990 81804 69991 81828
-rect 70463 81804 70464 81828
-rect 70544 81804 70545 81828
-rect 71017 81804 71018 81828
-rect 71098 81804 71099 81828
-rect 71571 81804 71572 81828
-rect 71652 81804 71653 81828
-rect 72125 81804 72126 81828
-rect 72206 81804 72207 81828
-rect 72679 81804 72680 81828
-rect 72760 81804 72761 81828
-rect 73233 81804 73234 81828
-rect 73314 81804 73315 81828
-rect 62731 81780 62765 81792
-rect 63285 81780 63319 81792
-rect 63839 81780 63873 81792
-rect 64393 81780 64427 81792
-rect 64947 81780 64981 81792
-rect 65501 81780 65535 81792
-rect 66055 81780 66089 81792
-rect 66609 81780 66643 81792
-rect 67163 81780 67197 81792
-rect 67717 81780 67751 81792
-rect 68271 81780 68305 81792
-rect 68825 81780 68859 81792
-rect 69379 81780 69413 81792
-rect 69933 81780 69967 81792
-rect 70487 81780 70521 81792
-rect 71041 81780 71075 81792
-rect 71595 81780 71629 81792
-rect 72149 81780 72183 81792
-rect 72703 81780 72737 81792
-rect 73257 81780 73291 81792
-rect 81709 81610 81710 81634
-rect 81790 81610 81791 81634
-rect 82263 81610 82264 81634
-rect 82344 81610 82345 81634
-rect 82817 81610 82818 81634
-rect 82898 81610 82899 81634
-rect 83371 81610 83372 81634
-rect 83452 81610 83453 81634
-rect 83925 81610 83926 81634
-rect 84006 81610 84007 81634
-rect 84479 81610 84480 81634
-rect 84560 81610 84561 81634
-rect 85033 81610 85034 81634
-rect 85114 81610 85115 81634
-rect 85587 81610 85588 81634
-rect 85668 81610 85669 81634
-rect 86141 81610 86142 81634
-rect 86222 81610 86223 81634
-rect 86695 81610 86696 81634
-rect 86776 81610 86777 81634
-rect 87249 81610 87250 81634
-rect 87330 81610 87331 81634
-rect 87803 81610 87804 81634
-rect 87884 81610 87885 81634
-rect 88357 81610 88358 81634
-rect 88438 81610 88439 81634
-rect 88911 81610 88912 81634
-rect 88992 81610 88993 81634
-rect 89465 81610 89466 81634
-rect 89546 81610 89547 81634
-rect 90019 81610 90020 81634
-rect 90100 81610 90101 81634
-rect 90573 81610 90574 81634
-rect 90654 81610 90655 81634
-rect 91127 81610 91128 81634
-rect 91208 81610 91209 81634
-rect 91681 81610 91682 81634
-rect 91762 81610 91763 81634
-rect 92235 81610 92236 81634
-rect 92316 81610 92317 81634
-rect 81733 81586 81767 81598
-rect 82287 81586 82321 81598
-rect 82841 81586 82875 81598
-rect 83395 81586 83429 81598
-rect 83949 81586 83983 81598
-rect 84503 81586 84537 81598
-rect 85057 81586 85091 81598
-rect 85611 81586 85645 81598
-rect 86165 81586 86199 81598
-rect 86719 81586 86753 81598
-rect 87273 81586 87307 81598
-rect 87827 81586 87861 81598
-rect 88381 81586 88415 81598
-rect 88935 81586 88969 81598
-rect 89489 81586 89523 81598
-rect 90043 81586 90077 81598
-rect 90597 81586 90631 81598
-rect 91151 81586 91185 81598
-rect 91705 81586 91739 81598
-rect 92259 81586 92293 81598
-rect 103638 81596 103710 83596
-rect 103909 81629 104027 83523
-rect 104298 81596 104358 83596
-rect 104558 81596 104630 83596
-rect 104829 81629 104947 83523
-rect 105218 81596 105278 83596
-rect 105478 81596 105550 83596
-rect 105749 81629 105867 83523
-rect 106138 81596 106198 83596
-rect 106398 81596 106470 83596
-rect 106669 81629 106787 83523
-rect 107058 81596 107118 83596
-rect 107318 81596 107390 83596
-rect 107589 81629 107707 83523
-rect 107978 81596 108038 83596
-rect 108238 81596 108310 83596
-rect 108509 81629 108627 83523
-rect 108898 81596 108958 83596
-rect 109158 81596 109230 83596
-rect 109429 81629 109547 83523
-rect 109818 81596 109878 83596
-rect 110078 81596 110150 83596
-rect 110349 81629 110467 83523
-rect 110738 81596 110798 83596
-rect 110998 81596 111070 83596
-rect 111269 81629 111387 83523
-rect 111658 81596 111718 83596
-rect 111918 81596 111990 83596
-rect 112189 81629 112307 83523
-rect 112578 81596 112638 83596
-rect 112838 81596 112910 83596
-rect 113109 81629 113227 83523
-rect 113498 81596 113558 83596
-rect 63261 79804 63262 79828
-rect 63342 79804 63343 79828
-rect 63815 79804 63816 79828
-rect 63896 79804 63897 79828
-rect 64369 79804 64370 79828
-rect 64450 79804 64451 79828
-rect 64923 79804 64924 79828
-rect 65004 79804 65005 79828
-rect 65477 79804 65478 79828
-rect 65558 79804 65559 79828
-rect 66031 79804 66032 79828
-rect 66112 79804 66113 79828
-rect 66585 79804 66586 79828
-rect 66666 79804 66667 79828
-rect 67139 79804 67140 79828
-rect 67220 79804 67221 79828
-rect 67693 79804 67694 79828
-rect 67774 79804 67775 79828
-rect 68247 79804 68248 79828
-rect 68328 79804 68329 79828
-rect 68801 79804 68802 79828
-rect 68882 79804 68883 79828
-rect 69355 79804 69356 79828
-rect 69436 79804 69437 79828
-rect 69909 79804 69910 79828
-rect 69990 79804 69991 79828
-rect 70463 79804 70464 79828
-rect 70544 79804 70545 79828
-rect 71017 79804 71018 79828
-rect 71098 79804 71099 79828
-rect 71571 79804 71572 79828
-rect 71652 79804 71653 79828
-rect 72125 79804 72126 79828
-rect 72206 79804 72207 79828
-rect 72679 79804 72680 79828
-rect 72760 79804 72761 79828
-rect 73233 79804 73234 79828
-rect 73314 79804 73315 79828
-rect 63285 79780 63319 79792
-rect 63839 79780 63873 79792
-rect 64393 79780 64427 79792
-rect 64947 79780 64981 79792
-rect 65501 79780 65535 79792
-rect 66055 79780 66089 79792
-rect 66609 79780 66643 79792
-rect 67163 79780 67197 79792
-rect 67717 79780 67751 79792
-rect 68271 79780 68305 79792
-rect 68825 79780 68859 79792
-rect 69379 79780 69413 79792
-rect 69933 79780 69967 79792
-rect 70487 79780 70521 79792
-rect 71041 79780 71075 79792
-rect 71595 79780 71629 79792
-rect 72149 79780 72183 79792
-rect 72703 79780 72737 79792
-rect 73257 79780 73291 79792
-rect 82263 79610 82264 79634
-rect 82344 79610 82345 79634
-rect 82817 79610 82818 79634
-rect 82898 79610 82899 79634
-rect 83371 79610 83372 79634
-rect 83452 79610 83453 79634
-rect 83925 79610 83926 79634
-rect 84006 79610 84007 79634
-rect 84479 79610 84480 79634
-rect 84560 79610 84561 79634
-rect 85033 79610 85034 79634
-rect 85114 79610 85115 79634
-rect 85587 79610 85588 79634
-rect 85668 79610 85669 79634
-rect 86141 79610 86142 79634
-rect 86222 79610 86223 79634
-rect 86695 79610 86696 79634
-rect 86776 79610 86777 79634
-rect 87249 79610 87250 79634
-rect 87330 79610 87331 79634
-rect 87803 79610 87804 79634
-rect 87884 79610 87885 79634
-rect 88357 79610 88358 79634
-rect 88438 79610 88439 79634
-rect 88911 79610 88912 79634
-rect 88992 79610 88993 79634
-rect 89465 79610 89466 79634
-rect 89546 79610 89547 79634
-rect 90019 79610 90020 79634
-rect 90100 79610 90101 79634
-rect 90573 79610 90574 79634
-rect 90654 79610 90655 79634
-rect 91127 79610 91128 79634
-rect 91208 79610 91209 79634
-rect 91681 79610 91682 79634
-rect 91762 79610 91763 79634
-rect 92235 79610 92236 79634
-rect 92316 79610 92317 79634
-rect 82287 79586 82321 79598
-rect 82841 79586 82875 79598
-rect 83395 79586 83429 79598
-rect 83949 79586 83983 79598
-rect 84503 79586 84537 79598
-rect 85057 79586 85091 79598
-rect 85611 79586 85645 79598
-rect 86165 79586 86199 79598
-rect 86719 79586 86753 79598
-rect 87273 79586 87307 79598
-rect 87827 79586 87861 79598
-rect 88381 79586 88415 79598
-rect 88935 79586 88969 79598
-rect 89489 79586 89523 79598
-rect 90043 79586 90077 79598
-rect 90597 79586 90631 79598
-rect 91151 79586 91185 79598
-rect 91705 79586 91739 79598
-rect 92259 79586 92293 79598
-rect 2705 77550 2706 77574
-rect 2786 77550 2787 77574
-rect 3541 77550 3542 77574
-rect 3622 77550 3623 77574
-rect 4377 77550 4378 77574
-rect 4458 77550 4459 77574
-rect 5213 77550 5214 77574
-rect 5294 77550 5295 77574
-rect 6049 77550 6050 77574
-rect 6130 77550 6131 77574
-rect 6885 77550 6886 77574
-rect 6966 77550 6967 77574
-rect 7721 77550 7722 77574
-rect 7802 77550 7803 77574
-rect 8557 77550 8558 77574
-rect 8638 77550 8639 77574
-rect 9393 77550 9394 77574
-rect 9474 77550 9475 77574
-rect 10229 77550 10230 77574
-rect 10310 77550 10311 77574
-rect 11065 77550 11066 77574
-rect 11146 77550 11147 77574
-rect 11901 77550 11902 77574
-rect 11982 77550 11983 77574
-rect 12737 77550 12738 77574
-rect 12818 77550 12819 77574
-rect 2729 77526 2763 77538
-rect 3565 77526 3599 77538
-rect 4401 77526 4435 77538
-rect 5237 77526 5271 77538
-rect 6073 77526 6107 77538
-rect 6909 77526 6943 77538
-rect 7745 77526 7779 77538
-rect 8581 77526 8615 77538
-rect 9417 77526 9451 77538
-rect 10253 77526 10287 77538
-rect 11089 77526 11123 77538
-rect 11925 77526 11959 77538
-rect 12761 77526 12795 77538
-rect 2705 75550 2706 75574
-rect 2786 75550 2787 75574
-rect 3541 75550 3542 75574
-rect 3622 75550 3623 75574
-rect 4377 75550 4378 75574
-rect 4458 75550 4459 75574
-rect 5213 75550 5214 75574
-rect 5294 75550 5295 75574
-rect 6049 75550 6050 75574
-rect 6130 75550 6131 75574
-rect 6885 75550 6886 75574
-rect 6966 75550 6967 75574
-rect 7721 75550 7722 75574
-rect 7802 75550 7803 75574
-rect 8557 75550 8558 75574
-rect 8638 75550 8639 75574
-rect 9393 75550 9394 75574
-rect 9474 75550 9475 75574
-rect 10229 75550 10230 75574
-rect 10310 75550 10311 75574
-rect 11065 75550 11066 75574
-rect 11146 75550 11147 75574
-rect 11901 75550 11902 75574
-rect 11982 75550 11983 75574
-rect 12737 75550 12738 75574
-rect 12818 75550 12819 75574
-rect 2729 75526 2763 75538
-rect 3565 75526 3599 75538
-rect 4401 75526 4435 75538
-rect 5237 75526 5271 75538
-rect 6073 75526 6107 75538
-rect 6909 75526 6943 75538
-rect 7745 75526 7779 75538
-rect 8581 75526 8615 75538
-rect 9417 75526 9451 75538
-rect 10253 75526 10287 75538
-rect 11089 75526 11123 75538
-rect 11925 75526 11959 75538
-rect 12761 75526 12795 75538
-rect 21232 74170 21304 78170
-rect 21503 74203 21621 78137
-rect 21892 74170 21952 78170
-rect 22152 74170 22224 78170
-rect 22423 74203 22541 78137
-rect 22812 74170 22872 78170
-rect 23072 74170 23144 78170
-rect 23343 74203 23461 78137
-rect 23732 74170 23792 78170
-rect 23992 74170 24064 78170
-rect 24263 74203 24381 78137
-rect 24652 74170 24712 78170
-rect 24912 74170 24984 78170
-rect 25183 74203 25301 78137
-rect 25572 74170 25632 78170
-rect 25832 74170 25904 78170
-rect 26103 74203 26221 78137
-rect 26492 74170 26552 78170
-rect 26752 74170 26824 78170
-rect 27023 74203 27141 78137
-rect 27412 74170 27472 78170
-rect 27672 74170 27744 78170
-rect 27943 74203 28061 78137
-rect 28332 74170 28392 78170
-rect 28592 74170 28664 78170
-rect 28863 74203 28981 78137
-rect 29252 74170 29312 78170
-rect 29512 74170 29584 78170
-rect 29783 74203 29901 78137
-rect 30172 74170 30232 78170
-rect 30432 74170 30504 78170
-rect 30703 74203 30821 78137
-rect 31092 74170 31152 78170
-rect 41010 74364 41082 78364
-rect 41281 74397 41399 78331
-rect 41670 74364 41730 78364
-rect 41930 74364 42002 78364
-rect 42201 74397 42319 78331
-rect 42590 74364 42650 78364
-rect 42850 74364 42922 78364
-rect 43121 74397 43239 78331
-rect 43510 74364 43570 78364
-rect 43770 74364 43842 78364
-rect 44041 74397 44159 78331
-rect 44430 74364 44490 78364
-rect 44690 74364 44762 78364
-rect 44961 74397 45079 78331
-rect 45350 74364 45410 78364
-rect 45610 74364 45682 78364
-rect 45881 74397 45999 78331
-rect 46270 74364 46330 78364
-rect 46530 74364 46602 78364
-rect 46801 74397 46919 78331
-rect 47190 74364 47250 78364
-rect 47450 74364 47522 78364
-rect 47721 74397 47839 78331
-rect 48110 74364 48170 78364
-rect 48370 74364 48442 78364
-rect 48641 74397 48759 78331
-rect 49030 74364 49090 78364
-rect 49290 74364 49362 78364
-rect 49561 74397 49679 78331
-rect 49950 74364 50010 78364
-rect 50210 74364 50282 78364
-rect 50481 74397 50599 78331
-rect 50870 74364 50930 78364
-rect 63201 77804 63202 77828
-rect 63282 77804 63283 77828
-rect 64037 77804 64038 77828
-rect 64118 77804 64119 77828
-rect 64873 77804 64874 77828
-rect 64954 77804 64955 77828
-rect 65709 77804 65710 77828
-rect 65790 77804 65791 77828
-rect 66545 77804 66546 77828
-rect 66626 77804 66627 77828
-rect 67381 77804 67382 77828
-rect 67462 77804 67463 77828
-rect 68217 77804 68218 77828
-rect 68298 77804 68299 77828
-rect 69053 77804 69054 77828
-rect 69134 77804 69135 77828
-rect 69889 77804 69890 77828
-rect 69970 77804 69971 77828
-rect 70725 77804 70726 77828
-rect 70806 77804 70807 77828
-rect 71561 77804 71562 77828
-rect 71642 77804 71643 77828
-rect 72397 77804 72398 77828
-rect 72478 77804 72479 77828
-rect 73233 77804 73234 77828
-rect 73314 77804 73315 77828
-rect 63225 77780 63259 77792
-rect 64061 77780 64095 77792
-rect 64897 77780 64931 77792
-rect 65733 77780 65767 77792
-rect 66569 77780 66603 77792
-rect 67405 77780 67439 77792
-rect 68241 77780 68275 77792
-rect 69077 77780 69111 77792
-rect 69913 77780 69947 77792
-rect 70749 77780 70783 77792
-rect 71585 77780 71619 77792
-rect 72421 77780 72455 77792
-rect 73257 77780 73291 77792
-rect 82203 77610 82204 77634
-rect 82284 77610 82285 77634
-rect 83039 77610 83040 77634
-rect 83120 77610 83121 77634
-rect 83875 77610 83876 77634
-rect 83956 77610 83957 77634
-rect 84711 77610 84712 77634
-rect 84792 77610 84793 77634
-rect 85547 77610 85548 77634
-rect 85628 77610 85629 77634
-rect 86383 77610 86384 77634
-rect 86464 77610 86465 77634
-rect 87219 77610 87220 77634
-rect 87300 77610 87301 77634
-rect 88055 77610 88056 77634
-rect 88136 77610 88137 77634
-rect 88891 77610 88892 77634
-rect 88972 77610 88973 77634
-rect 89727 77610 89728 77634
-rect 89808 77610 89809 77634
-rect 90563 77610 90564 77634
-rect 90644 77610 90645 77634
-rect 91399 77610 91400 77634
-rect 91480 77610 91481 77634
-rect 92235 77610 92236 77634
-rect 92316 77610 92317 77634
-rect 82227 77586 82261 77598
-rect 83063 77586 83097 77598
-rect 83899 77586 83933 77598
-rect 84735 77586 84769 77598
-rect 85571 77586 85605 77598
-rect 86407 77586 86441 77598
-rect 87243 77586 87277 77598
-rect 88079 77586 88113 77598
-rect 88915 77586 88949 77598
-rect 89751 77586 89785 77598
-rect 90587 77586 90621 77598
-rect 91423 77586 91457 77598
-rect 92259 77586 92293 77598
-rect 103638 76996 103710 80996
-rect 103909 77029 104027 80963
-rect 104298 76996 104358 80996
-rect 104558 76996 104630 80996
-rect 104829 77029 104947 80963
-rect 105218 76996 105278 80996
-rect 105478 76996 105550 80996
-rect 105749 77029 105867 80963
-rect 106138 76996 106198 80996
-rect 106398 76996 106470 80996
-rect 106669 77029 106787 80963
-rect 107058 76996 107118 80996
-rect 107318 76996 107390 80996
-rect 107589 77029 107707 80963
-rect 107978 76996 108038 80996
-rect 108238 76996 108310 80996
-rect 108509 77029 108627 80963
-rect 108898 76996 108958 80996
-rect 109158 76996 109230 80996
-rect 109429 77029 109547 80963
-rect 109818 76996 109878 80996
-rect 110078 76996 110150 80996
-rect 110349 77029 110467 80963
-rect 110738 76996 110798 80996
-rect 110998 76996 111070 80996
-rect 111269 77029 111387 80963
-rect 111658 76996 111718 80996
-rect 111918 76996 111990 80996
-rect 112189 77029 112307 80963
-rect 112578 76996 112638 80996
-rect 112838 76996 112910 80996
-rect 113109 77029 113227 80963
-rect 113498 76996 113558 80996
-rect 63201 75804 63202 75828
-rect 63282 75804 63283 75828
-rect 64037 75804 64038 75828
-rect 64118 75804 64119 75828
-rect 64873 75804 64874 75828
-rect 64954 75804 64955 75828
-rect 65709 75804 65710 75828
-rect 65790 75804 65791 75828
-rect 66545 75804 66546 75828
-rect 66626 75804 66627 75828
-rect 67381 75804 67382 75828
-rect 67462 75804 67463 75828
-rect 68217 75804 68218 75828
-rect 68298 75804 68299 75828
-rect 69053 75804 69054 75828
-rect 69134 75804 69135 75828
-rect 69889 75804 69890 75828
-rect 69970 75804 69971 75828
-rect 70725 75804 70726 75828
-rect 70806 75804 70807 75828
-rect 71561 75804 71562 75828
-rect 71642 75804 71643 75828
-rect 72397 75804 72398 75828
-rect 72478 75804 72479 75828
-rect 73233 75804 73234 75828
-rect 73314 75804 73315 75828
-rect 63225 75780 63259 75792
-rect 64061 75780 64095 75792
-rect 64897 75780 64931 75792
-rect 65733 75780 65767 75792
-rect 66569 75780 66603 75792
-rect 67405 75780 67439 75792
-rect 68241 75780 68275 75792
-rect 69077 75780 69111 75792
-rect 69913 75780 69947 75792
-rect 70749 75780 70783 75792
-rect 71585 75780 71619 75792
-rect 72421 75780 72455 75792
-rect 73257 75780 73291 75792
-rect 82203 75610 82204 75634
-rect 82284 75610 82285 75634
-rect 83039 75610 83040 75634
-rect 83120 75610 83121 75634
-rect 83875 75610 83876 75634
-rect 83956 75610 83957 75634
-rect 84711 75610 84712 75634
-rect 84792 75610 84793 75634
-rect 85547 75610 85548 75634
-rect 85628 75610 85629 75634
-rect 86383 75610 86384 75634
-rect 86464 75610 86465 75634
-rect 87219 75610 87220 75634
-rect 87300 75610 87301 75634
-rect 88055 75610 88056 75634
-rect 88136 75610 88137 75634
-rect 88891 75610 88892 75634
-rect 88972 75610 88973 75634
-rect 89727 75610 89728 75634
-rect 89808 75610 89809 75634
-rect 90563 75610 90564 75634
-rect 90644 75610 90645 75634
-rect 91399 75610 91400 75634
-rect 91480 75610 91481 75634
-rect 92235 75610 92236 75634
-rect 92316 75610 92317 75634
-rect 82227 75586 82261 75598
-rect 83063 75586 83097 75598
-rect 83899 75586 83933 75598
-rect 84735 75586 84769 75598
-rect 85571 75586 85605 75598
-rect 86407 75586 86441 75598
-rect 87243 75586 87277 75598
-rect 88079 75586 88113 75598
-rect 88915 75586 88949 75598
-rect 89751 75586 89785 75598
-rect 90587 75586 90621 75598
-rect 91423 75586 91457 75598
-rect 92259 75586 92293 75598
-rect 63201 73804 63202 73828
-rect 63282 73804 63283 73828
-rect 64037 73804 64038 73828
-rect 64118 73804 64119 73828
-rect 64873 73804 64874 73828
-rect 64954 73804 64955 73828
-rect 65709 73804 65710 73828
-rect 65790 73804 65791 73828
-rect 66545 73804 66546 73828
-rect 66626 73804 66627 73828
-rect 67381 73804 67382 73828
-rect 67462 73804 67463 73828
-rect 68217 73804 68218 73828
-rect 68298 73804 68299 73828
-rect 69053 73804 69054 73828
-rect 69134 73804 69135 73828
-rect 69889 73804 69890 73828
-rect 69970 73804 69971 73828
-rect 70725 73804 70726 73828
-rect 70806 73804 70807 73828
-rect 71561 73804 71562 73828
-rect 71642 73804 71643 73828
-rect 72397 73804 72398 73828
-rect 72478 73804 72479 73828
-rect 73233 73804 73234 73828
-rect 73314 73804 73315 73828
-rect 63225 73780 63259 73792
-rect 64061 73780 64095 73792
-rect 64897 73780 64931 73792
-rect 65733 73780 65767 73792
-rect 66569 73780 66603 73792
-rect 67405 73780 67439 73792
-rect 68241 73780 68275 73792
-rect 69077 73780 69111 73792
-rect 69913 73780 69947 73792
-rect 70749 73780 70783 73792
-rect 71585 73780 71619 73792
-rect 72421 73780 72455 73792
-rect 73257 73780 73291 73792
-rect 4377 73550 4378 73574
-rect 4458 73550 4459 73574
-rect 5213 73550 5214 73574
-rect 5294 73550 5295 73574
-rect 6049 73550 6050 73574
-rect 6130 73550 6131 73574
-rect 6885 73550 6886 73574
-rect 6966 73550 6967 73574
-rect 7721 73550 7722 73574
-rect 7802 73550 7803 73574
-rect 8557 73550 8558 73574
-rect 8638 73550 8639 73574
-rect 9393 73550 9394 73574
-rect 9474 73550 9475 73574
-rect 10229 73550 10230 73574
-rect 10310 73550 10311 73574
-rect 11065 73550 11066 73574
-rect 11146 73550 11147 73574
-rect 11901 73550 11902 73574
-rect 11982 73550 11983 73574
-rect 12737 73550 12738 73574
-rect 12818 73550 12819 73574
-rect 4401 73526 4435 73538
-rect 5237 73526 5271 73538
-rect 6073 73526 6107 73538
-rect 6909 73526 6943 73538
-rect 7745 73526 7779 73538
-rect 8581 73526 8615 73538
-rect 9417 73526 9451 73538
-rect 10253 73526 10287 73538
-rect 11089 73526 11123 73538
-rect 11925 73526 11959 73538
-rect 12761 73526 12795 73538
-rect 4377 71929 4378 71953
-rect 4458 71929 4459 71953
-rect 5213 71929 5214 71953
-rect 5294 71929 5295 71953
-rect 6049 71929 6050 71953
-rect 6130 71929 6131 71953
-rect 6885 71929 6886 71953
-rect 6966 71929 6967 71953
-rect 7721 71929 7722 71953
-rect 7802 71929 7803 71953
-rect 8557 71929 8558 71953
-rect 8638 71929 8639 71953
-rect 9393 71929 9394 71953
-rect 9474 71929 9475 71953
-rect 10229 71929 10230 71953
-rect 10310 71929 10311 71953
-rect 11065 71929 11066 71953
-rect 11146 71929 11147 71953
-rect 11901 71929 11902 71953
-rect 11982 71929 11983 71953
-rect 12737 71929 12738 71953
-rect 12818 71929 12819 71953
-rect 4401 71905 4435 71917
-rect 5237 71905 5271 71917
-rect 6073 71905 6107 71917
-rect 6909 71905 6943 71917
-rect 7745 71905 7779 71917
-rect 8581 71905 8615 71917
-rect 9417 71905 9451 71917
-rect 10253 71905 10287 71917
-rect 11089 71905 11123 71917
-rect 11925 71905 11959 71917
-rect 12761 71905 12795 71917
-rect 23072 69570 23144 73570
-rect 23343 69603 23461 73537
-rect 23732 69570 23792 73570
-rect 23992 69570 24064 73570
-rect 24263 69603 24381 73537
-rect 24652 69570 24712 73570
-rect 24912 69570 24984 73570
-rect 25183 69603 25301 73537
-rect 25572 69570 25632 73570
-rect 25832 69570 25904 73570
-rect 26103 69603 26221 73537
-rect 26492 69570 26552 73570
-rect 26752 69570 26824 73570
-rect 27023 69603 27141 73537
-rect 27412 69570 27472 73570
-rect 27672 69570 27744 73570
-rect 27943 69603 28061 73537
-rect 28332 69570 28392 73570
-rect 28592 69570 28664 73570
-rect 28863 69603 28981 73537
-rect 29252 69570 29312 73570
-rect 29512 69570 29584 73570
-rect 29783 69603 29901 73537
-rect 30172 69570 30232 73570
-rect 30432 69570 30504 73570
-rect 30703 69603 30821 73537
-rect 31092 69570 31152 73570
-rect 42850 69764 42922 73764
-rect 43121 69797 43239 73731
-rect 43510 69764 43570 73764
-rect 43770 69764 43842 73764
-rect 44041 69797 44159 73731
-rect 44430 69764 44490 73764
-rect 44690 69764 44762 73764
-rect 44961 69797 45079 73731
-rect 45350 69764 45410 73764
-rect 45610 69764 45682 73764
-rect 45881 69797 45999 73731
-rect 46270 69764 46330 73764
-rect 46530 69764 46602 73764
-rect 46801 69797 46919 73731
-rect 47190 69764 47250 73764
-rect 47450 69764 47522 73764
-rect 47721 69797 47839 73731
-rect 48110 69764 48170 73764
-rect 48370 69764 48442 73764
-rect 48641 69797 48759 73731
-rect 49030 69764 49090 73764
-rect 49290 69764 49362 73764
-rect 49561 69797 49679 73731
-rect 49950 69764 50010 73764
-rect 50210 69764 50282 73764
-rect 50481 69797 50599 73731
-rect 50870 69764 50930 73764
-rect 82203 73610 82204 73634
-rect 82284 73610 82285 73634
-rect 83039 73610 83040 73634
-rect 83120 73610 83121 73634
-rect 83875 73610 83876 73634
-rect 83956 73610 83957 73634
-rect 84711 73610 84712 73634
-rect 84792 73610 84793 73634
-rect 85547 73610 85548 73634
-rect 85628 73610 85629 73634
-rect 86383 73610 86384 73634
-rect 86464 73610 86465 73634
-rect 87219 73610 87220 73634
-rect 87300 73610 87301 73634
-rect 88055 73610 88056 73634
-rect 88136 73610 88137 73634
-rect 88891 73610 88892 73634
-rect 88972 73610 88973 73634
-rect 89727 73610 89728 73634
-rect 89808 73610 89809 73634
-rect 90563 73610 90564 73634
-rect 90644 73610 90645 73634
-rect 91399 73610 91400 73634
-rect 91480 73610 91481 73634
-rect 92235 73610 92236 73634
-rect 92316 73610 92317 73634
-rect 82227 73586 82261 73598
-rect 83063 73586 83097 73598
-rect 83899 73586 83933 73598
-rect 84735 73586 84769 73598
-rect 85571 73586 85605 73598
-rect 86407 73586 86441 73598
-rect 87243 73586 87277 73598
-rect 88079 73586 88113 73598
-rect 88915 73586 88949 73598
-rect 89751 73586 89785 73598
-rect 90587 73586 90621 73598
-rect 91423 73586 91457 73598
-rect 92259 73586 92293 73598
-rect 103638 72396 103710 76396
-rect 103909 72429 104027 76363
-rect 104298 72396 104358 76396
-rect 104558 72396 104630 76396
-rect 104829 72429 104947 76363
-rect 105218 72396 105278 76396
-rect 105478 72396 105550 76396
-rect 105749 72429 105867 76363
-rect 106138 72396 106198 76396
-rect 106398 72396 106470 76396
-rect 106669 72429 106787 76363
-rect 107058 72396 107118 76396
-rect 107318 72396 107390 76396
-rect 107589 72429 107707 76363
-rect 107978 72396 108038 76396
-rect 108238 72396 108310 76396
-rect 108509 72429 108627 76363
-rect 108898 72396 108958 76396
-rect 109158 72396 109230 76396
-rect 109429 72429 109547 76363
-rect 109818 72396 109878 76396
-rect 110078 72396 110150 76396
-rect 110349 72429 110467 76363
-rect 110738 72396 110798 76396
-rect 110998 72396 111070 76396
-rect 111269 72429 111387 76363
-rect 111658 72396 111718 76396
-rect 111918 72396 111990 76396
-rect 112189 72429 112307 76363
-rect 112578 72396 112638 76396
-rect 112838 72396 112910 76396
-rect 113109 72429 113227 76363
-rect 113498 72396 113558 76396
-rect 64873 71804 64874 71828
-rect 64954 71804 64955 71828
-rect 65709 71804 65710 71828
-rect 65790 71804 65791 71828
-rect 66545 71804 66546 71828
-rect 66626 71804 66627 71828
-rect 67381 71804 67382 71828
-rect 67462 71804 67463 71828
-rect 68217 71804 68218 71828
-rect 68298 71804 68299 71828
-rect 69053 71804 69054 71828
-rect 69134 71804 69135 71828
-rect 69889 71804 69890 71828
-rect 69970 71804 69971 71828
-rect 70725 71804 70726 71828
-rect 70806 71804 70807 71828
-rect 71561 71804 71562 71828
-rect 71642 71804 71643 71828
-rect 72397 71804 72398 71828
-rect 72478 71804 72479 71828
-rect 73233 71804 73234 71828
-rect 73314 71804 73315 71828
-rect 64897 71780 64931 71792
-rect 65733 71780 65767 71792
-rect 66569 71780 66603 71792
-rect 67405 71780 67439 71792
-rect 68241 71780 68275 71792
-rect 69077 71780 69111 71792
-rect 69913 71780 69947 71792
-rect 70749 71780 70783 71792
-rect 71585 71780 71619 71792
-rect 72421 71780 72455 71792
-rect 73257 71780 73291 71792
-rect 83875 71610 83876 71634
-rect 83956 71610 83957 71634
-rect 84711 71610 84712 71634
-rect 84792 71610 84793 71634
-rect 85547 71610 85548 71634
-rect 85628 71610 85629 71634
-rect 86383 71610 86384 71634
-rect 86464 71610 86465 71634
-rect 87219 71610 87220 71634
-rect 87300 71610 87301 71634
-rect 88055 71610 88056 71634
-rect 88136 71610 88137 71634
-rect 88891 71610 88892 71634
-rect 88972 71610 88973 71634
-rect 89727 71610 89728 71634
-rect 89808 71610 89809 71634
-rect 90563 71610 90564 71634
-rect 90644 71610 90645 71634
-rect 91399 71610 91400 71634
-rect 91480 71610 91481 71634
-rect 92235 71610 92236 71634
-rect 92316 71610 92317 71634
-rect 83899 71586 83933 71598
-rect 84735 71586 84769 71598
-rect 85571 71586 85605 71598
-rect 86407 71586 86441 71598
-rect 87243 71586 87277 71598
-rect 88079 71586 88113 71598
-rect 88915 71586 88949 71598
-rect 89751 71586 89785 71598
-rect 90587 71586 90621 71598
-rect 91423 71586 91457 71598
-rect 92259 71586 92293 71598
-rect 64873 70183 64874 70207
-rect 64954 70183 64955 70207
-rect 65709 70183 65710 70207
-rect 65790 70183 65791 70207
-rect 66545 70183 66546 70207
-rect 66626 70183 66627 70207
-rect 67381 70183 67382 70207
-rect 67462 70183 67463 70207
-rect 68217 70183 68218 70207
-rect 68298 70183 68299 70207
-rect 69053 70183 69054 70207
-rect 69134 70183 69135 70207
-rect 69889 70183 69890 70207
-rect 69970 70183 69971 70207
-rect 70725 70183 70726 70207
-rect 70806 70183 70807 70207
-rect 71561 70183 71562 70207
-rect 71642 70183 71643 70207
-rect 72397 70183 72398 70207
-rect 72478 70183 72479 70207
-rect 73233 70183 73234 70207
-rect 73314 70183 73315 70207
-rect 64897 70159 64931 70171
-rect 65733 70159 65767 70171
-rect 66569 70159 66603 70171
-rect 67405 70159 67439 70171
-rect 68241 70159 68275 70171
-rect 69077 70159 69111 70171
-rect 69913 70159 69947 70171
-rect 70749 70159 70783 70171
-rect 71585 70159 71619 70171
-rect 72421 70159 72455 70171
-rect 73257 70159 73291 70171
-rect 83875 69989 83876 70013
-rect 83956 69989 83957 70013
-rect 84711 69989 84712 70013
-rect 84792 69989 84793 70013
-rect 85547 69989 85548 70013
-rect 85628 69989 85629 70013
-rect 86383 69989 86384 70013
-rect 86464 69989 86465 70013
-rect 87219 69989 87220 70013
-rect 87300 69989 87301 70013
-rect 88055 69989 88056 70013
-rect 88136 69989 88137 70013
-rect 88891 69989 88892 70013
-rect 88972 69989 88973 70013
-rect 89727 69989 89728 70013
-rect 89808 69989 89809 70013
-rect 90563 69989 90564 70013
-rect 90644 69989 90645 70013
-rect 91399 69989 91400 70013
-rect 91480 69989 91481 70013
-rect 92235 69989 92236 70013
-rect 92316 69989 92317 70013
-rect 83899 69965 83933 69977
-rect 84735 69965 84769 69977
-rect 85571 69965 85605 69977
-rect 86407 69965 86441 69977
-rect 87243 69965 87277 69977
-rect 88079 69965 88113 69977
-rect 88915 69965 88949 69977
-rect 89751 69965 89785 69977
-rect 90587 69965 90621 69977
-rect 91423 69965 91457 69977
-rect 92259 69965 92293 69977
-rect 23072 64970 23144 68970
-rect 23343 65003 23461 68937
-rect 23732 64970 23792 68970
-rect 23992 64970 24064 68970
-rect 24263 65003 24381 68937
-rect 24652 64970 24712 68970
-rect 24912 64970 24984 68970
-rect 25183 65003 25301 68937
-rect 25572 64970 25632 68970
-rect 25832 64970 25904 68970
-rect 26103 65003 26221 68937
-rect 26492 64970 26552 68970
-rect 26752 64970 26824 68970
-rect 27023 65003 27141 68937
-rect 27412 64970 27472 68970
-rect 27672 64970 27744 68970
-rect 27943 65003 28061 68937
-rect 28332 64970 28392 68970
-rect 28592 64970 28664 68970
-rect 28863 65003 28981 68937
-rect 29252 64970 29312 68970
-rect 29512 64970 29584 68970
-rect 29783 65003 29901 68937
-rect 30172 64970 30232 68970
-rect 30432 64970 30504 68970
-rect 30703 65003 30821 68937
-rect 31092 64970 31152 68970
-rect 42850 65164 42922 69164
-rect 43121 65197 43239 69131
-rect 43510 65164 43570 69164
-rect 43770 65164 43842 69164
-rect 44041 65197 44159 69131
-rect 44430 65164 44490 69164
-rect 44690 65164 44762 69164
-rect 44961 65197 45079 69131
-rect 45350 65164 45410 69164
-rect 45610 65164 45682 69164
-rect 45881 65197 45999 69131
-rect 46270 65164 46330 69164
-rect 46530 65164 46602 69164
-rect 46801 65197 46919 69131
-rect 47190 65164 47250 69164
-rect 47450 65164 47522 69164
-rect 47721 65197 47839 69131
-rect 48110 65164 48170 69164
-rect 48370 65164 48442 69164
-rect 48641 65197 48759 69131
-rect 49030 65164 49090 69164
-rect 49290 65164 49362 69164
-rect 49561 65197 49679 69131
-rect 49950 65164 50010 69164
-rect 50210 65164 50282 69164
-rect 50481 65197 50599 69131
-rect 50870 65164 50930 69164
-rect 105478 67796 105550 71796
-rect 105749 67829 105867 71763
-rect 106138 67796 106198 71796
-rect 106398 67796 106470 71796
-rect 106669 67829 106787 71763
-rect 107058 67796 107118 71796
-rect 107318 67796 107390 71796
-rect 107589 67829 107707 71763
-rect 107978 67796 108038 71796
-rect 108238 67796 108310 71796
-rect 108509 67829 108627 71763
-rect 108898 67796 108958 71796
-rect 109158 67796 109230 71796
-rect 109429 67829 109547 71763
-rect 109818 67796 109878 71796
-rect 110078 67796 110150 71796
-rect 110349 67829 110467 71763
-rect 110738 67796 110798 71796
-rect 110998 67796 111070 71796
-rect 111269 67829 111387 71763
-rect 111658 67796 111718 71796
-rect 111918 67796 111990 71796
-rect 112189 67829 112307 71763
-rect 112578 67796 112638 71796
-rect 112838 67796 112910 71796
-rect 113109 67829 113227 71763
-rect 113498 67796 113558 71796
-rect 23072 60370 23144 64370
-rect 23343 60403 23461 64337
-rect 23732 60370 23792 64370
-rect 23992 60370 24064 64370
-rect 24263 60403 24381 64337
-rect 24652 60370 24712 64370
-rect 24912 60370 24984 64370
-rect 25183 60403 25301 64337
-rect 25572 60370 25632 64370
-rect 25832 60370 25904 64370
-rect 26103 60403 26221 64337
-rect 26492 60370 26552 64370
-rect 26752 60370 26824 64370
-rect 27023 60403 27141 64337
-rect 27412 60370 27472 64370
-rect 27672 60370 27744 64370
-rect 27943 60403 28061 64337
-rect 28332 60370 28392 64370
-rect 28592 60370 28664 64370
-rect 28863 60403 28981 64337
-rect 29252 60370 29312 64370
-rect 29512 60370 29584 64370
-rect 29783 60403 29901 64337
-rect 30172 60370 30232 64370
-rect 30432 60370 30504 64370
-rect 30703 60403 30821 64337
-rect 31092 60370 31152 64370
-rect 42850 60564 42922 64564
-rect 43121 60597 43239 64531
-rect 43510 60564 43570 64564
-rect 43770 60564 43842 64564
-rect 44041 60597 44159 64531
-rect 44430 60564 44490 64564
-rect 44690 60564 44762 64564
-rect 44961 60597 45079 64531
-rect 45350 60564 45410 64564
-rect 45610 60564 45682 64564
-rect 45881 60597 45999 64531
-rect 46270 60564 46330 64564
-rect 46530 60564 46602 64564
-rect 46801 60597 46919 64531
-rect 47190 60564 47250 64564
-rect 47450 60564 47522 64564
-rect 47721 60597 47839 64531
-rect 48110 60564 48170 64564
-rect 48370 60564 48442 64564
-rect 48641 60597 48759 64531
-rect 49030 60564 49090 64564
-rect 49290 60564 49362 64564
-rect 49561 60597 49679 64531
-rect 49950 60564 50010 64564
-rect 50210 60564 50282 64564
-rect 50481 60597 50599 64531
-rect 50870 60564 50930 64564
-rect 105478 63196 105550 67196
-rect 105749 63229 105867 67163
-rect 106138 63196 106198 67196
-rect 106398 63196 106470 67196
-rect 106669 63229 106787 67163
-rect 107058 63196 107118 67196
-rect 107318 63196 107390 67196
-rect 107589 63229 107707 67163
-rect 107978 63196 108038 67196
-rect 108238 63196 108310 67196
-rect 108509 63229 108627 67163
-rect 108898 63196 108958 67196
-rect 109158 63196 109230 67196
-rect 109429 63229 109547 67163
-rect 109818 63196 109878 67196
-rect 110078 63196 110150 67196
-rect 110349 63229 110467 67163
-rect 110738 63196 110798 67196
-rect 110998 63196 111070 67196
-rect 111269 63229 111387 67163
-rect 111658 63196 111718 67196
-rect 111918 63196 111990 67196
-rect 112189 63229 112307 67163
-rect 112578 63196 112638 67196
-rect 112838 63196 112910 67196
-rect 113109 63229 113227 67163
-rect 113498 63196 113558 67196
-rect 2211 59550 2212 59574
-rect 2292 59550 2293 59574
-rect 2765 59550 2766 59574
-rect 2846 59550 2847 59574
-rect 3319 59550 3320 59574
-rect 3400 59550 3401 59574
-rect 3873 59550 3874 59574
-rect 3954 59550 3955 59574
-rect 4427 59550 4428 59574
-rect 4508 59550 4509 59574
-rect 4981 59550 4982 59574
-rect 5062 59550 5063 59574
-rect 5535 59550 5536 59574
-rect 5616 59550 5617 59574
-rect 6089 59550 6090 59574
-rect 6170 59550 6171 59574
-rect 6643 59550 6644 59574
-rect 6724 59550 6725 59574
-rect 7197 59550 7198 59574
-rect 7278 59550 7279 59574
-rect 7751 59550 7752 59574
-rect 7832 59550 7833 59574
-rect 8305 59550 8306 59574
-rect 8386 59550 8387 59574
-rect 8859 59550 8860 59574
-rect 8940 59550 8941 59574
-rect 9413 59550 9414 59574
-rect 9494 59550 9495 59574
-rect 9967 59550 9968 59574
-rect 10048 59550 10049 59574
-rect 10521 59550 10522 59574
-rect 10602 59550 10603 59574
-rect 11075 59550 11076 59574
-rect 11156 59550 11157 59574
-rect 11629 59550 11630 59574
-rect 11710 59550 11711 59574
-rect 12183 59550 12184 59574
-rect 12264 59550 12265 59574
-rect 12737 59550 12738 59574
-rect 12818 59550 12819 59574
-rect 2235 59526 2269 59538
-rect 2789 59526 2823 59538
-rect 3343 59526 3377 59538
-rect 3897 59526 3931 59538
-rect 4451 59526 4485 59538
-rect 5005 59526 5039 59538
-rect 5559 59526 5593 59538
-rect 6113 59526 6147 59538
-rect 6667 59526 6701 59538
-rect 7221 59526 7255 59538
-rect 7775 59526 7809 59538
-rect 8329 59526 8363 59538
-rect 8883 59526 8917 59538
-rect 9437 59526 9471 59538
-rect 9991 59526 10025 59538
-rect 10545 59526 10579 59538
-rect 11099 59526 11133 59538
-rect 11653 59526 11687 59538
-rect 12207 59526 12241 59538
-rect 12761 59526 12795 59538
-rect 2211 57550 2212 57574
-rect 2292 57550 2293 57574
-rect 2765 57550 2766 57574
-rect 2846 57550 2847 57574
-rect 3319 57550 3320 57574
-rect 3400 57550 3401 57574
-rect 3873 57550 3874 57574
-rect 3954 57550 3955 57574
-rect 4427 57550 4428 57574
-rect 4508 57550 4509 57574
-rect 4981 57550 4982 57574
-rect 5062 57550 5063 57574
-rect 5535 57550 5536 57574
-rect 5616 57550 5617 57574
-rect 6089 57550 6090 57574
-rect 6170 57550 6171 57574
-rect 6643 57550 6644 57574
-rect 6724 57550 6725 57574
-rect 7197 57550 7198 57574
-rect 7278 57550 7279 57574
-rect 7751 57550 7752 57574
-rect 7832 57550 7833 57574
-rect 8305 57550 8306 57574
-rect 8386 57550 8387 57574
-rect 8859 57550 8860 57574
-rect 8940 57550 8941 57574
-rect 9413 57550 9414 57574
-rect 9494 57550 9495 57574
-rect 9967 57550 9968 57574
-rect 10048 57550 10049 57574
-rect 10521 57550 10522 57574
-rect 10602 57550 10603 57574
-rect 11075 57550 11076 57574
-rect 11156 57550 11157 57574
-rect 11629 57550 11630 57574
-rect 11710 57550 11711 57574
-rect 12183 57550 12184 57574
-rect 12264 57550 12265 57574
-rect 12737 57550 12738 57574
-rect 12818 57550 12819 57574
-rect 2235 57526 2269 57538
-rect 2789 57526 2823 57538
-rect 3343 57526 3377 57538
-rect 3897 57526 3931 57538
-rect 4451 57526 4485 57538
-rect 5005 57526 5039 57538
-rect 5559 57526 5593 57538
-rect 6113 57526 6147 57538
-rect 6667 57526 6701 57538
-rect 7221 57526 7255 57538
-rect 7775 57526 7809 57538
-rect 8329 57526 8363 57538
-rect 8883 57526 8917 57538
-rect 9437 57526 9471 57538
-rect 9991 57526 10025 57538
-rect 10545 57526 10579 57538
-rect 11099 57526 11133 57538
-rect 11653 57526 11687 57538
-rect 12207 57526 12241 57538
-rect 12761 57526 12795 57538
-rect 21232 55770 21304 59770
-rect 21503 55803 21621 59737
-rect 21892 55770 21952 59770
-rect 22152 55770 22224 59770
-rect 22423 55803 22541 59737
-rect 22812 55770 22872 59770
-rect 23072 55770 23144 59770
-rect 23343 55803 23461 59737
-rect 23732 55770 23792 59770
-rect 23992 55770 24064 59770
-rect 24263 55803 24381 59737
-rect 24652 55770 24712 59770
-rect 24912 55770 24984 59770
-rect 25183 55803 25301 59737
-rect 25572 55770 25632 59770
-rect 25832 55770 25904 59770
-rect 26103 55803 26221 59737
-rect 26492 55770 26552 59770
-rect 26752 55770 26824 59770
-rect 27023 55803 27141 59737
-rect 27412 55770 27472 59770
-rect 27672 55770 27744 59770
-rect 27943 55803 28061 59737
-rect 28332 55770 28392 59770
-rect 28592 55770 28664 59770
-rect 28863 55803 28981 59737
-rect 29252 55770 29312 59770
-rect 29512 55770 29584 59770
-rect 29783 55803 29901 59737
-rect 30172 55770 30232 59770
-rect 30432 55770 30504 59770
-rect 30703 55803 30821 59737
-rect 31092 55770 31152 59770
-rect 41010 55964 41082 59964
-rect 41281 55997 41399 59931
-rect 41670 55964 41730 59964
-rect 41930 55964 42002 59964
-rect 42201 55997 42319 59931
-rect 42590 55964 42650 59964
-rect 42850 55964 42922 59964
-rect 43121 55997 43239 59931
-rect 43510 55964 43570 59964
-rect 43770 55964 43842 59964
-rect 44041 55997 44159 59931
-rect 44430 55964 44490 59964
-rect 44690 55964 44762 59964
-rect 44961 55997 45079 59931
-rect 45350 55964 45410 59964
-rect 45610 55964 45682 59964
-rect 45881 55997 45999 59931
-rect 46270 55964 46330 59964
-rect 46530 55964 46602 59964
-rect 46801 55997 46919 59931
-rect 47190 55964 47250 59964
-rect 47450 55964 47522 59964
-rect 47721 55997 47839 59931
-rect 48110 55964 48170 59964
-rect 48370 55964 48442 59964
-rect 48641 55997 48759 59931
-rect 49030 55964 49090 59964
-rect 49290 55964 49362 59964
-rect 49561 55997 49679 59931
-rect 49950 55964 50010 59964
-rect 50210 55964 50282 59964
-rect 50481 55997 50599 59931
-rect 50870 55964 50930 59964
-rect 105478 58596 105550 62596
-rect 105749 58629 105867 62563
-rect 106138 58596 106198 62596
-rect 106398 58596 106470 62596
-rect 106669 58629 106787 62563
-rect 107058 58596 107118 62596
-rect 107318 58596 107390 62596
-rect 107589 58629 107707 62563
-rect 107978 58596 108038 62596
-rect 108238 58596 108310 62596
-rect 108509 58629 108627 62563
-rect 108898 58596 108958 62596
-rect 109158 58596 109230 62596
-rect 109429 58629 109547 62563
-rect 109818 58596 109878 62596
-rect 110078 58596 110150 62596
-rect 110349 58629 110467 62563
-rect 110738 58596 110798 62596
-rect 110998 58596 111070 62596
-rect 111269 58629 111387 62563
-rect 111658 58596 111718 62596
-rect 111918 58596 111990 62596
-rect 112189 58629 112307 62563
-rect 112578 58596 112638 62596
-rect 112838 58596 112910 62596
-rect 113109 58629 113227 62563
-rect 113498 58596 113558 62596
-rect 62707 57804 62708 57828
-rect 62788 57804 62789 57828
-rect 63261 57804 63262 57828
-rect 63342 57804 63343 57828
-rect 63815 57804 63816 57828
-rect 63896 57804 63897 57828
-rect 64369 57804 64370 57828
-rect 64450 57804 64451 57828
-rect 64923 57804 64924 57828
-rect 65004 57804 65005 57828
-rect 65477 57804 65478 57828
-rect 65558 57804 65559 57828
-rect 66031 57804 66032 57828
-rect 66112 57804 66113 57828
-rect 66585 57804 66586 57828
-rect 66666 57804 66667 57828
-rect 67139 57804 67140 57828
-rect 67220 57804 67221 57828
-rect 67693 57804 67694 57828
-rect 67774 57804 67775 57828
-rect 68247 57804 68248 57828
-rect 68328 57804 68329 57828
-rect 68801 57804 68802 57828
-rect 68882 57804 68883 57828
-rect 69355 57804 69356 57828
-rect 69436 57804 69437 57828
-rect 69909 57804 69910 57828
-rect 69990 57804 69991 57828
-rect 70463 57804 70464 57828
-rect 70544 57804 70545 57828
-rect 71017 57804 71018 57828
-rect 71098 57804 71099 57828
-rect 71571 57804 71572 57828
-rect 71652 57804 71653 57828
-rect 72125 57804 72126 57828
-rect 72206 57804 72207 57828
-rect 72679 57804 72680 57828
-rect 72760 57804 72761 57828
-rect 73233 57804 73234 57828
-rect 73314 57804 73315 57828
-rect 62731 57780 62765 57792
-rect 63285 57780 63319 57792
-rect 63839 57780 63873 57792
-rect 64393 57780 64427 57792
-rect 64947 57780 64981 57792
-rect 65501 57780 65535 57792
-rect 66055 57780 66089 57792
-rect 66609 57780 66643 57792
-rect 67163 57780 67197 57792
-rect 67717 57780 67751 57792
-rect 68271 57780 68305 57792
-rect 68825 57780 68859 57792
-rect 69379 57780 69413 57792
-rect 69933 57780 69967 57792
-rect 70487 57780 70521 57792
-rect 71041 57780 71075 57792
-rect 71595 57780 71629 57792
-rect 72149 57780 72183 57792
-rect 72703 57780 72737 57792
-rect 73257 57780 73291 57792
-rect 81709 57610 81710 57634
-rect 81790 57610 81791 57634
-rect 82263 57610 82264 57634
-rect 82344 57610 82345 57634
-rect 82817 57610 82818 57634
-rect 82898 57610 82899 57634
-rect 83371 57610 83372 57634
-rect 83452 57610 83453 57634
-rect 83925 57610 83926 57634
-rect 84006 57610 84007 57634
-rect 84479 57610 84480 57634
-rect 84560 57610 84561 57634
-rect 85033 57610 85034 57634
-rect 85114 57610 85115 57634
-rect 85587 57610 85588 57634
-rect 85668 57610 85669 57634
-rect 86141 57610 86142 57634
-rect 86222 57610 86223 57634
-rect 86695 57610 86696 57634
-rect 86776 57610 86777 57634
-rect 87249 57610 87250 57634
-rect 87330 57610 87331 57634
-rect 87803 57610 87804 57634
-rect 87884 57610 87885 57634
-rect 88357 57610 88358 57634
-rect 88438 57610 88439 57634
-rect 88911 57610 88912 57634
-rect 88992 57610 88993 57634
-rect 89465 57610 89466 57634
-rect 89546 57610 89547 57634
-rect 90019 57610 90020 57634
-rect 90100 57610 90101 57634
-rect 90573 57610 90574 57634
-rect 90654 57610 90655 57634
-rect 91127 57610 91128 57634
-rect 91208 57610 91209 57634
-rect 91681 57610 91682 57634
-rect 91762 57610 91763 57634
-rect 92235 57610 92236 57634
-rect 92316 57610 92317 57634
-rect 81733 57586 81767 57598
-rect 82287 57586 82321 57598
-rect 82841 57586 82875 57598
-rect 83395 57586 83429 57598
-rect 83949 57586 83983 57598
-rect 84503 57586 84537 57598
-rect 85057 57586 85091 57598
-rect 85611 57586 85645 57598
-rect 86165 57586 86199 57598
-rect 86719 57586 86753 57598
-rect 87273 57586 87307 57598
-rect 87827 57586 87861 57598
-rect 88381 57586 88415 57598
-rect 88935 57586 88969 57598
-rect 89489 57586 89523 57598
-rect 90043 57586 90077 57598
-rect 90597 57586 90631 57598
-rect 91151 57586 91185 57598
-rect 91705 57586 91739 57598
-rect 92259 57586 92293 57598
-rect 62707 55804 62708 55828
-rect 62788 55804 62789 55828
-rect 63261 55804 63262 55828
-rect 63342 55804 63343 55828
-rect 63815 55804 63816 55828
-rect 63896 55804 63897 55828
-rect 64369 55804 64370 55828
-rect 64450 55804 64451 55828
-rect 64923 55804 64924 55828
-rect 65004 55804 65005 55828
-rect 65477 55804 65478 55828
-rect 65558 55804 65559 55828
-rect 66031 55804 66032 55828
-rect 66112 55804 66113 55828
-rect 66585 55804 66586 55828
-rect 66666 55804 66667 55828
-rect 67139 55804 67140 55828
-rect 67220 55804 67221 55828
-rect 67693 55804 67694 55828
-rect 67774 55804 67775 55828
-rect 68247 55804 68248 55828
-rect 68328 55804 68329 55828
-rect 68801 55804 68802 55828
-rect 68882 55804 68883 55828
-rect 69355 55804 69356 55828
-rect 69436 55804 69437 55828
-rect 69909 55804 69910 55828
-rect 69990 55804 69991 55828
-rect 70463 55804 70464 55828
-rect 70544 55804 70545 55828
-rect 71017 55804 71018 55828
-rect 71098 55804 71099 55828
-rect 71571 55804 71572 55828
-rect 71652 55804 71653 55828
-rect 72125 55804 72126 55828
-rect 72206 55804 72207 55828
-rect 72679 55804 72680 55828
-rect 72760 55804 72761 55828
-rect 73233 55804 73234 55828
-rect 73314 55804 73315 55828
-rect 62731 55780 62765 55792
-rect 63285 55780 63319 55792
-rect 63839 55780 63873 55792
-rect 64393 55780 64427 55792
-rect 64947 55780 64981 55792
-rect 65501 55780 65535 55792
-rect 66055 55780 66089 55792
-rect 66609 55780 66643 55792
-rect 67163 55780 67197 55792
-rect 67717 55780 67751 55792
-rect 68271 55780 68305 55792
-rect 68825 55780 68859 55792
-rect 69379 55780 69413 55792
-rect 69933 55780 69967 55792
-rect 70487 55780 70521 55792
-rect 71041 55780 71075 55792
-rect 71595 55780 71629 55792
-rect 72149 55780 72183 55792
-rect 72703 55780 72737 55792
-rect 73257 55780 73291 55792
-rect 81709 55610 81710 55634
-rect 81790 55610 81791 55634
-rect 82263 55610 82264 55634
-rect 82344 55610 82345 55634
-rect 82817 55610 82818 55634
-rect 82898 55610 82899 55634
-rect 83371 55610 83372 55634
-rect 83452 55610 83453 55634
-rect 83925 55610 83926 55634
-rect 84006 55610 84007 55634
-rect 84479 55610 84480 55634
-rect 84560 55610 84561 55634
-rect 85033 55610 85034 55634
-rect 85114 55610 85115 55634
-rect 85587 55610 85588 55634
-rect 85668 55610 85669 55634
-rect 86141 55610 86142 55634
-rect 86222 55610 86223 55634
-rect 86695 55610 86696 55634
-rect 86776 55610 86777 55634
-rect 87249 55610 87250 55634
-rect 87330 55610 87331 55634
-rect 87803 55610 87804 55634
-rect 87884 55610 87885 55634
-rect 88357 55610 88358 55634
-rect 88438 55610 88439 55634
-rect 88911 55610 88912 55634
-rect 88992 55610 88993 55634
-rect 89465 55610 89466 55634
-rect 89546 55610 89547 55634
-rect 90019 55610 90020 55634
-rect 90100 55610 90101 55634
-rect 90573 55610 90574 55634
-rect 90654 55610 90655 55634
-rect 91127 55610 91128 55634
-rect 91208 55610 91209 55634
-rect 91681 55610 91682 55634
-rect 91762 55610 91763 55634
-rect 92235 55610 92236 55634
-rect 92316 55610 92317 55634
-rect 81733 55586 81767 55598
-rect 82287 55586 82321 55598
-rect 82841 55586 82875 55598
-rect 83395 55586 83429 55598
-rect 83949 55586 83983 55598
-rect 84503 55586 84537 55598
-rect 85057 55586 85091 55598
-rect 85611 55586 85645 55598
-rect 86165 55586 86199 55598
-rect 86719 55586 86753 55598
-rect 87273 55586 87307 55598
-rect 87827 55586 87861 55598
-rect 88381 55586 88415 55598
-rect 88935 55586 88969 55598
-rect 89489 55586 89523 55598
-rect 90043 55586 90077 55598
-rect 90597 55586 90631 55598
-rect 91151 55586 91185 55598
-rect 91705 55586 91739 55598
-rect 92259 55586 92293 55598
-rect 2211 55550 2212 55574
-rect 2292 55550 2293 55574
-rect 2765 55550 2766 55574
-rect 2846 55550 2847 55574
-rect 3319 55550 3320 55574
-rect 3400 55550 3401 55574
-rect 3873 55550 3874 55574
-rect 3954 55550 3955 55574
-rect 4427 55550 4428 55574
-rect 4508 55550 4509 55574
-rect 4981 55550 4982 55574
-rect 5062 55550 5063 55574
-rect 5535 55550 5536 55574
-rect 5616 55550 5617 55574
-rect 6089 55550 6090 55574
-rect 6170 55550 6171 55574
-rect 6643 55550 6644 55574
-rect 6724 55550 6725 55574
-rect 7197 55550 7198 55574
-rect 7278 55550 7279 55574
-rect 7751 55550 7752 55574
-rect 7832 55550 7833 55574
-rect 8305 55550 8306 55574
-rect 8386 55550 8387 55574
-rect 8859 55550 8860 55574
-rect 8940 55550 8941 55574
-rect 9413 55550 9414 55574
-rect 9494 55550 9495 55574
-rect 9967 55550 9968 55574
-rect 10048 55550 10049 55574
-rect 10521 55550 10522 55574
-rect 10602 55550 10603 55574
-rect 11075 55550 11076 55574
-rect 11156 55550 11157 55574
-rect 11629 55550 11630 55574
-rect 11710 55550 11711 55574
-rect 12183 55550 12184 55574
-rect 12264 55550 12265 55574
-rect 12737 55550 12738 55574
-rect 12818 55550 12819 55574
-rect 2235 55526 2269 55538
-rect 2789 55526 2823 55538
-rect 3343 55526 3377 55538
-rect 3897 55526 3931 55538
-rect 4451 55526 4485 55538
-rect 5005 55526 5039 55538
-rect 5559 55526 5593 55538
-rect 6113 55526 6147 55538
-rect 6667 55526 6701 55538
-rect 7221 55526 7255 55538
-rect 7775 55526 7809 55538
-rect 8329 55526 8363 55538
-rect 8883 55526 8917 55538
-rect 9437 55526 9471 55538
-rect 9991 55526 10025 55538
-rect 10545 55526 10579 55538
-rect 11099 55526 11133 55538
-rect 11653 55526 11687 55538
-rect 12207 55526 12241 55538
-rect 12761 55526 12795 55538
-rect 2211 53550 2212 53574
-rect 2292 53550 2293 53574
-rect 2765 53550 2766 53574
-rect 2846 53550 2847 53574
-rect 3319 53550 3320 53574
-rect 3400 53550 3401 53574
-rect 3873 53550 3874 53574
-rect 3954 53550 3955 53574
-rect 4427 53550 4428 53574
-rect 4508 53550 4509 53574
-rect 4981 53550 4982 53574
-rect 5062 53550 5063 53574
-rect 5535 53550 5536 53574
-rect 5616 53550 5617 53574
-rect 6089 53550 6090 53574
-rect 6170 53550 6171 53574
-rect 6643 53550 6644 53574
-rect 6724 53550 6725 53574
-rect 7197 53550 7198 53574
-rect 7278 53550 7279 53574
-rect 7751 53550 7752 53574
-rect 7832 53550 7833 53574
-rect 8305 53550 8306 53574
-rect 8386 53550 8387 53574
-rect 8859 53550 8860 53574
-rect 8940 53550 8941 53574
-rect 9413 53550 9414 53574
-rect 9494 53550 9495 53574
-rect 9967 53550 9968 53574
-rect 10048 53550 10049 53574
-rect 10521 53550 10522 53574
-rect 10602 53550 10603 53574
-rect 11075 53550 11076 53574
-rect 11156 53550 11157 53574
-rect 11629 53550 11630 53574
-rect 11710 53550 11711 53574
-rect 12183 53550 12184 53574
-rect 12264 53550 12265 53574
-rect 12737 53550 12738 53574
-rect 12818 53550 12819 53574
-rect 2235 53526 2269 53538
-rect 2789 53526 2823 53538
-rect 3343 53526 3377 53538
-rect 3897 53526 3931 53538
-rect 4451 53526 4485 53538
-rect 5005 53526 5039 53538
-rect 5559 53526 5593 53538
-rect 6113 53526 6147 53538
-rect 6667 53526 6701 53538
-rect 7221 53526 7255 53538
-rect 7775 53526 7809 53538
-rect 8329 53526 8363 53538
-rect 8883 53526 8917 53538
-rect 9437 53526 9471 53538
-rect 9991 53526 10025 53538
-rect 10545 53526 10579 53538
-rect 11099 53526 11133 53538
-rect 11653 53526 11687 53538
-rect 12207 53526 12241 53538
-rect 12761 53526 12795 53538
-rect 19352 52294 19402 53694
-rect 19502 52294 19630 53694
-rect 19658 52294 19786 53694
-rect 19814 52294 19942 53694
-rect 19970 52294 20098 53694
-rect 20126 52294 20254 53694
-rect 20282 52294 20410 53694
-rect 20438 52294 20566 53694
-rect 20594 52294 20722 53694
-rect 20750 52294 20878 53694
-rect 20906 52294 21034 53694
-rect 21062 52294 21190 53694
-rect 21218 52294 21346 53694
-rect 21374 52294 21502 53694
-rect 21530 52294 21658 53694
-rect 21686 52294 21814 53694
-rect 21842 52294 21970 53694
-rect 21998 52294 22126 53694
-rect 22154 52294 22282 53694
-rect 22310 52294 22438 53694
-rect 22466 52294 22594 53694
-rect 22622 52294 22750 53694
-rect 22778 52294 22906 53694
-rect 22934 52294 23062 53694
-rect 23090 52294 23218 53694
-rect 23246 52294 23374 53694
-rect 23402 52294 23530 53694
-rect 23558 52294 23686 53694
-rect 23714 52294 23842 53694
-rect 23870 52294 23998 53694
-rect 24026 52294 24154 53694
-rect 24182 52294 24310 53694
-rect 24338 52294 24466 53694
-rect 24494 52294 24622 53694
-rect 24650 52294 24778 53694
-rect 24806 52294 24934 53694
-rect 24962 52294 25090 53694
-rect 25118 52294 25246 53694
-rect 25274 52294 25402 53694
-rect 25430 52294 25558 53694
-rect 25586 52294 25714 53694
-rect 25742 52294 25870 53694
-rect 25898 52294 26026 53694
-rect 26054 52294 26182 53694
-rect 26210 52294 26338 53694
-rect 26366 52294 26494 53694
-rect 26522 52294 26650 53694
-rect 26678 52294 26806 53694
-rect 26834 52294 26962 53694
-rect 26990 52294 27118 53694
-rect 27146 52294 27196 53694
-rect 29067 52850 29117 54250
-rect 29217 52850 29345 54250
-rect 29373 52850 29501 54250
-rect 29529 52850 29657 54250
-rect 29685 52850 29813 54250
-rect 29841 52850 29969 54250
-rect 29997 52850 30125 54250
-rect 30153 52850 30281 54250
-rect 30309 52850 30437 54250
-rect 30465 52850 30593 54250
-rect 30621 52850 30749 54250
-rect 30777 52850 30905 54250
-rect 30933 52850 31061 54250
-rect 31089 52850 31217 54250
-rect 31245 52850 31373 54250
-rect 31401 52850 31451 54250
-rect 39130 52488 39180 53888
-rect 39280 52488 39408 53888
-rect 39436 52488 39564 53888
-rect 39592 52488 39720 53888
-rect 39748 52488 39876 53888
-rect 39904 52488 40032 53888
-rect 40060 52488 40188 53888
-rect 40216 52488 40344 53888
-rect 40372 52488 40500 53888
-rect 40528 52488 40656 53888
-rect 40684 52488 40812 53888
-rect 40840 52488 40968 53888
-rect 40996 52488 41124 53888
-rect 41152 52488 41280 53888
-rect 41308 52488 41436 53888
-rect 41464 52488 41592 53888
-rect 41620 52488 41748 53888
-rect 41776 52488 41904 53888
-rect 41932 52488 42060 53888
-rect 42088 52488 42216 53888
-rect 42244 52488 42372 53888
-rect 42400 52488 42528 53888
-rect 42556 52488 42684 53888
-rect 42712 52488 42840 53888
-rect 42868 52488 42996 53888
-rect 43024 52488 43152 53888
-rect 43180 52488 43308 53888
-rect 43336 52488 43464 53888
-rect 43492 52488 43620 53888
-rect 43648 52488 43776 53888
-rect 43804 52488 43932 53888
-rect 43960 52488 44088 53888
-rect 44116 52488 44244 53888
-rect 44272 52488 44400 53888
-rect 44428 52488 44556 53888
-rect 44584 52488 44712 53888
-rect 44740 52488 44868 53888
-rect 44896 52488 45024 53888
-rect 45052 52488 45180 53888
-rect 45208 52488 45336 53888
-rect 45364 52488 45492 53888
-rect 45520 52488 45648 53888
-rect 45676 52488 45804 53888
-rect 45832 52488 45960 53888
-rect 45988 52488 46116 53888
-rect 46144 52488 46272 53888
-rect 46300 52488 46428 53888
-rect 46456 52488 46584 53888
-rect 46612 52488 46740 53888
-rect 46768 52488 46896 53888
-rect 46924 52488 46974 53888
-rect 48845 53044 48895 54444
-rect 48995 53044 49123 54444
-rect 49151 53044 49279 54444
-rect 49307 53044 49435 54444
-rect 49463 53044 49591 54444
-rect 49619 53044 49747 54444
-rect 49775 53044 49903 54444
-rect 49931 53044 50059 54444
-rect 50087 53044 50215 54444
-rect 50243 53044 50371 54444
-rect 50399 53044 50527 54444
-rect 50555 53044 50683 54444
-rect 50711 53044 50839 54444
-rect 50867 53044 50995 54444
-rect 51023 53044 51151 54444
-rect 51179 53044 51229 54444
-rect 103638 53996 103710 57996
-rect 103909 54029 104027 57963
-rect 104298 53996 104358 57996
-rect 104558 53996 104630 57996
-rect 104829 54029 104947 57963
-rect 105218 53996 105278 57996
-rect 105478 53996 105550 57996
-rect 105749 54029 105867 57963
-rect 106138 53996 106198 57996
-rect 106398 53996 106470 57996
-rect 106669 54029 106787 57963
-rect 107058 53996 107118 57996
-rect 107318 53996 107390 57996
-rect 107589 54029 107707 57963
-rect 107978 53996 108038 57996
-rect 108238 53996 108310 57996
-rect 108509 54029 108627 57963
-rect 108898 53996 108958 57996
-rect 109158 53996 109230 57996
-rect 109429 54029 109547 57963
-rect 109818 53996 109878 57996
-rect 110078 53996 110150 57996
-rect 110349 54029 110467 57963
-rect 110738 53996 110798 57996
-rect 110998 53996 111070 57996
-rect 111269 54029 111387 57963
-rect 111658 53996 111718 57996
-rect 111918 53996 111990 57996
-rect 112189 54029 112307 57963
-rect 112578 53996 112638 57996
-rect 112838 53996 112910 57996
-rect 113109 54029 113227 57963
-rect 113498 53996 113558 57996
-rect 127615 55792 127617 55917
-rect 62707 53804 62708 53828
-rect 62788 53804 62789 53828
-rect 63261 53804 63262 53828
-rect 63342 53804 63343 53828
-rect 63815 53804 63816 53828
-rect 63896 53804 63897 53828
-rect 64369 53804 64370 53828
-rect 64450 53804 64451 53828
-rect 64923 53804 64924 53828
-rect 65004 53804 65005 53828
-rect 65477 53804 65478 53828
-rect 65558 53804 65559 53828
-rect 66031 53804 66032 53828
-rect 66112 53804 66113 53828
-rect 66585 53804 66586 53828
-rect 66666 53804 66667 53828
-rect 67139 53804 67140 53828
-rect 67220 53804 67221 53828
-rect 67693 53804 67694 53828
-rect 67774 53804 67775 53828
-rect 68247 53804 68248 53828
-rect 68328 53804 68329 53828
-rect 68801 53804 68802 53828
-rect 68882 53804 68883 53828
-rect 69355 53804 69356 53828
-rect 69436 53804 69437 53828
-rect 69909 53804 69910 53828
-rect 69990 53804 69991 53828
-rect 70463 53804 70464 53828
-rect 70544 53804 70545 53828
-rect 71017 53804 71018 53828
-rect 71098 53804 71099 53828
-rect 71571 53804 71572 53828
-rect 71652 53804 71653 53828
-rect 72125 53804 72126 53828
-rect 72206 53804 72207 53828
-rect 72679 53804 72680 53828
-rect 72760 53804 72761 53828
-rect 73233 53804 73234 53828
-rect 73314 53804 73315 53828
-rect 62731 53780 62765 53792
-rect 63285 53780 63319 53792
-rect 63839 53780 63873 53792
-rect 64393 53780 64427 53792
-rect 64947 53780 64981 53792
-rect 65501 53780 65535 53792
-rect 66055 53780 66089 53792
-rect 66609 53780 66643 53792
-rect 67163 53780 67197 53792
-rect 67717 53780 67751 53792
-rect 68271 53780 68305 53792
-rect 68825 53780 68859 53792
-rect 69379 53780 69413 53792
-rect 69933 53780 69967 53792
-rect 70487 53780 70521 53792
-rect 71041 53780 71075 53792
-rect 71595 53780 71629 53792
-rect 72149 53780 72183 53792
-rect 72703 53780 72737 53792
-rect 73257 53780 73291 53792
-rect 81709 53610 81710 53634
-rect 81790 53610 81791 53634
-rect 82263 53610 82264 53634
-rect 82344 53610 82345 53634
-rect 82817 53610 82818 53634
-rect 82898 53610 82899 53634
-rect 83371 53610 83372 53634
-rect 83452 53610 83453 53634
-rect 83925 53610 83926 53634
-rect 84006 53610 84007 53634
-rect 84479 53610 84480 53634
-rect 84560 53610 84561 53634
-rect 85033 53610 85034 53634
-rect 85114 53610 85115 53634
-rect 85587 53610 85588 53634
-rect 85668 53610 85669 53634
-rect 86141 53610 86142 53634
-rect 86222 53610 86223 53634
-rect 86695 53610 86696 53634
-rect 86776 53610 86777 53634
-rect 87249 53610 87250 53634
-rect 87330 53610 87331 53634
-rect 87803 53610 87804 53634
-rect 87884 53610 87885 53634
-rect 88357 53610 88358 53634
-rect 88438 53610 88439 53634
-rect 88911 53610 88912 53634
-rect 88992 53610 88993 53634
-rect 89465 53610 89466 53634
-rect 89546 53610 89547 53634
-rect 90019 53610 90020 53634
-rect 90100 53610 90101 53634
-rect 90573 53610 90574 53634
-rect 90654 53610 90655 53634
-rect 91127 53610 91128 53634
-rect 91208 53610 91209 53634
-rect 91681 53610 91682 53634
-rect 91762 53610 91763 53634
-rect 92235 53610 92236 53634
-rect 92316 53610 92317 53634
-rect 81733 53586 81767 53598
-rect 82287 53586 82321 53598
-rect 82841 53586 82875 53598
-rect 83395 53586 83429 53598
-rect 83949 53586 83983 53598
-rect 84503 53586 84537 53598
-rect 85057 53586 85091 53598
-rect 85611 53586 85645 53598
-rect 86165 53586 86199 53598
-rect 86719 53586 86753 53598
-rect 87273 53586 87307 53598
-rect 87827 53586 87861 53598
-rect 88381 53586 88415 53598
-rect 88935 53586 88969 53598
-rect 89489 53586 89523 53598
-rect 90043 53586 90077 53598
-rect 90597 53586 90631 53598
-rect 91151 53586 91185 53598
-rect 91705 53586 91739 53598
-rect 92259 53586 92293 53598
-rect 2211 51550 2212 51574
-rect 2292 51550 2293 51574
-rect 2765 51550 2766 51574
-rect 2846 51550 2847 51574
-rect 3319 51550 3320 51574
-rect 3400 51550 3401 51574
-rect 3873 51550 3874 51574
-rect 3954 51550 3955 51574
-rect 4427 51550 4428 51574
-rect 4508 51550 4509 51574
-rect 4981 51550 4982 51574
-rect 5062 51550 5063 51574
-rect 5535 51550 5536 51574
-rect 5616 51550 5617 51574
-rect 6089 51550 6090 51574
-rect 6170 51550 6171 51574
-rect 6643 51550 6644 51574
-rect 6724 51550 6725 51574
-rect 7197 51550 7198 51574
-rect 7278 51550 7279 51574
-rect 7751 51550 7752 51574
-rect 7832 51550 7833 51574
-rect 8305 51550 8306 51574
-rect 8386 51550 8387 51574
-rect 8859 51550 8860 51574
-rect 8940 51550 8941 51574
-rect 9413 51550 9414 51574
-rect 9494 51550 9495 51574
-rect 9967 51550 9968 51574
-rect 10048 51550 10049 51574
-rect 10521 51550 10522 51574
-rect 10602 51550 10603 51574
-rect 11075 51550 11076 51574
-rect 11156 51550 11157 51574
-rect 11629 51550 11630 51574
-rect 11710 51550 11711 51574
-rect 12183 51550 12184 51574
-rect 12264 51550 12265 51574
-rect 12737 51550 12738 51574
-rect 12818 51550 12819 51574
-rect 2235 51526 2269 51538
-rect 2789 51526 2823 51538
-rect 3343 51526 3377 51538
-rect 3897 51526 3931 51538
-rect 4451 51526 4485 51538
-rect 5005 51526 5039 51538
-rect 5559 51526 5593 51538
-rect 6113 51526 6147 51538
-rect 6667 51526 6701 51538
-rect 7221 51526 7255 51538
-rect 7775 51526 7809 51538
-rect 8329 51526 8363 51538
-rect 8883 51526 8917 51538
-rect 9437 51526 9471 51538
-rect 9991 51526 10025 51538
-rect 10545 51526 10579 51538
-rect 11099 51526 11133 51538
-rect 11653 51526 11687 51538
-rect 12207 51526 12241 51538
-rect 12761 51526 12795 51538
-rect 29647 50763 29697 51763
-rect 30497 50763 30547 51763
-rect 30799 50763 30849 51763
-rect 31649 50763 31699 51763
-rect 49425 50957 49475 51957
-rect 50275 50957 50325 51957
-rect 50577 50957 50627 51957
-rect 51427 50957 51477 51957
-rect 62707 51804 62708 51828
-rect 62788 51804 62789 51828
-rect 63261 51804 63262 51828
-rect 63342 51804 63343 51828
-rect 63815 51804 63816 51828
-rect 63896 51804 63897 51828
-rect 64369 51804 64370 51828
-rect 64450 51804 64451 51828
-rect 64923 51804 64924 51828
-rect 65004 51804 65005 51828
-rect 65477 51804 65478 51828
-rect 65558 51804 65559 51828
-rect 66031 51804 66032 51828
-rect 66112 51804 66113 51828
-rect 66585 51804 66586 51828
-rect 66666 51804 66667 51828
-rect 67139 51804 67140 51828
-rect 67220 51804 67221 51828
-rect 67693 51804 67694 51828
-rect 67774 51804 67775 51828
-rect 68247 51804 68248 51828
-rect 68328 51804 68329 51828
-rect 68801 51804 68802 51828
-rect 68882 51804 68883 51828
-rect 69355 51804 69356 51828
-rect 69436 51804 69437 51828
-rect 69909 51804 69910 51828
-rect 69990 51804 69991 51828
-rect 70463 51804 70464 51828
-rect 70544 51804 70545 51828
-rect 71017 51804 71018 51828
-rect 71098 51804 71099 51828
-rect 71571 51804 71572 51828
-rect 71652 51804 71653 51828
-rect 72125 51804 72126 51828
-rect 72206 51804 72207 51828
-rect 72679 51804 72680 51828
-rect 72760 51804 72761 51828
-rect 73233 51804 73234 51828
-rect 73314 51804 73315 51828
-rect 62731 51780 62765 51792
-rect 63285 51780 63319 51792
-rect 63839 51780 63873 51792
-rect 64393 51780 64427 51792
-rect 64947 51780 64981 51792
-rect 65501 51780 65535 51792
-rect 66055 51780 66089 51792
-rect 66609 51780 66643 51792
-rect 67163 51780 67197 51792
-rect 67717 51780 67751 51792
-rect 68271 51780 68305 51792
-rect 68825 51780 68859 51792
-rect 69379 51780 69413 51792
-rect 69933 51780 69967 51792
-rect 70487 51780 70521 51792
-rect 71041 51780 71075 51792
-rect 71595 51780 71629 51792
-rect 72149 51780 72183 51792
-rect 72703 51780 72737 51792
-rect 73257 51780 73291 51792
-rect 81709 51610 81710 51634
-rect 81790 51610 81791 51634
-rect 82263 51610 82264 51634
-rect 82344 51610 82345 51634
-rect 82817 51610 82818 51634
-rect 82898 51610 82899 51634
-rect 83371 51610 83372 51634
-rect 83452 51610 83453 51634
-rect 83925 51610 83926 51634
-rect 84006 51610 84007 51634
-rect 84479 51610 84480 51634
-rect 84560 51610 84561 51634
-rect 85033 51610 85034 51634
-rect 85114 51610 85115 51634
-rect 85587 51610 85588 51634
-rect 85668 51610 85669 51634
-rect 86141 51610 86142 51634
-rect 86222 51610 86223 51634
-rect 86695 51610 86696 51634
-rect 86776 51610 86777 51634
-rect 87249 51610 87250 51634
-rect 87330 51610 87331 51634
-rect 87803 51610 87804 51634
-rect 87884 51610 87885 51634
-rect 88357 51610 88358 51634
-rect 88438 51610 88439 51634
-rect 88911 51610 88912 51634
-rect 88992 51610 88993 51634
-rect 89465 51610 89466 51634
-rect 89546 51610 89547 51634
-rect 90019 51610 90020 51634
-rect 90100 51610 90101 51634
-rect 90573 51610 90574 51634
-rect 90654 51610 90655 51634
-rect 91127 51610 91128 51634
-rect 91208 51610 91209 51634
-rect 91681 51610 91682 51634
-rect 91762 51610 91763 51634
-rect 92235 51610 92236 51634
-rect 92316 51610 92317 51634
-rect 81733 51586 81767 51598
-rect 82287 51586 82321 51598
-rect 82841 51586 82875 51598
-rect 83395 51586 83429 51598
-rect 83949 51586 83983 51598
-rect 84503 51586 84537 51598
-rect 85057 51586 85091 51598
-rect 85611 51586 85645 51598
-rect 86165 51586 86199 51598
-rect 86719 51586 86753 51598
-rect 87273 51586 87307 51598
-rect 87827 51586 87861 51598
-rect 88381 51586 88415 51598
-rect 88935 51586 88969 51598
-rect 89489 51586 89523 51598
-rect 90043 51586 90077 51598
-rect 90597 51586 90631 51598
-rect 91151 51586 91185 51598
-rect 91705 51586 91739 51598
-rect 92259 51586 92293 51598
-rect -432 50006 -366 50022
-rect 6799 49836 6885 49872
-rect 3431 49642 3783 49668
-rect 4255 49642 4607 49668
-rect 5079 49642 5431 49668
-rect 5903 49642 6255 49668
-rect 3457 46643 3458 49642
-rect 3757 46643 3783 49642
-rect 3457 46642 3783 46643
-rect 4281 46643 4282 49642
-rect 4581 46643 4607 49642
-rect 4281 46642 4607 46643
-rect 5105 46643 5106 49642
-rect 5405 46643 5431 49642
-rect 5105 46642 5431 46643
-rect 5929 46643 5930 49642
-rect 6229 46643 6255 49642
-rect 5929 46642 6255 46643
-rect 6799 46448 6835 49836
-rect 6849 46448 6885 49836
-rect 7429 49642 7781 49668
-rect 8253 49642 8605 49668
-rect 9077 49642 9429 49668
-rect 9901 49642 10253 49668
-rect 7455 46643 7456 49642
-rect 7755 46643 7781 49642
-rect 7455 46642 7781 46643
-rect 8279 46643 8280 49642
-rect 8579 46643 8605 49642
-rect 8279 46642 8605 46643
-rect 9103 46643 9104 49642
-rect 9403 46643 9429 49642
-rect 9103 46642 9429 46643
-rect 9927 46643 9928 49642
-rect 10227 46643 10253 49642
-rect 21039 49519 21089 50519
-rect 21889 49519 21939 50519
-rect 22191 49519 22241 50519
-rect 23841 49519 23891 50519
-rect 24143 49519 24193 50519
-rect 25793 49519 25843 50519
-rect 26095 49519 26145 50519
-rect 27745 49519 27795 50519
-rect 28047 49519 28097 50519
-rect 29697 49519 29747 50519
-rect 29999 49519 30049 50519
-rect 31649 49519 31699 50519
-rect 40817 49713 40867 50713
-rect 41667 49713 41717 50713
-rect 41969 49713 42019 50713
-rect 43619 49713 43669 50713
-rect 43921 49713 43971 50713
-rect 45571 49713 45621 50713
-rect 45873 49713 45923 50713
-rect 47523 49713 47573 50713
-rect 47825 49713 47875 50713
-rect 49475 49713 49525 50713
-rect 49777 49713 49827 50713
-rect 51427 49713 51477 50713
-rect 101758 50520 101808 51920
-rect 101908 50520 102036 51920
-rect 102064 50520 102192 51920
-rect 102220 50520 102348 51920
-rect 102376 50520 102504 51920
-rect 102532 50520 102660 51920
-rect 102688 50520 102816 51920
-rect 102844 50520 102972 51920
-rect 103000 50520 103128 51920
-rect 103156 50520 103284 51920
-rect 103312 50520 103440 51920
-rect 103468 50520 103596 51920
-rect 103624 50520 103752 51920
-rect 103780 50520 103908 51920
-rect 103936 50520 104064 51920
-rect 104092 50520 104220 51920
-rect 104248 50520 104376 51920
-rect 104404 50520 104532 51920
-rect 104560 50520 104688 51920
-rect 104716 50520 104844 51920
-rect 104872 50520 105000 51920
-rect 105028 50520 105156 51920
-rect 105184 50520 105312 51920
-rect 105340 50520 105468 51920
-rect 105496 50520 105624 51920
-rect 105652 50520 105780 51920
-rect 105808 50520 105936 51920
-rect 105964 50520 106092 51920
-rect 106120 50520 106248 51920
-rect 106276 50520 106404 51920
-rect 106432 50520 106560 51920
-rect 106588 50520 106716 51920
-rect 106744 50520 106872 51920
-rect 106900 50520 107028 51920
-rect 107056 50520 107184 51920
-rect 107212 50520 107340 51920
-rect 107368 50520 107496 51920
-rect 107524 50520 107652 51920
-rect 107680 50520 107808 51920
-rect 107836 50520 107964 51920
-rect 107992 50520 108120 51920
-rect 108148 50520 108276 51920
-rect 108304 50520 108432 51920
-rect 108460 50520 108588 51920
-rect 108616 50520 108744 51920
-rect 108772 50520 108900 51920
-rect 108928 50520 109056 51920
-rect 109084 50520 109212 51920
-rect 109240 50520 109368 51920
-rect 109396 50520 109524 51920
-rect 109552 50520 109602 51920
-rect 111473 51076 111523 52476
-rect 111623 51076 111751 52476
-rect 111779 51076 111907 52476
-rect 111935 51076 112063 52476
-rect 112091 51076 112219 52476
-rect 112247 51076 112375 52476
-rect 112403 51076 112531 52476
-rect 112559 51076 112687 52476
-rect 112715 51076 112843 52476
-rect 112871 51076 112999 52476
-rect 113027 51076 113155 52476
-rect 113183 51076 113311 52476
-rect 113339 51076 113467 52476
-rect 113495 51076 113623 52476
-rect 113651 51076 113779 52476
-rect 113807 51076 113857 52476
-rect 62707 49804 62708 49828
-rect 62788 49804 62789 49828
-rect 63261 49804 63262 49828
-rect 63342 49804 63343 49828
-rect 63815 49804 63816 49828
-rect 63896 49804 63897 49828
-rect 64369 49804 64370 49828
-rect 64450 49804 64451 49828
-rect 64923 49804 64924 49828
-rect 65004 49804 65005 49828
-rect 65477 49804 65478 49828
-rect 65558 49804 65559 49828
-rect 66031 49804 66032 49828
-rect 66112 49804 66113 49828
-rect 66585 49804 66586 49828
-rect 66666 49804 66667 49828
-rect 67139 49804 67140 49828
-rect 67220 49804 67221 49828
-rect 67693 49804 67694 49828
-rect 67774 49804 67775 49828
-rect 68247 49804 68248 49828
-rect 68328 49804 68329 49828
-rect 68801 49804 68802 49828
-rect 68882 49804 68883 49828
-rect 69355 49804 69356 49828
-rect 69436 49804 69437 49828
-rect 69909 49804 69910 49828
-rect 69990 49804 69991 49828
-rect 70463 49804 70464 49828
-rect 70544 49804 70545 49828
-rect 71017 49804 71018 49828
-rect 71098 49804 71099 49828
-rect 71571 49804 71572 49828
-rect 71652 49804 71653 49828
-rect 72125 49804 72126 49828
-rect 72206 49804 72207 49828
-rect 72679 49804 72680 49828
-rect 72760 49804 72761 49828
-rect 73233 49804 73234 49828
-rect 73314 49804 73315 49828
-rect 62731 49780 62765 49792
-rect 63285 49780 63319 49792
-rect 63839 49780 63873 49792
-rect 64393 49780 64427 49792
-rect 64947 49780 64981 49792
-rect 65501 49780 65535 49792
-rect 66055 49780 66089 49792
-rect 66609 49780 66643 49792
-rect 67163 49780 67197 49792
-rect 67717 49780 67751 49792
-rect 68271 49780 68305 49792
-rect 68825 49780 68859 49792
-rect 69379 49780 69413 49792
-rect 69933 49780 69967 49792
-rect 70487 49780 70521 49792
-rect 71041 49780 71075 49792
-rect 71595 49780 71629 49792
-rect 72149 49780 72183 49792
-rect 72703 49780 72737 49792
-rect 73257 49780 73291 49792
-rect 81709 49610 81710 49634
-rect 81790 49610 81791 49634
-rect 82263 49610 82264 49634
-rect 82344 49610 82345 49634
-rect 82817 49610 82818 49634
-rect 82898 49610 82899 49634
-rect 83371 49610 83372 49634
-rect 83452 49610 83453 49634
-rect 83925 49610 83926 49634
-rect 84006 49610 84007 49634
-rect 84479 49610 84480 49634
-rect 84560 49610 84561 49634
-rect 85033 49610 85034 49634
-rect 85114 49610 85115 49634
-rect 85587 49610 85588 49634
-rect 85668 49610 85669 49634
-rect 86141 49610 86142 49634
-rect 86222 49610 86223 49634
-rect 86695 49610 86696 49634
-rect 86776 49610 86777 49634
-rect 87249 49610 87250 49634
-rect 87330 49610 87331 49634
-rect 87803 49610 87804 49634
-rect 87884 49610 87885 49634
-rect 88357 49610 88358 49634
-rect 88438 49610 88439 49634
-rect 88911 49610 88912 49634
-rect 88992 49610 88993 49634
-rect 89465 49610 89466 49634
-rect 89546 49610 89547 49634
-rect 90019 49610 90020 49634
-rect 90100 49610 90101 49634
-rect 90573 49610 90574 49634
-rect 90654 49610 90655 49634
-rect 91127 49610 91128 49634
-rect 91208 49610 91209 49634
-rect 91681 49610 91682 49634
-rect 91762 49610 91763 49634
-rect 92235 49610 92236 49634
-rect 92316 49610 92317 49634
-rect 81733 49586 81767 49598
-rect 82287 49586 82321 49598
-rect 82841 49586 82875 49598
-rect 83395 49586 83429 49598
-rect 83949 49586 83983 49598
-rect 84503 49586 84537 49598
-rect 85057 49586 85091 49598
-rect 85611 49586 85645 49598
-rect 86165 49586 86199 49598
-rect 86719 49586 86753 49598
-rect 87273 49586 87307 49598
-rect 87827 49586 87861 49598
-rect 88381 49586 88415 49598
-rect 88935 49586 88969 49598
-rect 89489 49586 89523 49598
-rect 90043 49586 90077 49598
-rect 90597 49586 90631 49598
-rect 91151 49586 91185 49598
-rect 91705 49586 91739 49598
-rect 92259 49586 92293 49598
-rect 21039 48275 21089 49275
-rect 21889 48275 21939 49275
-rect 22191 48275 22241 49275
-rect 23841 48275 23891 49275
-rect 24143 48275 24193 49275
-rect 25793 48275 25843 49275
-rect 26095 48275 26145 49275
-rect 27745 48275 27795 49275
-rect 28047 48275 28097 49275
-rect 29697 48275 29747 49275
-rect 29999 48275 30049 49275
-rect 31649 48275 31699 49275
-rect 40817 48469 40867 49469
-rect 41667 48469 41717 49469
-rect 41969 48469 42019 49469
-rect 43619 48469 43669 49469
-rect 43921 48469 43971 49469
-rect 45571 48469 45621 49469
-rect 45873 48469 45923 49469
-rect 47523 48469 47573 49469
-rect 47825 48469 47875 49469
-rect 49475 48469 49525 49469
-rect 49777 48469 49827 49469
-rect 51427 48469 51477 49469
-rect 112053 48989 112103 49989
-rect 112903 48989 112953 49989
-rect 113205 48989 113255 49989
-rect 114055 48989 114105 49989
-rect 60064 48260 60130 48276
-rect 21039 47031 21089 48031
-rect 21889 47031 21939 48031
-rect 22191 47031 22241 48031
-rect 23841 47031 23891 48031
-rect 24143 47031 24193 48031
-rect 25793 47031 25843 48031
-rect 26095 47031 26145 48031
-rect 27745 47031 27795 48031
-rect 28047 47031 28097 48031
-rect 29697 47031 29747 48031
-rect 29999 47031 30049 48031
-rect 31649 47031 31699 48031
-rect 40817 47225 40867 48225
-rect 41667 47225 41717 48225
-rect 41969 47225 42019 48225
-rect 43619 47225 43669 48225
-rect 43921 47225 43971 48225
-rect 45571 47225 45621 48225
-rect 45873 47225 45923 48225
-rect 47523 47225 47573 48225
-rect 47825 47225 47875 48225
-rect 49475 47225 49525 48225
-rect 49777 47225 49827 48225
-rect 51427 47225 51477 48225
-rect 67295 48090 67381 48126
-rect 63927 47896 64279 47922
-rect 64751 47896 65103 47922
-rect 65575 47896 65927 47922
-rect 66399 47896 66751 47922
-rect 9927 46642 10253 46643
-rect 6799 46412 6885 46448
-rect 63953 44897 63954 47896
-rect 64253 44897 64279 47896
-rect 63953 44896 64279 44897
-rect 64777 44897 64778 47896
-rect 65077 44897 65103 47896
-rect 64777 44896 65103 44897
-rect 65601 44897 65602 47896
-rect 65901 44897 65927 47896
-rect 65601 44896 65927 44897
-rect 66425 44897 66426 47896
-rect 66725 44897 66751 47896
-rect 66425 44896 66751 44897
-rect 67295 44702 67331 48090
-rect 67345 44702 67381 48090
-rect 79066 48066 79132 48082
-rect 67925 47896 68277 47922
-rect 68749 47896 69101 47922
-rect 69573 47896 69925 47922
-rect 70397 47896 70749 47922
-rect 67951 44897 67952 47896
-rect 68251 44897 68277 47896
-rect 67951 44896 68277 44897
-rect 68775 44897 68776 47896
-rect 69075 44897 69101 47896
-rect 68775 44896 69101 44897
-rect 69599 44897 69600 47896
-rect 69899 44897 69925 47896
-rect 69599 44896 69925 44897
-rect 70423 44897 70424 47896
-rect 70723 44897 70749 47896
-rect 86297 47896 86383 47932
-rect 82929 47702 83281 47728
-rect 83753 47702 84105 47728
-rect 84577 47702 84929 47728
-rect 85401 47702 85753 47728
-rect 70423 44896 70749 44897
-rect 82955 44703 82956 47702
-rect 83255 44703 83281 47702
-rect 82955 44702 83281 44703
-rect 83779 44703 83780 47702
-rect 84079 44703 84105 47702
-rect 83779 44702 84105 44703
-rect 84603 44703 84604 47702
-rect 84903 44703 84929 47702
-rect 84603 44702 84929 44703
-rect 85427 44703 85428 47702
-rect 85727 44703 85753 47702
-rect 85427 44702 85753 44703
-rect 67295 44666 67381 44702
-rect 86297 44508 86333 47896
-rect 86347 44508 86383 47896
-rect 103445 47745 103495 48745
-rect 104295 47745 104345 48745
-rect 104597 47745 104647 48745
-rect 106247 47745 106297 48745
-rect 106549 47745 106599 48745
-rect 108199 47745 108249 48745
-rect 108501 47745 108551 48745
-rect 110151 47745 110201 48745
-rect 110453 47745 110503 48745
-rect 112103 47745 112153 48745
-rect 112405 47745 112455 48745
-rect 114055 47745 114105 48745
-rect 86927 47702 87279 47728
-rect 87751 47702 88103 47728
-rect 88575 47702 88927 47728
-rect 89399 47702 89751 47728
-rect 86953 44703 86954 47702
-rect 87253 44703 87279 47702
-rect 86953 44702 87279 44703
-rect 87777 44703 87778 47702
-rect 88077 44703 88103 47702
-rect 87777 44702 88103 44703
-rect 88601 44703 88602 47702
-rect 88901 44703 88927 47702
-rect 88601 44702 88927 44703
-rect 89425 44703 89426 47702
-rect 89725 44703 89751 47702
-rect 103445 46501 103495 47501
-rect 104295 46501 104345 47501
-rect 104597 46501 104647 47501
-rect 106247 46501 106297 47501
-rect 106549 46501 106599 47501
-rect 108199 46501 108249 47501
-rect 108501 46501 108551 47501
-rect 110151 46501 110201 47501
-rect 110453 46501 110503 47501
-rect 112103 46501 112153 47501
-rect 112405 46501 112455 47501
-rect 114055 46501 114105 47501
-rect 103445 45257 103495 46257
-rect 104295 45257 104345 46257
-rect 104597 45257 104647 46257
-rect 106247 45257 106297 46257
-rect 106549 45257 106599 46257
-rect 108199 45257 108249 46257
-rect 108501 45257 108551 46257
-rect 110151 45257 110201 46257
-rect 110453 45257 110503 46257
-rect 112103 45257 112153 46257
-rect 112405 45257 112455 46257
-rect 114055 45257 114105 46257
-rect 89425 44702 89751 44703
-rect 86297 44472 86383 44508
-use sky130_ef_io__vdda_lvc_pad  sky130_ef_io__vdda_lvc_pad_0
-timestamp 1584383356
-transform 1 0 -868 0 1 91931
-box 0 -61 15000 39593
-use sky130_ef_io__vdda_hvc_pad  sky130_ef_io__vdda_hvc_pad_0
-timestamp 1584383356
-transform 1 0 19686 0 1 92471
-box 0 -407 15000 39593
-use sky130_ef_io__vccd_lvc_pad  sky130_ef_io__vccd_lvc_pad_0
-timestamp 1584383356
-transform 1 0 38106 0 1 91155
-box 0 -61 15000 39593
-use sky130_ef_io__vccd_hvc_pad  sky130_ef_io__vccd_hvc_pad_0
-timestamp 1584383356
-transform 1 0 56526 0 1 91335
-box 0 -435 15000 39593
-use sky130_ef_io__vddio_hvc_pad  sky130_ef_io__vddio_hvc_pad_0
-timestamp 1584383356
-transform 1 0 77078 0 1 92305
-box 0 -435 15000 39593
-use sky130_ef_io__vddio_lvc_pad  sky130_ef_io__vddio_lvc_pad_0
-timestamp 1584383356
-transform 1 0 97048 0 1 92319
-box 0 -61 15000 39593
-use sky130_ef_io__gpiov2_pad  sky130_ef_io__gpiov2_pad_0
-timestamp 1584383356
-transform 1 0 119295 0 1 92724
-box -143 -466 16134 39593
-use sky130_ef_io__top_xres4v2  sky130_ef_io__top_xres4v2_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1584046481
-transform 1 0 140197 0 1 92452
-box -103 0 15124 40000
-use sky130_ef_io__top_gpio_ovtv2  sky130_ef_io__top_gpio_ovtv2_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1584046481
-transform 1 0 160920 0 1 92540
-box -80 -88 28211 40076
-use sky130_ef_io__vssd_lvc_pad  sky130_ef_io__vssd_lvc_pad_0
-timestamp 1584383356
-transform 1 0 -480 0 1 46173
-box 0 -61 15000 39593
-use sky130_ef_io__vssd_hvc_pad  sky130_ef_io__vssd_hvc_pad_0
-timestamp 1584383356
-transform 1 0 18134 0 1 46741
-box 0 -435 15000 39593
-use sky130_ef_io__vssa_hvc_pad  sky130_ef_io__vssa_hvc_pad_0
-timestamp 1584383356
-transform 1 0 37912 0 1 46935
-box 0 -435 15000 39593
-use sky130_ef_io__vssa_lvc_pad  sky130_ef_io__vssa_lvc_pad_0
-timestamp 1584383356
-transform 1 0 60016 0 1 44427
-box 0 -61 15000 39593
-use sky130_ef_io__vssio_lvc_pad  sky130_ef_io__vssio_lvc_pad_0
-timestamp 1584383356
-transform 1 0 79018 0 1 44233
-box 0 -61 15000 39593
-use sky130_ef_io__vssio_hvc_pad  sky130_ef_io__vssio_hvc_pad_0
-timestamp 1584383356
-transform 1 0 100540 0 1 44967
-box 0 -407 15000 39593
-use sky130_ef_io__corner_pad  sky130_ef_io__corner_pad_0
-timestamp 1584383356
-transform 1 0 123795 0 1 46420
-box -181 -114 40000 40800
-<< end >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io.mag
index 9a5442d..49cdab3 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io.mag
@@ -1,33 +1,65 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608397426
+timestamp 1622214725
+use sky130_ef_io__vddio_hvc_clamped_pad  sky130_ef_io__vddio_hvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 -778 0 1 -5714
+box 0 -434 15000 39593
+use sky130_ef_io__vdda_hvc_clamped_pad  sky130_ef_io__vdda_hvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 39000 0 1 -5606
+box 0 -434 15000 39593
+use sky130_ef_io__vssio_hvc_clamped_pad  sky130_ef_io__vssio_hvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 19004 0 1 -5606
+box 0 -434 15000 39593
+use sky130_ef_io__vccd_lvc_clamped_pad  sky130_ef_io__vccd_lvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 77366 0 1 -5822
+box -2195 -2184 17228 39593
+use sky130_ef_io__vssa_hvc_clamped_pad  sky130_ef_io__vssa_hvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 58346 0 1 -5496
+box 0 -434 15000 39593
+use sky130_ef_io__vssd_lvc_clamped_pad  sky130_ef_io__vssd_lvc_clamped_pad_0
+timestamp 1622214725
+transform 1 0 98450 0 1 -6148
+box -2195 -2184 17228 39593
+use sky130_ef_io__vccd_lvc_clamped2_pad  sky130_ef_io__vccd_lvc_clamped2_pad_0
+timestamp 1622214725
+transform 1 0 118340 0 1 -5714
+box 0 -2107 17239 39593
+use sky130_ef_io__vssd_lvc_clamped2_pad  sky130_ef_io__vssd_lvc_clamped2_pad_0
+timestamp 1622214725
+transform 1 0 138664 0 1 -6040
+box 0 -2107 17239 39593
 use sky130_ef_io__vssd_lvc_pad  sky130_ef_io__vssd_lvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 -480 0 1 46173
 box 0 -46 15000 39593
 use sky130_ef_io__vssa_hvc_pad  sky130_ef_io__vssa_hvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 37912 0 1 46935
 box 0 -434 15000 39593
 use sky130_ef_io__vssd_hvc_pad  sky130_ef_io__vssd_hvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 18134 0 1 46741
 box 0 -434 15000 39593
-use sky130_ef_io__vssa_lvc_pad  sky130_ef_io__vssa_lvc_pad_0
-timestamp 1608397426
-transform 1 0 60016 0 1 44427
-box 0 -46 15000 39593
 use sky130_ef_io__vssio_lvc_pad  sky130_ef_io__vssio_lvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 79018 0 1 44233
+box 0 -46 15000 39593
+use sky130_ef_io__vssa_lvc_pad  sky130_ef_io__vssa_lvc_pad_0
+timestamp 1622214725
+transform 1 0 60016 0 1 44427
 box 0 -7 15000 39593
 use sky130_ef_io__vssio_hvc_pad  sky130_ef_io__vssio_hvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 100540 0 1 44967
-box 0 -407 15000 39593
+box 0 -434 15000 39593
 use sky130_ef_io__corner_pad  sky130_ef_io__corner_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 123795 0 1 46420
 box -271 -204 40000 40800
 use sky130_ef_io__com_bus_slice_20um  sky130_ef_io__com_bus_slice_20um_0
@@ -47,71 +79,43 @@
 transform 1 0 167600 0 1 45744
 box 0 0 200 39593
 use sky130_ef_io__vdda_hvc_pad  sky130_ef_io__vdda_hvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 19686 0 1 92471
-box 0 -434 15000 39593
+box 0 -434 15000 39993
 use sky130_ef_io__vccd_lvc_pad  sky130_ef_io__vccd_lvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 38106 0 1 91155
 box 0 -46 15000 39593
 use sky130_ef_io__vdda_lvc_pad  sky130_ef_io__vdda_lvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 -868 0 1 91931
 box 0 -46 15000 39593
-use sky130_ef_io__vddio_hvc_pad  sky130_ef_io__vddio_hvc_pad_0
-timestamp 1608397426
-transform 1 0 77078 0 1 92305
-box 0 -434 15000 39593
 use sky130_ef_io__vccd_hvc_pad  sky130_ef_io__vccd_hvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 56526 0 1 91335
+box 0 -434 15000 39593
+use sky130_ef_io__vddio_hvc_pad  sky130_ef_io__vddio_hvc_pad_0
+timestamp 1622214725
+transform 1 0 77078 0 1 92305
 box 0 -407 15000 39593
 use sky130_ef_io__vddio_lvc_pad  sky130_ef_io__vddio_lvc_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 97048 0 1 92319
-box 0 -7 15000 39593
+box 0 -46 15000 39593
 use sky130_ef_io__gpiov2_pad  sky130_ef_io__gpiov2_pad_0
-timestamp 1608397426
+timestamp 1622214725
 transform 1 0 119295 0 1 92724
 box -143 -543 16134 39593
 use sky130_fd_io__top_xres4v2  sky130_fd_io__top_xres4v2_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1622147639
 transform 1 0 140197 0 1 92452
 box -103 0 15124 40000
 use sky130_fd_io__top_gpio_ovtv2  sky130_ef_fd__top_gpio_ovtv2_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1622147639
 transform 1 0 160920 0 1 92540
 box -80 -147 28211 40151
-use sky130_ef_io__vssd_lvc_clamped2_pad  sky130_ef_io__vssd_lvc_clamped2_pad_0
-timestamp 1608397426
-transform 1 0 138664 0 1 -6040
-box 0 -2107 17239 39593
-use sky130_ef_io__vccd_lvc_clamped2_pad  sky130_ef_io__vccd_lvc_clamped2_pad_0
-timestamp 1608397426
-transform 1 0 118340 0 1 -5714
-box 0 -2107 17239 39593
-use sky130_ef_io__vssd_lvc_clamped_pad  sky130_ef_io__vssd_lvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 98450 0 1 -6148
-box -2195 -2184 17228 39593
-use sky130_ef_io__vccd_lvc_clamped_pad  sky130_ef_io__vccd_lvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 77366 0 1 -5822
-box -2195 -2184 17228 39593
-use sky130_ef_io__vssio_hvc_clamped_pad  sky130_ef_io__vssio_hvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 19004 0 1 -5606
-box 0 -407 15000 39593
-use sky130_ef_io__vssa_hvc_clamped_pad  sky130_ef_io__vssa_hvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 58346 0 1 -5496
-box 0 -407 15000 39593
-use sky130_ef_io__vdda_hvc_clamped_pad  sky130_ef_io__vdda_hvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 39000 0 1 -5606
-box 0 -407 15000 39593
-use sky130_ef_io__vddio_hvc_clamped_pad  sky130_ef_io__vddio_hvc_clamped_pad_0
-timestamp 1608397426
-transform 1 0 -778 0 1 -5714
-box 0 -407 15000 39593
+use sky130_ef_io__top_power_hvc  sky130_ef_io__top_power_hvc_0
+timestamp 1622214725
+transform 1 0 165360 0 1 -16306
+box 0 8966 33800 48993
 << end >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag
index 0492cc2..96caef6 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609262803
+timestamp 1606173980
 << metal3 >>
 rect 198 6606 3800 6640
 rect 198 6016 218 6606
@@ -39,6 +39,8 @@
 rect 0 0 4000 1090
 << metal5 >>
 rect 0 34750 4000 39593
+rect 0 18590 200 18593
+rect 3800 18590 4000 18593
 rect 0 13600 4000 18590
 rect 0 12430 4000 13280
 rect 0 11260 4000 12110
@@ -167,6 +169,6 @@
 string LEFclass PAD AREAIO
 string FIXED_BBOX 0 0 4000 39593
 string GDS_FILE ../gds/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.gds
-string GDS_END 56710
+string GDS_END 56838
 string GDS_START 214
 << end >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag
index 3d8e608..7a03dc7 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag
@@ -1,9 +1,9 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607568790
-<< error_s >>
-rect 3820 9372 3822 9497
+timestamp 1609343739
+<< obsactive >>
+tri 25423 26223 40000 40800 ne
 << metal4 >>
 rect 0 35957 254 40800
 rect 0 14807 254 19800
@@ -68,8 +68,8 @@
 rect 35157 0 40000 254
 << fillblock >>
 tri 25423 26223 40000 40800 ne
-use sky130_fd_io__corner_bus_overlay  sky130_fd_io__corner_bus_overlay_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1607568790
+use sky130_fd_io__corner_bus_overlay  sky130_fd_io__corner_bus_overlay_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 67
 box 0 0 40000 40733
 << labels >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vccd_slice_5um.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vccd_slice_5um.mag
index ebde0db..e6cf79f 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vccd_slice_5um.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vccd_slice_5um.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609262803
+timestamp 1606130423
 << metal4 >>
 rect 0 34750 1000 39593
 rect 0 13600 1000 18593
@@ -20,6 +20,8 @@
 rect 0 0 1000 1090
 << metal5 >>
 rect 0 34750 1000 39593
+rect 0 18590 200 18593
+rect 800 18590 1000 18593
 rect 0 13600 1000 18590
 rect 0 12430 1000 13280
 rect 0 11260 1000 12110
@@ -131,6 +133,6 @@
 string LEFclass PAD AREAIO
 string FIXED_BBOX 0 0 1000 39593
 string GDS_FILE ../gds/sky130_ef_io__disconnect_vccd_slice_5um.gds
-string GDS_END 8154
+string GDS_END 8282
 string GDS_START 170
 << end >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag
index 361ec41..53f9dd7 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609262875
+timestamp 1606142287
 << metal4 >>
 rect 0 34750 1000 39593
 rect 0 13600 1000 18593
@@ -17,6 +17,8 @@
 rect 0 0 1000 1090
 << metal5 >>
 rect 0 34750 1000 39593
+rect 0 18590 200 18593
+rect 800 18590 1000 18593
 rect 0 13600 1000 18590
 rect 0 12430 1000 13280
 rect 0 11260 1000 12110
@@ -115,6 +117,6 @@
 string LEFclass PAD AREAIO
 string FIXED_BBOX 0 0 1000 39593
 string GDS_FILE ../gds/sky130_ef_io__disconnect_vdda_slice_5um.gds
-string GDS_END 7104
+string GDS_END 7232
 string GDS_START 170
 << end >>
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad.mag
index aeef6f8..31b3aff 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad.mag
@@ -2,4290 +2,6 @@
 tech sky130A
 magscale 1 2
 timestamp 1602597384
-<< error_s >>
-rect 308 39412 2226 39494
-rect 95 38277 177 39311
-rect 387 38447 469 39141
-rect 609 39130 1915 39212
-rect 777 38936 1719 38986
-rect 646 38893 672 38904
-rect 662 38853 756 38893
-rect 1668 38853 1762 38893
-rect 662 38693 756 38733
-rect 1668 38693 1762 38733
-rect 646 38682 672 38693
-rect 777 38592 1719 38642
-rect 609 38378 1915 38460
-rect 1955 38447 2037 39141
-rect 2247 38277 2329 39311
-rect 3148 38410 3198 39410
-rect 3298 38410 3426 39410
-rect 3454 38410 3504 39410
-rect 3570 38410 3620 39410
-rect 3780 38410 3836 39410
-rect 3996 38410 4052 39410
-rect 4152 38410 4280 39410
-rect 4308 38410 4358 39410
-rect 4474 38410 4524 39410
-rect 4684 38410 4740 39410
-rect 4840 38410 4968 39410
-rect 4996 38410 5052 39410
-rect 5152 38410 5280 39410
-rect 5308 38410 5364 39410
-rect 5464 38410 5514 39410
-rect 5580 38410 5630 39010
-rect 5730 38410 5780 39010
-rect 5846 38410 5896 39410
-rect 5996 38410 6052 39410
-rect 6152 38410 6202 39410
-rect 7092 38406 7150 38440
-rect 7932 38418 7982 39418
-rect 8082 38418 8210 39418
-rect 8238 38418 8366 39418
-rect 8394 38418 8522 39418
-rect 8550 38418 8678 39418
-rect 8706 38418 8756 39418
-rect 8822 38418 8872 39418
-rect 8972 38418 9028 39418
-rect 9128 38418 9178 39418
-rect 9244 38418 9294 39418
-rect 9394 38418 9522 39418
-rect 9550 38418 9606 39418
-rect 9706 38418 9834 39418
-rect 9862 38418 9912 39418
-rect 9978 38418 10028 39418
-rect 10128 38418 10256 39418
-rect 10284 38418 10340 39418
-rect 10440 38418 10568 39418
-rect 10596 38790 10646 39418
-rect 10596 38718 10649 38790
-rect 10596 38418 10646 38718
-rect 10709 38418 10721 38718
-rect 14297 38255 15297 38305
-rect 2355 38203 2358 38204
-rect 2355 38202 2356 38203
-rect 2357 38202 2358 38203
-rect 2355 38201 2358 38202
-rect 308 38093 2226 38175
-rect 14297 38099 15297 38227
-rect 2355 38066 2358 38067
-rect 2355 38065 2356 38066
-rect 2357 38065 2358 38066
-rect 2355 38064 2358 38065
-rect 95 36957 177 37991
-rect 387 37127 469 37821
-rect 609 37808 1915 37890
-rect 777 37626 1719 37676
-rect 646 37575 672 37586
-rect 662 37553 756 37575
-rect 662 37535 672 37553
-rect 694 37535 756 37553
-rect 1668 37553 1762 37575
-rect 1668 37535 1730 37553
-rect 1752 37535 1762 37553
-rect 662 37397 672 37415
-rect 694 37397 756 37415
-rect 662 37375 756 37397
-rect 1668 37397 1730 37415
-rect 1752 37397 1762 37415
-rect 1668 37375 1762 37397
-rect 646 37364 672 37375
-rect 777 37282 1719 37332
-rect 609 37056 1915 37138
-rect 1955 37127 2037 37821
-rect 2247 36957 2329 37991
-rect 8191 37965 9191 38015
-rect 9587 37965 10187 38015
-rect 8191 37809 9191 37937
-rect 11931 37905 12931 37955
-rect 14297 37949 15297 37999
-rect 9587 37809 10187 37865
-rect 8191 37653 9191 37781
-rect 9587 37653 10187 37709
-rect 11931 37689 12931 37817
-rect 8191 37503 9191 37553
-rect 9587 37497 10187 37553
-rect 11931 37479 12931 37529
-rect 9587 37347 10187 37397
-rect 308 36774 2226 36856
-rect 1021 36719 1191 36774
-rect 2255 36719 2424 36787
-rect 1089 36679 1191 36719
-rect 2323 36679 2424 36719
-rect 1089 36638 1123 36679
-rect 2323 36638 2357 36679
-rect 1398 36418 1998 36468
-rect 1398 36168 1998 36218
-rect 3118 35743 3168 36743
-rect 3328 35743 3456 36743
-rect 3544 35743 3672 36743
-rect 3760 35743 3888 36743
-rect 3976 35743 4104 36743
-rect 4192 35743 4248 36743
-rect 4408 35743 4464 36743
-rect 4624 35743 4752 36743
-rect 4840 35743 4968 36743
-rect 5056 35743 5184 36743
-rect 5272 35743 5400 36743
-rect 5488 35743 5544 36743
-rect 5704 35743 5832 36743
-rect 5920 35743 6048 36743
-rect 6136 35743 6264 36743
-rect 6352 35743 6402 36743
-rect 6468 35743 6518 36743
-rect 6678 35743 6728 36743
-rect 6794 35743 6844 36743
-rect 7004 35743 7054 36743
-rect 7120 35743 7170 36743
-rect 7330 35743 7380 36743
-rect 7443 36558 7455 36758
-rect 7446 35743 7496 36343
-rect 7696 35743 7752 36343
-rect 7852 35743 7980 36343
-rect 8008 35743 8064 36343
-rect 8164 35743 8292 36343
-rect 8320 35743 8370 36343
-rect 8436 35743 8486 36743
-rect 8586 35743 8642 36743
-rect 8742 35743 8792 36743
-rect 8870 35743 8920 36743
-rect 9020 35743 9148 36743
-rect 9176 35743 9304 36743
-rect 9332 35743 9388 36743
-rect 9488 35743 9616 36743
-rect 9644 35743 9772 36743
-rect 9800 35743 9928 36743
-rect 9956 35743 10006 36743
-rect 10072 35743 10122 36743
-rect 10222 35743 10350 36743
-rect 10378 35743 10506 36743
-rect 10534 35743 10662 36743
-rect 10690 35743 10746 36743
-rect 10846 35743 10974 36743
-rect 11002 35743 11130 36743
-rect 11158 35743 11214 36743
-rect 11314 35743 11364 36743
-rect 12585 36543 12638 36743
-rect 12588 35743 12638 36543
-rect 12798 35743 12926 36743
-rect 13014 35743 13070 36743
-rect 13230 35743 13358 36743
-rect 13446 35743 13496 36743
-rect 13562 35743 13612 36743
-rect 13772 35743 13822 36743
-rect 11309 35656 11345 35667
-rect 11461 35656 13785 35667
-rect 11309 35634 13785 35656
-rect 11309 35633 11345 35634
-rect 11461 35633 13785 35634
-rect 6371 34402 13485 34424
-rect 1697 34055 2697 34105
-rect 2807 34055 3807 34105
-rect 3928 34055 4928 34105
-rect 5049 34055 6049 34105
-rect 6606 34055 7606 34105
-rect 7727 34055 8727 34105
-rect 8848 34055 9848 34105
-rect 9958 34055 10958 34105
-rect 11079 34055 12079 34105
-rect 12200 34055 13200 34105
-rect 1697 33885 2697 33935
-rect 2807 33885 3807 33935
-rect 3928 33885 4928 33935
-rect 5049 33885 6049 33935
-rect 6606 33885 7606 33935
-rect 7727 33885 8727 33935
-rect 8848 33885 9848 33935
-rect 9958 33885 10958 33935
-rect 11079 33885 12079 33935
-rect 12200 33885 13200 33935
-rect 1302 33622 1437 33626
-rect 6311 33622 6345 33626
-rect 13414 33622 13553 33656
-rect 1302 33598 13553 33622
-rect 15317 33612 15331 34456
-rect 15307 33598 15331 33612
-rect 1302 33588 15331 33598
-rect 1301 33572 15331 33588
-rect 1302 33534 13482 33572
-rect 13485 33534 13495 33572
-rect 1924 32204 2134 32240
-rect 14186 32204 14299 32240
-rect 14748 32204 15030 32240
-rect 1513 31204 1591 32204
-rect 1713 31204 1785 32204
-rect 1936 31204 1960 32204
-rect 2001 31204 2057 32204
-rect 2098 31204 2134 32204
-rect 2359 31204 2419 32204
-rect 2619 31204 2691 32204
-rect 2921 31204 2977 32204
-rect 2993 31204 3049 32204
-rect 3351 31204 3411 32204
-rect 3611 31204 3683 32204
-rect 3913 31204 3969 32204
-rect 3985 31204 4041 32204
-rect 4343 31204 4403 32204
-rect 4603 31204 4675 32204
-rect 4905 31204 4961 32204
-rect 4977 31204 5033 32204
-rect 5335 31204 5395 32204
-rect 5595 31204 5667 32204
-rect 5897 31204 5953 32204
-rect 5969 31204 6025 32204
-rect 6327 31204 6387 32204
-rect 6587 31204 6659 32204
-rect 6889 31204 6945 32204
-rect 6961 31204 7017 32204
-rect 7319 31204 7379 32204
-rect 7579 31204 7651 32204
-rect 7881 31204 7937 32204
-rect 7953 31204 8009 32204
-rect 8311 31204 8371 32204
-rect 8571 31204 8643 32204
-rect 8873 31204 8929 32204
-rect 8945 31204 9001 32204
-rect 9303 31204 9363 32204
-rect 9563 31204 9635 32204
-rect 9865 31204 9921 32204
-rect 9937 31204 9993 32204
-rect 10295 31204 10355 32204
-rect 10555 31204 10627 32204
-rect 10857 31204 10913 32204
-rect 10929 31204 10985 32204
-rect 11287 31204 11347 32204
-rect 11547 31204 11619 32204
-rect 11849 31204 11905 32204
-rect 11921 31204 11977 32204
-rect 12279 31204 12339 32204
-rect 12539 31204 12611 32204
-rect 12841 31204 12897 32204
-rect 12913 31204 12969 32204
-rect 13271 31204 13331 32204
-rect 13531 31204 13603 32204
-rect 13833 31204 13889 32204
-rect 13905 31204 13961 32204
-rect 14186 31204 14222 32204
-rect 14263 31204 14299 32204
-rect 14523 31204 14595 32204
-rect 14748 31204 14784 32204
-rect 14825 31204 14881 32204
-rect 14897 31204 14953 32204
-rect 14994 31204 15030 32204
-rect 15241 31204 15301 32204
-rect 15337 32187 15441 32204
-rect 15337 32153 15431 32187
-rect 15441 32153 15475 32187
-rect 15337 32116 15441 32153
-rect 15337 32082 15431 32116
-rect 15441 32082 15475 32116
-rect 15337 32042 15441 32082
-rect 15337 32008 15431 32042
-rect 15441 32008 15475 32042
-rect 15337 31971 15441 32008
-rect 15337 31937 15431 31971
-rect 15441 31937 15475 31971
-rect 15337 31897 15441 31937
-rect 15337 31863 15431 31897
-rect 15441 31863 15475 31897
-rect 15337 31826 15441 31863
-rect 15337 31792 15431 31826
-rect 15441 31792 15475 31826
-rect 15337 31752 15441 31792
-rect 15337 31718 15431 31752
-rect 15441 31718 15475 31752
-rect 15337 31681 15441 31718
-rect 15337 31647 15431 31681
-rect 15441 31647 15475 31681
-rect 15337 31607 15441 31647
-rect 15337 31573 15431 31607
-rect 15441 31573 15475 31607
-rect 15337 31536 15441 31573
-rect 15337 31502 15431 31536
-rect 15441 31502 15475 31536
-rect 15337 31462 15441 31502
-rect 15337 31428 15431 31462
-rect 15441 31428 15475 31462
-rect 15337 31391 15441 31428
-rect 15337 31357 15431 31391
-rect 15441 31357 15475 31391
-rect 15337 31297 15441 31357
-rect 15337 31263 15431 31297
-rect 15441 31263 15475 31297
-rect 15337 31227 15441 31263
-rect 15337 31204 15465 31227
-rect 1924 31168 2134 31204
-rect 14186 31168 14299 31204
-rect 14748 31168 15030 31204
-rect 1479 30631 1523 30637
-rect 1479 30603 1489 30631
-rect 1513 30603 1523 30631
-rect 1924 30603 2134 30639
-rect 14186 30603 14299 30639
-rect 14748 30603 15030 30639
-rect 15431 30603 15441 30627
-rect 1513 29603 1617 30603
-rect 1713 29603 1785 30603
-rect 1959 29603 1960 30603
-rect 2001 29603 2057 30603
-rect 2098 29603 2099 30603
-rect 2359 29603 2419 30603
-rect 2619 29603 2691 30603
-rect 2921 29603 2977 30603
-rect 2993 29603 3049 30603
-rect 3351 29603 3411 30603
-rect 3611 29603 3683 30603
-rect 3913 29603 3969 30603
-rect 3985 29603 4041 30603
-rect 4343 29603 4403 30603
-rect 4603 29603 4675 30603
-rect 4905 29603 4961 30603
-rect 4977 29603 5033 30603
-rect 5335 29603 5395 30603
-rect 5595 29603 5667 30603
-rect 5897 29603 5953 30603
-rect 5969 29603 6025 30603
-rect 6327 29603 6387 30603
-rect 6587 29603 6659 30603
-rect 6889 29603 6945 30603
-rect 6961 29603 7017 30603
-rect 7319 29603 7379 30603
-rect 7579 29603 7651 30603
-rect 7881 29603 7937 30603
-rect 7953 29603 8009 30603
-rect 8311 29603 8371 30603
-rect 8571 29603 8643 30603
-rect 8873 29603 8929 30603
-rect 8945 29603 9001 30603
-rect 9303 29603 9363 30603
-rect 9563 29603 9635 30603
-rect 9865 29603 9921 30603
-rect 9937 29603 9993 30603
-rect 10295 29603 10355 30603
-rect 10555 29603 10627 30603
-rect 10857 29603 10913 30603
-rect 10929 29603 10985 30603
-rect 11287 29603 11347 30603
-rect 11547 29603 11619 30603
-rect 11849 29603 11905 30603
-rect 11921 29603 11977 30603
-rect 12279 29603 12339 30603
-rect 12539 29603 12611 30603
-rect 12841 29603 12897 30603
-rect 12913 29603 12969 30603
-rect 13271 29603 13331 30603
-rect 13531 29603 13603 30603
-rect 13833 29603 13889 30603
-rect 13905 29603 13961 30603
-rect 14186 29603 14222 30603
-rect 14263 29603 14299 30603
-rect 14523 29603 14595 30603
-rect 14748 29603 14784 30603
-rect 14825 29603 14881 30603
-rect 14897 29603 14953 30603
-rect 14994 29603 15030 30603
-rect 15241 29603 15301 30603
-rect 15337 30581 15431 30603
-rect 15441 30581 15465 30603
-rect 15337 30547 15441 30581
-rect 15337 30513 15431 30547
-rect 15441 30513 15465 30547
-rect 15337 30473 15441 30513
-rect 15337 30439 15431 30473
-rect 15441 30439 15475 30473
-rect 15337 30396 15441 30439
-rect 15337 30362 15431 30396
-rect 15441 30362 15475 30396
-rect 15337 30302 15441 30362
-rect 15337 30268 15431 30302
-rect 15441 30268 15475 30302
-rect 15337 30231 15441 30268
-rect 15337 30197 15431 30231
-rect 15441 30197 15475 30231
-rect 15337 30157 15441 30197
-rect 15337 30123 15431 30157
-rect 15441 30123 15475 30157
-rect 15337 30086 15441 30123
-rect 15337 30052 15431 30086
-rect 15441 30052 15475 30086
-rect 15337 30012 15441 30052
-rect 15337 29978 15431 30012
-rect 15441 29978 15475 30012
-rect 15337 29941 15441 29978
-rect 15337 29907 15431 29941
-rect 15441 29907 15475 29941
-rect 15337 29867 15441 29907
-rect 15337 29833 15431 29867
-rect 15441 29833 15475 29867
-rect 15337 29796 15441 29833
-rect 15337 29762 15431 29796
-rect 15441 29762 15475 29796
-rect 15337 29722 15441 29762
-rect 15337 29688 15431 29722
-rect 15441 29688 15475 29722
-rect 15337 29651 15441 29688
-rect 15337 29617 15431 29651
-rect 15441 29617 15475 29651
-rect 15337 29603 15441 29617
-rect 1924 29567 2134 29603
-rect 14186 29567 14299 29603
-rect 14748 29567 15030 29603
-rect 1336 27100 1352 27166
-rect 3360 27100 3376 27166
-rect 9527 27034 9543 27050
-rect 9425 26728 9543 27034
-rect 9527 26712 9543 26728
-rect 11715 27034 11731 27050
-rect 11715 26728 11833 27034
-rect 11715 26712 11731 26728
-rect 959 24846 983 25638
-rect 828 24749 983 24846
-rect 828 20203 935 24749
-rect 1623 22915 1673 23915
-rect 1884 22915 1940 23915
-rect 1956 22915 2012 23915
-rect 2314 23835 2514 23915
-rect 2529 23845 2563 23869
-rect 2574 23845 2646 23915
-rect 2529 23835 2646 23845
-rect 2301 23811 2646 23835
-rect 2314 23767 2514 23811
-rect 2529 23801 2553 23811
-rect 2529 23777 2563 23801
-rect 2574 23777 2646 23811
-rect 2529 23767 2646 23777
-rect 2301 23743 2646 23767
-rect 2314 23699 2514 23743
-rect 2529 23733 2553 23743
-rect 2529 23709 2563 23733
-rect 2574 23709 2646 23743
-rect 2529 23699 2646 23709
-rect 2301 23675 2646 23699
-rect 2314 23631 2514 23675
-rect 2529 23665 2553 23675
-rect 2529 23641 2563 23665
-rect 2574 23641 2646 23675
-rect 2529 23631 2646 23641
-rect 2301 23607 2646 23631
-rect 2314 23563 2514 23607
-rect 2529 23597 2553 23607
-rect 2529 23573 2563 23597
-rect 2574 23573 2646 23607
-rect 2529 23563 2646 23573
-rect 2301 23539 2646 23563
-rect 2314 23495 2514 23539
-rect 2529 23529 2553 23539
-rect 2529 23505 2563 23529
-rect 2574 23505 2646 23539
-rect 2529 23495 2646 23505
-rect 2301 23471 2646 23495
-rect 2314 23427 2514 23471
-rect 2529 23461 2553 23471
-rect 2529 23437 2563 23461
-rect 2574 23437 2646 23471
-rect 2529 23427 2646 23437
-rect 2301 23403 2646 23427
-rect 2314 23359 2514 23403
-rect 2529 23393 2553 23403
-rect 2529 23369 2563 23393
-rect 2574 23369 2646 23403
-rect 2529 23359 2646 23369
-rect 2301 23335 2646 23359
-rect 2314 23291 2514 23335
-rect 2529 23325 2553 23335
-rect 2529 23301 2563 23325
-rect 2574 23301 2646 23335
-rect 2529 23291 2646 23301
-rect 2301 23267 2646 23291
-rect 2314 23223 2514 23267
-rect 2529 23257 2553 23267
-rect 2529 23233 2563 23257
-rect 2574 23233 2646 23267
-rect 2529 23223 2646 23233
-rect 2301 23199 2646 23223
-rect 2314 23155 2514 23199
-rect 2529 23189 2553 23199
-rect 2529 23165 2563 23189
-rect 2574 23165 2646 23199
-rect 2529 23155 2646 23165
-rect 2301 23131 2646 23155
-rect 2314 23087 2514 23131
-rect 2529 23121 2553 23131
-rect 2529 23097 2563 23121
-rect 2574 23097 2646 23131
-rect 2529 23087 2646 23097
-rect 2301 23063 2646 23087
-rect 2314 23019 2514 23063
-rect 2529 23053 2553 23063
-rect 2529 23029 2563 23053
-rect 2574 23029 2646 23063
-rect 2529 23019 2646 23029
-rect 2301 22995 2646 23019
-rect 2314 22951 2514 22995
-rect 2529 22985 2553 22995
-rect 2529 22961 2563 22985
-rect 2574 22961 2646 22995
-rect 2529 22951 2646 22961
-rect 2301 22927 2646 22951
-rect 2314 22915 2514 22927
-rect 2325 22903 2349 22915
-rect 2529 22903 2553 22927
-rect 2574 22915 2646 22927
-rect 2876 22915 2932 23915
-rect 2948 22915 3004 23915
-rect 3306 23835 3506 23915
-rect 3521 23845 3555 23869
-rect 3566 23845 3638 23915
-rect 3521 23835 3638 23845
-rect 3293 23811 3638 23835
-rect 3306 23767 3506 23811
-rect 3521 23801 3545 23811
-rect 3521 23777 3555 23801
-rect 3566 23777 3638 23811
-rect 3521 23767 3638 23777
-rect 3293 23743 3638 23767
-rect 3306 23699 3506 23743
-rect 3521 23733 3545 23743
-rect 3521 23709 3555 23733
-rect 3566 23709 3638 23743
-rect 3521 23699 3638 23709
-rect 3293 23675 3638 23699
-rect 3306 23631 3506 23675
-rect 3521 23665 3545 23675
-rect 3521 23641 3555 23665
-rect 3566 23641 3638 23675
-rect 3521 23631 3638 23641
-rect 3293 23607 3638 23631
-rect 3306 23563 3506 23607
-rect 3521 23597 3545 23607
-rect 3521 23573 3555 23597
-rect 3566 23573 3638 23607
-rect 3521 23563 3638 23573
-rect 3293 23539 3638 23563
-rect 3306 23495 3506 23539
-rect 3521 23529 3545 23539
-rect 3521 23505 3555 23529
-rect 3566 23505 3638 23539
-rect 3521 23495 3638 23505
-rect 3293 23471 3638 23495
-rect 3306 23427 3506 23471
-rect 3521 23461 3545 23471
-rect 3521 23437 3555 23461
-rect 3566 23437 3638 23471
-rect 3521 23427 3638 23437
-rect 3293 23403 3638 23427
-rect 3306 23359 3506 23403
-rect 3521 23393 3545 23403
-rect 3521 23369 3555 23393
-rect 3566 23369 3638 23403
-rect 3521 23359 3638 23369
-rect 3293 23335 3638 23359
-rect 3306 23291 3506 23335
-rect 3521 23325 3545 23335
-rect 3521 23301 3555 23325
-rect 3566 23301 3638 23335
-rect 3521 23291 3638 23301
-rect 3293 23267 3638 23291
-rect 3306 23223 3506 23267
-rect 3521 23257 3545 23267
-rect 3521 23233 3555 23257
-rect 3566 23233 3638 23267
-rect 3521 23223 3638 23233
-rect 3293 23199 3638 23223
-rect 3306 23155 3506 23199
-rect 3521 23189 3545 23199
-rect 3521 23165 3555 23189
-rect 3566 23165 3638 23199
-rect 3521 23155 3638 23165
-rect 3293 23131 3638 23155
-rect 3306 23087 3506 23131
-rect 3521 23121 3545 23131
-rect 3521 23097 3555 23121
-rect 3566 23097 3638 23131
-rect 3521 23087 3638 23097
-rect 3293 23063 3638 23087
-rect 3306 23019 3506 23063
-rect 3521 23053 3545 23063
-rect 3521 23029 3555 23053
-rect 3566 23029 3638 23063
-rect 3521 23019 3638 23029
-rect 3293 22995 3638 23019
-rect 3306 22951 3506 22995
-rect 3521 22985 3545 22995
-rect 3521 22961 3555 22985
-rect 3566 22961 3638 22995
-rect 3521 22951 3638 22961
-rect 3293 22927 3638 22951
-rect 3306 22915 3506 22927
-rect 3317 22903 3341 22915
-rect 3521 22903 3545 22927
-rect 3566 22915 3638 22927
-rect 3868 22915 3924 23915
-rect 3940 22915 3996 23915
-rect 4298 23835 4498 23915
-rect 4513 23845 4547 23869
-rect 4558 23845 4630 23915
-rect 4513 23835 4630 23845
-rect 4285 23811 4630 23835
-rect 4298 23767 4498 23811
-rect 4513 23801 4537 23811
-rect 4513 23777 4547 23801
-rect 4558 23777 4630 23811
-rect 4513 23767 4630 23777
-rect 4285 23743 4630 23767
-rect 4298 23699 4498 23743
-rect 4513 23733 4537 23743
-rect 4513 23709 4547 23733
-rect 4558 23709 4630 23743
-rect 4513 23699 4630 23709
-rect 4285 23675 4630 23699
-rect 4298 23631 4498 23675
-rect 4513 23665 4537 23675
-rect 4513 23641 4547 23665
-rect 4558 23641 4630 23675
-rect 4513 23631 4630 23641
-rect 4285 23607 4630 23631
-rect 4298 23563 4498 23607
-rect 4513 23597 4537 23607
-rect 4513 23573 4547 23597
-rect 4558 23573 4630 23607
-rect 4513 23563 4630 23573
-rect 4285 23539 4630 23563
-rect 4298 23495 4498 23539
-rect 4513 23529 4537 23539
-rect 4513 23505 4547 23529
-rect 4558 23505 4630 23539
-rect 4513 23495 4630 23505
-rect 4285 23471 4630 23495
-rect 4298 23427 4498 23471
-rect 4513 23461 4537 23471
-rect 4513 23437 4547 23461
-rect 4558 23437 4630 23471
-rect 4513 23427 4630 23437
-rect 4285 23403 4630 23427
-rect 4298 23359 4498 23403
-rect 4513 23393 4537 23403
-rect 4513 23369 4547 23393
-rect 4558 23369 4630 23403
-rect 4513 23359 4630 23369
-rect 4285 23335 4630 23359
-rect 4298 23291 4498 23335
-rect 4513 23325 4537 23335
-rect 4513 23301 4547 23325
-rect 4558 23301 4630 23335
-rect 4513 23291 4630 23301
-rect 4285 23267 4630 23291
-rect 4298 23223 4498 23267
-rect 4513 23257 4537 23267
-rect 4513 23233 4547 23257
-rect 4558 23233 4630 23267
-rect 4513 23223 4630 23233
-rect 4285 23199 4630 23223
-rect 4298 23155 4498 23199
-rect 4513 23189 4537 23199
-rect 4513 23165 4547 23189
-rect 4558 23165 4630 23199
-rect 4513 23155 4630 23165
-rect 4285 23131 4630 23155
-rect 4298 23087 4498 23131
-rect 4513 23121 4537 23131
-rect 4513 23097 4547 23121
-rect 4558 23097 4630 23131
-rect 4513 23087 4630 23097
-rect 4285 23063 4630 23087
-rect 4298 23019 4498 23063
-rect 4513 23053 4537 23063
-rect 4513 23029 4547 23053
-rect 4558 23029 4630 23063
-rect 4513 23019 4630 23029
-rect 4285 22995 4630 23019
-rect 4298 22951 4498 22995
-rect 4513 22985 4537 22995
-rect 4513 22961 4547 22985
-rect 4558 22961 4630 22995
-rect 4513 22951 4630 22961
-rect 4285 22927 4630 22951
-rect 4298 22915 4498 22927
-rect 4309 22903 4333 22915
-rect 4513 22903 4537 22927
-rect 4558 22915 4630 22927
-rect 4860 22915 4916 23915
-rect 4932 22915 4988 23915
-rect 5290 23835 5490 23915
-rect 5505 23845 5539 23869
-rect 5550 23845 5622 23915
-rect 5505 23835 5622 23845
-rect 5277 23811 5622 23835
-rect 5290 23767 5490 23811
-rect 5505 23801 5529 23811
-rect 5505 23777 5539 23801
-rect 5550 23777 5622 23811
-rect 5505 23767 5622 23777
-rect 5277 23743 5622 23767
-rect 5290 23699 5490 23743
-rect 5505 23733 5529 23743
-rect 5505 23709 5539 23733
-rect 5550 23709 5622 23743
-rect 5505 23699 5622 23709
-rect 5277 23675 5622 23699
-rect 5290 23631 5490 23675
-rect 5505 23665 5529 23675
-rect 5505 23641 5539 23665
-rect 5550 23641 5622 23675
-rect 5505 23631 5622 23641
-rect 5277 23607 5622 23631
-rect 5290 23563 5490 23607
-rect 5505 23597 5529 23607
-rect 5505 23573 5539 23597
-rect 5550 23573 5622 23607
-rect 5505 23563 5622 23573
-rect 5277 23539 5622 23563
-rect 5290 23495 5490 23539
-rect 5505 23529 5529 23539
-rect 5505 23505 5539 23529
-rect 5550 23505 5622 23539
-rect 5505 23495 5622 23505
-rect 5277 23471 5622 23495
-rect 5290 23427 5490 23471
-rect 5505 23461 5529 23471
-rect 5505 23437 5539 23461
-rect 5550 23437 5622 23471
-rect 5505 23427 5622 23437
-rect 5277 23403 5622 23427
-rect 5290 23359 5490 23403
-rect 5505 23393 5529 23403
-rect 5505 23369 5539 23393
-rect 5550 23369 5622 23403
-rect 5505 23359 5622 23369
-rect 5277 23335 5622 23359
-rect 5290 23291 5490 23335
-rect 5505 23325 5529 23335
-rect 5505 23301 5539 23325
-rect 5550 23301 5622 23335
-rect 5505 23291 5622 23301
-rect 5277 23267 5622 23291
-rect 5290 23223 5490 23267
-rect 5505 23257 5529 23267
-rect 5505 23233 5539 23257
-rect 5550 23233 5622 23267
-rect 5505 23223 5622 23233
-rect 5277 23199 5622 23223
-rect 5290 23155 5490 23199
-rect 5505 23189 5529 23199
-rect 5505 23165 5539 23189
-rect 5550 23165 5622 23199
-rect 5505 23155 5622 23165
-rect 5277 23131 5622 23155
-rect 5290 23087 5490 23131
-rect 5505 23121 5529 23131
-rect 5505 23097 5539 23121
-rect 5550 23097 5622 23131
-rect 5505 23087 5622 23097
-rect 5277 23063 5622 23087
-rect 5290 23019 5490 23063
-rect 5505 23053 5529 23063
-rect 5505 23029 5539 23053
-rect 5550 23029 5622 23063
-rect 5505 23019 5622 23029
-rect 5277 22995 5622 23019
-rect 5290 22951 5490 22995
-rect 5505 22985 5529 22995
-rect 5505 22961 5539 22985
-rect 5550 22961 5622 22995
-rect 5505 22951 5622 22961
-rect 5277 22927 5622 22951
-rect 5290 22915 5490 22927
-rect 5301 22903 5325 22915
-rect 5505 22903 5529 22927
-rect 5550 22915 5622 22927
-rect 5852 22915 5908 23915
-rect 5924 22915 5980 23915
-rect 6282 23835 6482 23915
-rect 6497 23845 6531 23869
-rect 6542 23845 6614 23915
-rect 6497 23835 6614 23845
-rect 6269 23811 6614 23835
-rect 6282 23767 6482 23811
-rect 6497 23801 6521 23811
-rect 6497 23777 6531 23801
-rect 6542 23777 6614 23811
-rect 6497 23767 6614 23777
-rect 6269 23743 6614 23767
-rect 6282 23699 6482 23743
-rect 6497 23733 6521 23743
-rect 6497 23709 6531 23733
-rect 6542 23709 6614 23743
-rect 6497 23699 6614 23709
-rect 6269 23675 6614 23699
-rect 6282 23631 6482 23675
-rect 6497 23665 6521 23675
-rect 6497 23641 6531 23665
-rect 6542 23641 6614 23675
-rect 6497 23631 6614 23641
-rect 6269 23607 6614 23631
-rect 6282 23563 6482 23607
-rect 6497 23597 6521 23607
-rect 6497 23573 6531 23597
-rect 6542 23573 6614 23607
-rect 6497 23563 6614 23573
-rect 6269 23539 6614 23563
-rect 6282 23495 6482 23539
-rect 6497 23529 6521 23539
-rect 6497 23505 6531 23529
-rect 6542 23505 6614 23539
-rect 6497 23495 6614 23505
-rect 6269 23471 6614 23495
-rect 6282 23427 6482 23471
-rect 6497 23461 6521 23471
-rect 6497 23437 6531 23461
-rect 6542 23437 6614 23471
-rect 6497 23427 6614 23437
-rect 6269 23403 6614 23427
-rect 6282 23359 6482 23403
-rect 6497 23393 6521 23403
-rect 6497 23369 6531 23393
-rect 6542 23369 6614 23403
-rect 6497 23359 6614 23369
-rect 6269 23335 6614 23359
-rect 6282 23291 6482 23335
-rect 6497 23325 6521 23335
-rect 6497 23301 6531 23325
-rect 6542 23301 6614 23335
-rect 6497 23291 6614 23301
-rect 6269 23267 6614 23291
-rect 6282 23223 6482 23267
-rect 6497 23257 6521 23267
-rect 6497 23233 6531 23257
-rect 6542 23233 6614 23267
-rect 6497 23223 6614 23233
-rect 6269 23199 6614 23223
-rect 6282 23155 6482 23199
-rect 6497 23189 6521 23199
-rect 6497 23165 6531 23189
-rect 6542 23165 6614 23199
-rect 6497 23155 6614 23165
-rect 6269 23131 6614 23155
-rect 6282 23087 6482 23131
-rect 6497 23121 6521 23131
-rect 6497 23097 6531 23121
-rect 6542 23097 6614 23131
-rect 6497 23087 6614 23097
-rect 6269 23063 6614 23087
-rect 6282 23019 6482 23063
-rect 6497 23053 6521 23063
-rect 6497 23029 6531 23053
-rect 6542 23029 6614 23063
-rect 6497 23019 6614 23029
-rect 6269 22995 6614 23019
-rect 6282 22951 6482 22995
-rect 6497 22985 6521 22995
-rect 6497 22961 6531 22985
-rect 6542 22961 6614 22995
-rect 6497 22951 6614 22961
-rect 6269 22927 6614 22951
-rect 6282 22915 6482 22927
-rect 6293 22903 6317 22915
-rect 6497 22903 6521 22927
-rect 6542 22915 6614 22927
-rect 6844 22915 6900 23915
-rect 6916 22915 6972 23915
-rect 7274 23835 7474 23915
-rect 7489 23845 7523 23869
-rect 7534 23845 7606 23915
-rect 7489 23835 7606 23845
-rect 7261 23811 7606 23835
-rect 7274 23767 7474 23811
-rect 7489 23801 7513 23811
-rect 7489 23777 7523 23801
-rect 7534 23777 7606 23811
-rect 7489 23767 7606 23777
-rect 7261 23743 7606 23767
-rect 7274 23699 7474 23743
-rect 7489 23733 7513 23743
-rect 7489 23709 7523 23733
-rect 7534 23709 7606 23743
-rect 7489 23699 7606 23709
-rect 7261 23675 7606 23699
-rect 7274 23631 7474 23675
-rect 7489 23665 7513 23675
-rect 7489 23641 7523 23665
-rect 7534 23641 7606 23675
-rect 7489 23631 7606 23641
-rect 7261 23607 7606 23631
-rect 7274 23563 7474 23607
-rect 7489 23597 7513 23607
-rect 7489 23573 7523 23597
-rect 7534 23573 7606 23607
-rect 7489 23563 7606 23573
-rect 7261 23539 7606 23563
-rect 7274 23495 7474 23539
-rect 7489 23529 7513 23539
-rect 7489 23505 7523 23529
-rect 7534 23505 7606 23539
-rect 7489 23495 7606 23505
-rect 7261 23471 7606 23495
-rect 7274 23427 7474 23471
-rect 7489 23461 7513 23471
-rect 7489 23437 7523 23461
-rect 7534 23437 7606 23471
-rect 7489 23427 7606 23437
-rect 7261 23403 7606 23427
-rect 7274 23359 7474 23403
-rect 7489 23393 7513 23403
-rect 7489 23369 7523 23393
-rect 7534 23369 7606 23403
-rect 7489 23359 7606 23369
-rect 7261 23335 7606 23359
-rect 7274 23291 7474 23335
-rect 7489 23325 7513 23335
-rect 7489 23301 7523 23325
-rect 7534 23301 7606 23335
-rect 7489 23291 7606 23301
-rect 7261 23267 7606 23291
-rect 7274 23223 7474 23267
-rect 7489 23257 7513 23267
-rect 7489 23233 7523 23257
-rect 7534 23233 7606 23267
-rect 7489 23223 7606 23233
-rect 7261 23199 7606 23223
-rect 7274 23155 7474 23199
-rect 7489 23189 7513 23199
-rect 7489 23165 7523 23189
-rect 7534 23165 7606 23199
-rect 7489 23155 7606 23165
-rect 7261 23131 7606 23155
-rect 7274 23087 7474 23131
-rect 7489 23121 7513 23131
-rect 7489 23097 7523 23121
-rect 7534 23097 7606 23131
-rect 7489 23087 7606 23097
-rect 7261 23063 7606 23087
-rect 7274 23019 7474 23063
-rect 7489 23053 7513 23063
-rect 7489 23029 7523 23053
-rect 7534 23029 7606 23063
-rect 7489 23019 7606 23029
-rect 7261 22995 7606 23019
-rect 7274 22951 7474 22995
-rect 7489 22985 7513 22995
-rect 7489 22961 7523 22985
-rect 7534 22961 7606 22995
-rect 7489 22951 7606 22961
-rect 7261 22927 7606 22951
-rect 7274 22915 7474 22927
-rect 7285 22903 7309 22915
-rect 7489 22903 7513 22927
-rect 7534 22915 7606 22927
-rect 7836 22915 7892 23915
-rect 7908 22915 7964 23915
-rect 8266 23835 8466 23915
-rect 8481 23845 8515 23869
-rect 8526 23845 8598 23915
-rect 8481 23835 8598 23845
-rect 8253 23811 8598 23835
-rect 8266 23767 8466 23811
-rect 8481 23801 8505 23811
-rect 8481 23777 8515 23801
-rect 8526 23777 8598 23811
-rect 8481 23767 8598 23777
-rect 8253 23743 8598 23767
-rect 8266 23699 8466 23743
-rect 8481 23733 8505 23743
-rect 8481 23709 8515 23733
-rect 8526 23709 8598 23743
-rect 8481 23699 8598 23709
-rect 8253 23675 8598 23699
-rect 8266 23631 8466 23675
-rect 8481 23665 8505 23675
-rect 8481 23641 8515 23665
-rect 8526 23641 8598 23675
-rect 8481 23631 8598 23641
-rect 8253 23607 8598 23631
-rect 8266 23563 8466 23607
-rect 8481 23597 8505 23607
-rect 8481 23573 8515 23597
-rect 8526 23573 8598 23607
-rect 8481 23563 8598 23573
-rect 8253 23539 8598 23563
-rect 8266 23495 8466 23539
-rect 8481 23529 8505 23539
-rect 8481 23505 8515 23529
-rect 8526 23505 8598 23539
-rect 8481 23495 8598 23505
-rect 8253 23471 8598 23495
-rect 8266 23427 8466 23471
-rect 8481 23461 8505 23471
-rect 8481 23437 8515 23461
-rect 8526 23437 8598 23471
-rect 8481 23427 8598 23437
-rect 8253 23403 8598 23427
-rect 8266 23359 8466 23403
-rect 8481 23393 8505 23403
-rect 8481 23369 8515 23393
-rect 8526 23369 8598 23403
-rect 8481 23359 8598 23369
-rect 8253 23335 8598 23359
-rect 8266 23291 8466 23335
-rect 8481 23325 8505 23335
-rect 8481 23301 8515 23325
-rect 8526 23301 8598 23335
-rect 8481 23291 8598 23301
-rect 8253 23267 8598 23291
-rect 8266 23223 8466 23267
-rect 8481 23257 8505 23267
-rect 8481 23233 8515 23257
-rect 8526 23233 8598 23267
-rect 8481 23223 8598 23233
-rect 8253 23199 8598 23223
-rect 8266 23155 8466 23199
-rect 8481 23189 8505 23199
-rect 8481 23165 8515 23189
-rect 8526 23165 8598 23199
-rect 8481 23155 8598 23165
-rect 8253 23131 8598 23155
-rect 8266 23087 8466 23131
-rect 8481 23121 8505 23131
-rect 8481 23097 8515 23121
-rect 8526 23097 8598 23131
-rect 8481 23087 8598 23097
-rect 8253 23063 8598 23087
-rect 8266 23019 8466 23063
-rect 8481 23053 8505 23063
-rect 8481 23029 8515 23053
-rect 8526 23029 8598 23063
-rect 8481 23019 8598 23029
-rect 8253 22995 8598 23019
-rect 8266 22951 8466 22995
-rect 8481 22985 8505 22995
-rect 8481 22961 8515 22985
-rect 8526 22961 8598 22995
-rect 8481 22951 8598 22961
-rect 8253 22927 8598 22951
-rect 8266 22915 8466 22927
-rect 8277 22903 8301 22915
-rect 8481 22903 8505 22927
-rect 8526 22915 8598 22927
-rect 8828 22915 8884 23915
-rect 8900 22915 8956 23915
-rect 9258 23835 9458 23915
-rect 9473 23845 9507 23869
-rect 9518 23845 9590 23915
-rect 9473 23835 9590 23845
-rect 9245 23811 9590 23835
-rect 9258 23767 9458 23811
-rect 9473 23801 9497 23811
-rect 9473 23777 9507 23801
-rect 9518 23777 9590 23811
-rect 9473 23767 9590 23777
-rect 9245 23743 9590 23767
-rect 9258 23699 9458 23743
-rect 9473 23733 9497 23743
-rect 9473 23709 9507 23733
-rect 9518 23709 9590 23743
-rect 9473 23699 9590 23709
-rect 9245 23675 9590 23699
-rect 9258 23631 9458 23675
-rect 9473 23665 9497 23675
-rect 9473 23641 9507 23665
-rect 9518 23641 9590 23675
-rect 9473 23631 9590 23641
-rect 9245 23607 9590 23631
-rect 9258 23563 9458 23607
-rect 9473 23597 9497 23607
-rect 9473 23573 9507 23597
-rect 9518 23573 9590 23607
-rect 9473 23563 9590 23573
-rect 9245 23539 9590 23563
-rect 9258 23495 9458 23539
-rect 9473 23529 9497 23539
-rect 9473 23505 9507 23529
-rect 9518 23505 9590 23539
-rect 9473 23495 9590 23505
-rect 9245 23471 9590 23495
-rect 9258 23427 9458 23471
-rect 9473 23461 9497 23471
-rect 9473 23437 9507 23461
-rect 9518 23437 9590 23471
-rect 9473 23427 9590 23437
-rect 9245 23403 9590 23427
-rect 9258 23359 9458 23403
-rect 9473 23393 9497 23403
-rect 9473 23369 9507 23393
-rect 9518 23369 9590 23403
-rect 9473 23359 9590 23369
-rect 9245 23335 9590 23359
-rect 9258 23291 9458 23335
-rect 9473 23325 9497 23335
-rect 9473 23301 9507 23325
-rect 9518 23301 9590 23335
-rect 9473 23291 9590 23301
-rect 9245 23267 9590 23291
-rect 9258 23223 9458 23267
-rect 9473 23257 9497 23267
-rect 9473 23233 9507 23257
-rect 9518 23233 9590 23267
-rect 9473 23223 9590 23233
-rect 9245 23199 9590 23223
-rect 9258 23155 9458 23199
-rect 9473 23189 9497 23199
-rect 9473 23165 9507 23189
-rect 9518 23165 9590 23199
-rect 9473 23155 9590 23165
-rect 9245 23131 9590 23155
-rect 9258 23087 9458 23131
-rect 9473 23121 9497 23131
-rect 9473 23097 9507 23121
-rect 9518 23097 9590 23131
-rect 9473 23087 9590 23097
-rect 9245 23063 9590 23087
-rect 9258 23019 9458 23063
-rect 9473 23053 9497 23063
-rect 9473 23029 9507 23053
-rect 9518 23029 9590 23063
-rect 9473 23019 9590 23029
-rect 9245 22995 9590 23019
-rect 9258 22951 9458 22995
-rect 9473 22985 9497 22995
-rect 9473 22961 9507 22985
-rect 9518 22961 9590 22995
-rect 9473 22951 9590 22961
-rect 9245 22927 9590 22951
-rect 9258 22915 9458 22927
-rect 9269 22903 9293 22915
-rect 9473 22903 9497 22927
-rect 9518 22915 9590 22927
-rect 9820 22915 9876 23915
-rect 9892 22915 9948 23915
-rect 10250 23835 10450 23915
-rect 10465 23845 10499 23869
-rect 10510 23845 10582 23915
-rect 10465 23835 10582 23845
-rect 10237 23811 10582 23835
-rect 10250 23767 10450 23811
-rect 10465 23801 10489 23811
-rect 10465 23777 10499 23801
-rect 10510 23777 10582 23811
-rect 10465 23767 10582 23777
-rect 10237 23743 10582 23767
-rect 10250 23699 10450 23743
-rect 10465 23733 10489 23743
-rect 10465 23709 10499 23733
-rect 10510 23709 10582 23743
-rect 10465 23699 10582 23709
-rect 10237 23675 10582 23699
-rect 10250 23631 10450 23675
-rect 10465 23665 10489 23675
-rect 10465 23641 10499 23665
-rect 10510 23641 10582 23675
-rect 10465 23631 10582 23641
-rect 10237 23607 10582 23631
-rect 10250 23563 10450 23607
-rect 10465 23597 10489 23607
-rect 10465 23573 10499 23597
-rect 10510 23573 10582 23607
-rect 10465 23563 10582 23573
-rect 10237 23539 10582 23563
-rect 10250 23495 10450 23539
-rect 10465 23529 10489 23539
-rect 10465 23505 10499 23529
-rect 10510 23505 10582 23539
-rect 10465 23495 10582 23505
-rect 10237 23471 10582 23495
-rect 10250 23427 10450 23471
-rect 10465 23461 10489 23471
-rect 10465 23437 10499 23461
-rect 10510 23437 10582 23471
-rect 10465 23427 10582 23437
-rect 10237 23403 10582 23427
-rect 10250 23359 10450 23403
-rect 10465 23393 10489 23403
-rect 10465 23369 10499 23393
-rect 10510 23369 10582 23403
-rect 10465 23359 10582 23369
-rect 10237 23335 10582 23359
-rect 10250 23291 10450 23335
-rect 10465 23325 10489 23335
-rect 10465 23301 10499 23325
-rect 10510 23301 10582 23335
-rect 10465 23291 10582 23301
-rect 10237 23267 10582 23291
-rect 10250 23223 10450 23267
-rect 10465 23257 10489 23267
-rect 10465 23233 10499 23257
-rect 10510 23233 10582 23267
-rect 10465 23223 10582 23233
-rect 10237 23199 10582 23223
-rect 10250 23155 10450 23199
-rect 10465 23189 10489 23199
-rect 10465 23165 10499 23189
-rect 10510 23165 10582 23199
-rect 10465 23155 10582 23165
-rect 10237 23131 10582 23155
-rect 10250 23087 10450 23131
-rect 10465 23121 10489 23131
-rect 10465 23097 10499 23121
-rect 10510 23097 10582 23131
-rect 10465 23087 10582 23097
-rect 10237 23063 10582 23087
-rect 10250 23019 10450 23063
-rect 10465 23053 10489 23063
-rect 10465 23029 10499 23053
-rect 10510 23029 10582 23063
-rect 10465 23019 10582 23029
-rect 10237 22995 10582 23019
-rect 10250 22951 10450 22995
-rect 10465 22985 10489 22995
-rect 10465 22961 10499 22985
-rect 10510 22961 10582 22995
-rect 10465 22951 10582 22961
-rect 10237 22927 10582 22951
-rect 10250 22915 10450 22927
-rect 10261 22903 10285 22915
-rect 10465 22903 10489 22927
-rect 10510 22915 10582 22927
-rect 10812 22915 10868 23915
-rect 10884 22915 10940 23915
-rect 11242 23835 11442 23915
-rect 11457 23845 11491 23869
-rect 11502 23845 11574 23915
-rect 11457 23835 11574 23845
-rect 11229 23811 11574 23835
-rect 11242 23767 11442 23811
-rect 11457 23801 11481 23811
-rect 11457 23777 11491 23801
-rect 11502 23777 11574 23811
-rect 11457 23767 11574 23777
-rect 11229 23743 11574 23767
-rect 11242 23699 11442 23743
-rect 11457 23733 11481 23743
-rect 11457 23709 11491 23733
-rect 11502 23709 11574 23743
-rect 11457 23699 11574 23709
-rect 11229 23675 11574 23699
-rect 11242 23631 11442 23675
-rect 11457 23665 11481 23675
-rect 11457 23641 11491 23665
-rect 11502 23641 11574 23675
-rect 11457 23631 11574 23641
-rect 11229 23607 11574 23631
-rect 11242 23563 11442 23607
-rect 11457 23597 11481 23607
-rect 11457 23573 11491 23597
-rect 11502 23573 11574 23607
-rect 11457 23563 11574 23573
-rect 11229 23539 11574 23563
-rect 11242 23495 11442 23539
-rect 11457 23529 11481 23539
-rect 11457 23505 11491 23529
-rect 11502 23505 11574 23539
-rect 11457 23495 11574 23505
-rect 11229 23471 11574 23495
-rect 11242 23427 11442 23471
-rect 11457 23461 11481 23471
-rect 11457 23437 11491 23461
-rect 11502 23437 11574 23471
-rect 11457 23427 11574 23437
-rect 11229 23403 11574 23427
-rect 11242 23359 11442 23403
-rect 11457 23393 11481 23403
-rect 11457 23369 11491 23393
-rect 11502 23369 11574 23403
-rect 11457 23359 11574 23369
-rect 11229 23335 11574 23359
-rect 11242 23291 11442 23335
-rect 11457 23325 11481 23335
-rect 11457 23301 11491 23325
-rect 11502 23301 11574 23335
-rect 11457 23291 11574 23301
-rect 11229 23267 11574 23291
-rect 11242 23223 11442 23267
-rect 11457 23257 11481 23267
-rect 11457 23233 11491 23257
-rect 11502 23233 11574 23267
-rect 11457 23223 11574 23233
-rect 11229 23199 11574 23223
-rect 11242 23155 11442 23199
-rect 11457 23189 11481 23199
-rect 11457 23165 11491 23189
-rect 11502 23165 11574 23199
-rect 11457 23155 11574 23165
-rect 11229 23131 11574 23155
-rect 11242 23087 11442 23131
-rect 11457 23121 11481 23131
-rect 11457 23097 11491 23121
-rect 11502 23097 11574 23131
-rect 11457 23087 11574 23097
-rect 11229 23063 11574 23087
-rect 11242 23019 11442 23063
-rect 11457 23053 11481 23063
-rect 11457 23029 11491 23053
-rect 11502 23029 11574 23063
-rect 11457 23019 11574 23029
-rect 11229 22995 11574 23019
-rect 11242 22951 11442 22995
-rect 11457 22985 11481 22995
-rect 11457 22961 11491 22985
-rect 11502 22961 11574 22995
-rect 11457 22951 11574 22961
-rect 11229 22927 11574 22951
-rect 11242 22915 11442 22927
-rect 11253 22903 11277 22915
-rect 11457 22903 11481 22927
-rect 11502 22915 11574 22927
-rect 11804 22915 11860 23915
-rect 11876 22915 11932 23915
-rect 12234 23835 12434 23915
-rect 12449 23845 12483 23869
-rect 12494 23845 12566 23915
-rect 12449 23835 12566 23845
-rect 12221 23811 12566 23835
-rect 12234 23767 12434 23811
-rect 12449 23801 12473 23811
-rect 12449 23777 12483 23801
-rect 12494 23777 12566 23811
-rect 12449 23767 12566 23777
-rect 12221 23743 12566 23767
-rect 12234 23699 12434 23743
-rect 12449 23733 12473 23743
-rect 12449 23709 12483 23733
-rect 12494 23709 12566 23743
-rect 12449 23699 12566 23709
-rect 12221 23675 12566 23699
-rect 12234 23631 12434 23675
-rect 12449 23665 12473 23675
-rect 12449 23641 12483 23665
-rect 12494 23641 12566 23675
-rect 12449 23631 12566 23641
-rect 12221 23607 12566 23631
-rect 12234 23563 12434 23607
-rect 12449 23597 12473 23607
-rect 12449 23573 12483 23597
-rect 12494 23573 12566 23607
-rect 12449 23563 12566 23573
-rect 12221 23539 12566 23563
-rect 12234 23495 12434 23539
-rect 12449 23529 12473 23539
-rect 12449 23505 12483 23529
-rect 12494 23505 12566 23539
-rect 12449 23495 12566 23505
-rect 12221 23471 12566 23495
-rect 12234 23427 12434 23471
-rect 12449 23461 12473 23471
-rect 12449 23437 12483 23461
-rect 12494 23437 12566 23471
-rect 12449 23427 12566 23437
-rect 12221 23403 12566 23427
-rect 12234 23359 12434 23403
-rect 12449 23393 12473 23403
-rect 12449 23369 12483 23393
-rect 12494 23369 12566 23403
-rect 12449 23359 12566 23369
-rect 12221 23335 12566 23359
-rect 12234 23291 12434 23335
-rect 12449 23325 12473 23335
-rect 12449 23301 12483 23325
-rect 12494 23301 12566 23335
-rect 12449 23291 12566 23301
-rect 12221 23267 12566 23291
-rect 12234 23223 12434 23267
-rect 12449 23257 12473 23267
-rect 12449 23233 12483 23257
-rect 12494 23233 12566 23267
-rect 12449 23223 12566 23233
-rect 12221 23199 12566 23223
-rect 12234 23155 12434 23199
-rect 12449 23189 12473 23199
-rect 12449 23165 12483 23189
-rect 12494 23165 12566 23199
-rect 12449 23155 12566 23165
-rect 12221 23131 12566 23155
-rect 12234 23087 12434 23131
-rect 12449 23121 12473 23131
-rect 12449 23097 12483 23121
-rect 12494 23097 12566 23131
-rect 12449 23087 12566 23097
-rect 12221 23063 12566 23087
-rect 12234 23019 12434 23063
-rect 12449 23053 12473 23063
-rect 12449 23029 12483 23053
-rect 12494 23029 12566 23063
-rect 12449 23019 12566 23029
-rect 12221 22995 12566 23019
-rect 12234 22951 12434 22995
-rect 12449 22985 12473 22995
-rect 12449 22961 12483 22985
-rect 12494 22961 12566 22995
-rect 12449 22951 12566 22961
-rect 12221 22927 12566 22951
-rect 12234 22915 12434 22927
-rect 12245 22903 12269 22915
-rect 12449 22903 12473 22927
-rect 12494 22915 12566 22927
-rect 12796 22915 12852 23915
-rect 12868 22915 12924 23915
-rect 13226 23835 13426 23915
-rect 13441 23845 13475 23869
-rect 13486 23845 13558 23915
-rect 13441 23835 13558 23845
-rect 13213 23811 13558 23835
-rect 13226 23767 13426 23811
-rect 13441 23801 13465 23811
-rect 13441 23777 13475 23801
-rect 13486 23777 13558 23811
-rect 13441 23767 13558 23777
-rect 13213 23743 13558 23767
-rect 13226 23699 13426 23743
-rect 13441 23733 13465 23743
-rect 13441 23709 13475 23733
-rect 13486 23709 13558 23743
-rect 13441 23699 13558 23709
-rect 13213 23675 13558 23699
-rect 13226 23631 13426 23675
-rect 13441 23665 13465 23675
-rect 13441 23641 13475 23665
-rect 13486 23641 13558 23675
-rect 13441 23631 13558 23641
-rect 13213 23607 13558 23631
-rect 13226 23563 13426 23607
-rect 13441 23597 13465 23607
-rect 13441 23573 13475 23597
-rect 13486 23573 13558 23607
-rect 13441 23563 13558 23573
-rect 13213 23539 13558 23563
-rect 13226 23495 13426 23539
-rect 13441 23529 13465 23539
-rect 13441 23505 13475 23529
-rect 13486 23505 13558 23539
-rect 13441 23495 13558 23505
-rect 13213 23471 13558 23495
-rect 13226 23427 13426 23471
-rect 13441 23461 13465 23471
-rect 13441 23437 13475 23461
-rect 13486 23437 13558 23471
-rect 13441 23427 13558 23437
-rect 13213 23403 13558 23427
-rect 13226 23359 13426 23403
-rect 13441 23393 13465 23403
-rect 13441 23369 13475 23393
-rect 13486 23369 13558 23403
-rect 13441 23359 13558 23369
-rect 13213 23335 13558 23359
-rect 13226 23291 13426 23335
-rect 13441 23325 13465 23335
-rect 13441 23301 13475 23325
-rect 13486 23301 13558 23335
-rect 13441 23291 13558 23301
-rect 13213 23267 13558 23291
-rect 13226 23223 13426 23267
-rect 13441 23257 13465 23267
-rect 13441 23233 13475 23257
-rect 13486 23233 13558 23267
-rect 13441 23223 13558 23233
-rect 13213 23199 13558 23223
-rect 13226 23155 13426 23199
-rect 13441 23189 13465 23199
-rect 13441 23165 13475 23189
-rect 13486 23165 13558 23199
-rect 13441 23155 13558 23165
-rect 13213 23131 13558 23155
-rect 13226 23087 13426 23131
-rect 13441 23121 13465 23131
-rect 13441 23097 13475 23121
-rect 13486 23097 13558 23131
-rect 13441 23087 13558 23097
-rect 13213 23063 13558 23087
-rect 13226 23019 13426 23063
-rect 13441 23053 13465 23063
-rect 13441 23029 13475 23053
-rect 13486 23029 13558 23063
-rect 13441 23019 13558 23029
-rect 13213 22995 13558 23019
-rect 13226 22951 13426 22995
-rect 13441 22985 13465 22995
-rect 13441 22961 13475 22985
-rect 13486 22961 13558 22995
-rect 13441 22951 13558 22961
-rect 13213 22927 13558 22951
-rect 13226 22915 13426 22927
-rect 13237 22903 13261 22915
-rect 13441 22903 13465 22927
-rect 13486 22915 13558 22927
-rect 13788 22915 13844 23915
-rect 13860 22915 13916 23915
-rect 14218 23835 14418 23915
-rect 14433 23845 14467 23869
-rect 14478 23845 14550 23915
-rect 14433 23835 14550 23845
-rect 14205 23811 14550 23835
-rect 14218 23767 14418 23811
-rect 14433 23801 14457 23811
-rect 14433 23777 14467 23801
-rect 14478 23777 14550 23811
-rect 14433 23767 14550 23777
-rect 14205 23743 14550 23767
-rect 14218 23699 14418 23743
-rect 14433 23733 14457 23743
-rect 14433 23709 14467 23733
-rect 14478 23709 14550 23743
-rect 14433 23699 14550 23709
-rect 14205 23675 14550 23699
-rect 14218 23631 14418 23675
-rect 14433 23665 14457 23675
-rect 14433 23641 14467 23665
-rect 14478 23641 14550 23675
-rect 14433 23631 14550 23641
-rect 14205 23607 14550 23631
-rect 14218 23563 14418 23607
-rect 14433 23597 14457 23607
-rect 14433 23573 14467 23597
-rect 14478 23573 14550 23607
-rect 14433 23563 14550 23573
-rect 14205 23539 14550 23563
-rect 14218 23495 14418 23539
-rect 14433 23529 14457 23539
-rect 14433 23505 14467 23529
-rect 14478 23505 14550 23539
-rect 14433 23495 14550 23505
-rect 14205 23471 14550 23495
-rect 14218 23427 14418 23471
-rect 14433 23461 14457 23471
-rect 14433 23437 14467 23461
-rect 14478 23437 14550 23471
-rect 14433 23427 14550 23437
-rect 14205 23403 14550 23427
-rect 14218 23359 14418 23403
-rect 14433 23393 14457 23403
-rect 14433 23369 14467 23393
-rect 14478 23369 14550 23403
-rect 14433 23359 14550 23369
-rect 14205 23335 14550 23359
-rect 14218 23291 14418 23335
-rect 14433 23325 14457 23335
-rect 14433 23301 14467 23325
-rect 14478 23301 14550 23335
-rect 14433 23291 14550 23301
-rect 14205 23267 14550 23291
-rect 14218 23223 14418 23267
-rect 14433 23257 14457 23267
-rect 14433 23233 14467 23257
-rect 14478 23233 14550 23267
-rect 14433 23223 14550 23233
-rect 14205 23199 14550 23223
-rect 14218 23155 14418 23199
-rect 14433 23189 14457 23199
-rect 14433 23165 14467 23189
-rect 14478 23165 14550 23199
-rect 14433 23155 14550 23165
-rect 14205 23131 14550 23155
-rect 14218 23087 14418 23131
-rect 14433 23121 14457 23131
-rect 14433 23097 14467 23121
-rect 14478 23097 14550 23131
-rect 14433 23087 14550 23097
-rect 14205 23063 14550 23087
-rect 14218 23019 14418 23063
-rect 14433 23053 14457 23063
-rect 14433 23029 14467 23053
-rect 14478 23029 14550 23063
-rect 14433 23019 14550 23029
-rect 14205 22995 14550 23019
-rect 14218 22951 14418 22995
-rect 14433 22985 14457 22995
-rect 14433 22961 14467 22985
-rect 14478 22961 14550 22995
-rect 14433 22951 14550 22961
-rect 14205 22927 14550 22951
-rect 14218 22915 14418 22927
-rect 14229 22903 14253 22915
-rect 14433 22903 14457 22927
-rect 14478 22915 14550 22927
-rect 14739 22915 14811 23915
-rect 14877 22915 14894 23915
-rect 15064 22915 15097 23915
-rect 15220 23723 15288 23749
-rect 15220 23689 15254 23715
-rect 2325 22315 2359 22327
-rect 1623 21315 1673 22315
-rect 1884 21315 1940 22315
-rect 1956 21315 2012 22315
-rect 2314 22293 2514 22315
-rect 2529 22303 2563 22327
-rect 3317 22315 3351 22327
-rect 2574 22303 2646 22315
-rect 2529 22293 2646 22303
-rect 2301 22269 2646 22293
-rect 2314 22225 2514 22269
-rect 2529 22259 2553 22269
-rect 2529 22235 2563 22259
-rect 2574 22235 2646 22269
-rect 2529 22225 2646 22235
-rect 2301 22201 2646 22225
-rect 2314 22157 2514 22201
-rect 2529 22191 2553 22201
-rect 2529 22167 2563 22191
-rect 2574 22167 2646 22201
-rect 2529 22157 2646 22167
-rect 2301 22133 2646 22157
-rect 2314 22089 2514 22133
-rect 2529 22123 2553 22133
-rect 2529 22099 2563 22123
-rect 2574 22099 2646 22133
-rect 2529 22089 2646 22099
-rect 2301 22065 2646 22089
-rect 2314 22021 2514 22065
-rect 2529 22055 2553 22065
-rect 2529 22031 2563 22055
-rect 2574 22031 2646 22065
-rect 2529 22021 2646 22031
-rect 2301 21997 2646 22021
-rect 2314 21953 2514 21997
-rect 2529 21987 2553 21997
-rect 2529 21963 2563 21987
-rect 2574 21963 2646 21997
-rect 2529 21953 2646 21963
-rect 2301 21929 2646 21953
-rect 2314 21885 2514 21929
-rect 2529 21919 2553 21929
-rect 2529 21895 2563 21919
-rect 2574 21895 2646 21929
-rect 2529 21885 2646 21895
-rect 2301 21861 2646 21885
-rect 2314 21817 2514 21861
-rect 2529 21851 2553 21861
-rect 2529 21827 2563 21851
-rect 2574 21827 2646 21861
-rect 2529 21817 2646 21827
-rect 2301 21793 2646 21817
-rect 2314 21749 2514 21793
-rect 2529 21783 2553 21793
-rect 2529 21759 2563 21783
-rect 2574 21759 2646 21793
-rect 2529 21749 2646 21759
-rect 2301 21725 2646 21749
-rect 2314 21681 2514 21725
-rect 2529 21715 2553 21725
-rect 2529 21691 2563 21715
-rect 2574 21691 2646 21725
-rect 2529 21681 2646 21691
-rect 2301 21657 2646 21681
-rect 2314 21613 2514 21657
-rect 2529 21647 2553 21657
-rect 2529 21623 2563 21647
-rect 2574 21623 2646 21657
-rect 2529 21613 2646 21623
-rect 2301 21589 2646 21613
-rect 2314 21545 2514 21589
-rect 2529 21579 2553 21589
-rect 2529 21555 2563 21579
-rect 2574 21555 2646 21589
-rect 2529 21545 2646 21555
-rect 2301 21521 2646 21545
-rect 2314 21477 2514 21521
-rect 2529 21511 2553 21521
-rect 2529 21487 2563 21511
-rect 2574 21487 2646 21521
-rect 2529 21477 2646 21487
-rect 2301 21453 2646 21477
-rect 2314 21409 2514 21453
-rect 2529 21443 2553 21453
-rect 2529 21419 2563 21443
-rect 2574 21419 2646 21453
-rect 2529 21409 2646 21419
-rect 2301 21385 2646 21409
-rect 2314 21315 2514 21385
-rect 2529 21361 2553 21385
-rect 2574 21315 2646 21385
-rect 2876 21315 2932 22315
-rect 2948 21315 3004 22315
-rect 3306 22293 3506 22315
-rect 3521 22303 3555 22327
-rect 4309 22315 4343 22327
-rect 3566 22303 3638 22315
-rect 3521 22293 3638 22303
-rect 3293 22269 3638 22293
-rect 3306 22225 3506 22269
-rect 3521 22259 3545 22269
-rect 3521 22235 3555 22259
-rect 3566 22235 3638 22269
-rect 3521 22225 3638 22235
-rect 3293 22201 3638 22225
-rect 3306 22157 3506 22201
-rect 3521 22191 3545 22201
-rect 3521 22167 3555 22191
-rect 3566 22167 3638 22201
-rect 3521 22157 3638 22167
-rect 3293 22133 3638 22157
-rect 3306 22089 3506 22133
-rect 3521 22123 3545 22133
-rect 3521 22099 3555 22123
-rect 3566 22099 3638 22133
-rect 3521 22089 3638 22099
-rect 3293 22065 3638 22089
-rect 3306 22021 3506 22065
-rect 3521 22055 3545 22065
-rect 3521 22031 3555 22055
-rect 3566 22031 3638 22065
-rect 3521 22021 3638 22031
-rect 3293 21997 3638 22021
-rect 3306 21953 3506 21997
-rect 3521 21987 3545 21997
-rect 3521 21963 3555 21987
-rect 3566 21963 3638 21997
-rect 3521 21953 3638 21963
-rect 3293 21929 3638 21953
-rect 3306 21885 3506 21929
-rect 3521 21919 3545 21929
-rect 3521 21895 3555 21919
-rect 3566 21895 3638 21929
-rect 3521 21885 3638 21895
-rect 3293 21861 3638 21885
-rect 3306 21817 3506 21861
-rect 3521 21851 3545 21861
-rect 3521 21827 3555 21851
-rect 3566 21827 3638 21861
-rect 3521 21817 3638 21827
-rect 3293 21793 3638 21817
-rect 3306 21749 3506 21793
-rect 3521 21783 3545 21793
-rect 3521 21759 3555 21783
-rect 3566 21759 3638 21793
-rect 3521 21749 3638 21759
-rect 3293 21725 3638 21749
-rect 3306 21681 3506 21725
-rect 3521 21715 3545 21725
-rect 3521 21691 3555 21715
-rect 3566 21691 3638 21725
-rect 3521 21681 3638 21691
-rect 3293 21657 3638 21681
-rect 3306 21613 3506 21657
-rect 3521 21647 3545 21657
-rect 3521 21623 3555 21647
-rect 3566 21623 3638 21657
-rect 3521 21613 3638 21623
-rect 3293 21589 3638 21613
-rect 3306 21545 3506 21589
-rect 3521 21579 3545 21589
-rect 3521 21555 3555 21579
-rect 3566 21555 3638 21589
-rect 3521 21545 3638 21555
-rect 3293 21521 3638 21545
-rect 3306 21477 3506 21521
-rect 3521 21511 3545 21521
-rect 3521 21487 3555 21511
-rect 3566 21487 3638 21521
-rect 3521 21477 3638 21487
-rect 3293 21453 3638 21477
-rect 3306 21409 3506 21453
-rect 3521 21443 3545 21453
-rect 3521 21419 3555 21443
-rect 3566 21419 3638 21453
-rect 3521 21409 3638 21419
-rect 3293 21385 3638 21409
-rect 3306 21315 3506 21385
-rect 3521 21361 3545 21385
-rect 3566 21315 3638 21385
-rect 3868 21315 3924 22315
-rect 3940 21315 3996 22315
-rect 4298 22293 4498 22315
-rect 4513 22303 4547 22327
-rect 5301 22315 5335 22327
-rect 4558 22303 4630 22315
-rect 4513 22293 4630 22303
-rect 4285 22269 4630 22293
-rect 4298 22225 4498 22269
-rect 4513 22259 4537 22269
-rect 4513 22235 4547 22259
-rect 4558 22235 4630 22269
-rect 4513 22225 4630 22235
-rect 4285 22201 4630 22225
-rect 4298 22157 4498 22201
-rect 4513 22191 4537 22201
-rect 4513 22167 4547 22191
-rect 4558 22167 4630 22201
-rect 4513 22157 4630 22167
-rect 4285 22133 4630 22157
-rect 4298 22089 4498 22133
-rect 4513 22123 4537 22133
-rect 4513 22099 4547 22123
-rect 4558 22099 4630 22133
-rect 4513 22089 4630 22099
-rect 4285 22065 4630 22089
-rect 4298 22021 4498 22065
-rect 4513 22055 4537 22065
-rect 4513 22031 4547 22055
-rect 4558 22031 4630 22065
-rect 4513 22021 4630 22031
-rect 4285 21997 4630 22021
-rect 4298 21953 4498 21997
-rect 4513 21987 4537 21997
-rect 4513 21963 4547 21987
-rect 4558 21963 4630 21997
-rect 4513 21953 4630 21963
-rect 4285 21929 4630 21953
-rect 4298 21885 4498 21929
-rect 4513 21919 4537 21929
-rect 4513 21895 4547 21919
-rect 4558 21895 4630 21929
-rect 4513 21885 4630 21895
-rect 4285 21861 4630 21885
-rect 4298 21817 4498 21861
-rect 4513 21851 4537 21861
-rect 4513 21827 4547 21851
-rect 4558 21827 4630 21861
-rect 4513 21817 4630 21827
-rect 4285 21793 4630 21817
-rect 4298 21749 4498 21793
-rect 4513 21783 4537 21793
-rect 4513 21759 4547 21783
-rect 4558 21759 4630 21793
-rect 4513 21749 4630 21759
-rect 4285 21725 4630 21749
-rect 4298 21681 4498 21725
-rect 4513 21715 4537 21725
-rect 4513 21691 4547 21715
-rect 4558 21691 4630 21725
-rect 4513 21681 4630 21691
-rect 4285 21657 4630 21681
-rect 4298 21613 4498 21657
-rect 4513 21647 4537 21657
-rect 4513 21623 4547 21647
-rect 4558 21623 4630 21657
-rect 4513 21613 4630 21623
-rect 4285 21589 4630 21613
-rect 4298 21545 4498 21589
-rect 4513 21579 4537 21589
-rect 4513 21555 4547 21579
-rect 4558 21555 4630 21589
-rect 4513 21545 4630 21555
-rect 4285 21521 4630 21545
-rect 4298 21477 4498 21521
-rect 4513 21511 4537 21521
-rect 4513 21487 4547 21511
-rect 4558 21487 4630 21521
-rect 4513 21477 4630 21487
-rect 4285 21453 4630 21477
-rect 4298 21409 4498 21453
-rect 4513 21443 4537 21453
-rect 4513 21419 4547 21443
-rect 4558 21419 4630 21453
-rect 4513 21409 4630 21419
-rect 4285 21385 4630 21409
-rect 4298 21315 4498 21385
-rect 4513 21361 4537 21385
-rect 4558 21315 4630 21385
-rect 4860 21315 4916 22315
-rect 4932 21315 4988 22315
-rect 5290 22293 5490 22315
-rect 5505 22303 5539 22327
-rect 6293 22315 6327 22327
-rect 5550 22303 5622 22315
-rect 5505 22293 5622 22303
-rect 5277 22269 5622 22293
-rect 5290 22225 5490 22269
-rect 5505 22259 5529 22269
-rect 5505 22235 5539 22259
-rect 5550 22235 5622 22269
-rect 5505 22225 5622 22235
-rect 5277 22201 5622 22225
-rect 5290 22157 5490 22201
-rect 5505 22191 5529 22201
-rect 5505 22167 5539 22191
-rect 5550 22167 5622 22201
-rect 5505 22157 5622 22167
-rect 5277 22133 5622 22157
-rect 5290 22089 5490 22133
-rect 5505 22123 5529 22133
-rect 5505 22099 5539 22123
-rect 5550 22099 5622 22133
-rect 5505 22089 5622 22099
-rect 5277 22065 5622 22089
-rect 5290 22021 5490 22065
-rect 5505 22055 5529 22065
-rect 5505 22031 5539 22055
-rect 5550 22031 5622 22065
-rect 5505 22021 5622 22031
-rect 5277 21997 5622 22021
-rect 5290 21953 5490 21997
-rect 5505 21987 5529 21997
-rect 5505 21963 5539 21987
-rect 5550 21963 5622 21997
-rect 5505 21953 5622 21963
-rect 5277 21929 5622 21953
-rect 5290 21885 5490 21929
-rect 5505 21919 5529 21929
-rect 5505 21895 5539 21919
-rect 5550 21895 5622 21929
-rect 5505 21885 5622 21895
-rect 5277 21861 5622 21885
-rect 5290 21817 5490 21861
-rect 5505 21851 5529 21861
-rect 5505 21827 5539 21851
-rect 5550 21827 5622 21861
-rect 5505 21817 5622 21827
-rect 5277 21793 5622 21817
-rect 5290 21749 5490 21793
-rect 5505 21783 5529 21793
-rect 5505 21759 5539 21783
-rect 5550 21759 5622 21793
-rect 5505 21749 5622 21759
-rect 5277 21725 5622 21749
-rect 5290 21681 5490 21725
-rect 5505 21715 5529 21725
-rect 5505 21691 5539 21715
-rect 5550 21691 5622 21725
-rect 5505 21681 5622 21691
-rect 5277 21657 5622 21681
-rect 5290 21613 5490 21657
-rect 5505 21647 5529 21657
-rect 5505 21623 5539 21647
-rect 5550 21623 5622 21657
-rect 5505 21613 5622 21623
-rect 5277 21589 5622 21613
-rect 5290 21545 5490 21589
-rect 5505 21579 5529 21589
-rect 5505 21555 5539 21579
-rect 5550 21555 5622 21589
-rect 5505 21545 5622 21555
-rect 5277 21521 5622 21545
-rect 5290 21477 5490 21521
-rect 5505 21511 5529 21521
-rect 5505 21487 5539 21511
-rect 5550 21487 5622 21521
-rect 5505 21477 5622 21487
-rect 5277 21453 5622 21477
-rect 5290 21409 5490 21453
-rect 5505 21443 5529 21453
-rect 5505 21419 5539 21443
-rect 5550 21419 5622 21453
-rect 5505 21409 5622 21419
-rect 5277 21385 5622 21409
-rect 5290 21315 5490 21385
-rect 5505 21361 5529 21385
-rect 5550 21315 5622 21385
-rect 5852 21315 5908 22315
-rect 5924 21315 5980 22315
-rect 6282 22293 6482 22315
-rect 6497 22303 6531 22327
-rect 7285 22315 7319 22327
-rect 6542 22303 6614 22315
-rect 6497 22293 6614 22303
-rect 6269 22269 6614 22293
-rect 6282 22225 6482 22269
-rect 6497 22259 6521 22269
-rect 6497 22235 6531 22259
-rect 6542 22235 6614 22269
-rect 6497 22225 6614 22235
-rect 6269 22201 6614 22225
-rect 6282 22157 6482 22201
-rect 6497 22191 6521 22201
-rect 6497 22167 6531 22191
-rect 6542 22167 6614 22201
-rect 6497 22157 6614 22167
-rect 6269 22133 6614 22157
-rect 6282 22089 6482 22133
-rect 6497 22123 6521 22133
-rect 6497 22099 6531 22123
-rect 6542 22099 6614 22133
-rect 6497 22089 6614 22099
-rect 6269 22065 6614 22089
-rect 6282 22021 6482 22065
-rect 6497 22055 6521 22065
-rect 6497 22031 6531 22055
-rect 6542 22031 6614 22065
-rect 6497 22021 6614 22031
-rect 6269 21997 6614 22021
-rect 6282 21953 6482 21997
-rect 6497 21987 6521 21997
-rect 6497 21963 6531 21987
-rect 6542 21963 6614 21997
-rect 6497 21953 6614 21963
-rect 6269 21929 6614 21953
-rect 6282 21885 6482 21929
-rect 6497 21919 6521 21929
-rect 6497 21895 6531 21919
-rect 6542 21895 6614 21929
-rect 6497 21885 6614 21895
-rect 6269 21861 6614 21885
-rect 6282 21817 6482 21861
-rect 6497 21851 6521 21861
-rect 6497 21827 6531 21851
-rect 6542 21827 6614 21861
-rect 6497 21817 6614 21827
-rect 6269 21793 6614 21817
-rect 6282 21749 6482 21793
-rect 6497 21783 6521 21793
-rect 6497 21759 6531 21783
-rect 6542 21759 6614 21793
-rect 6497 21749 6614 21759
-rect 6269 21725 6614 21749
-rect 6282 21681 6482 21725
-rect 6497 21715 6521 21725
-rect 6497 21691 6531 21715
-rect 6542 21691 6614 21725
-rect 6497 21681 6614 21691
-rect 6269 21657 6614 21681
-rect 6282 21613 6482 21657
-rect 6497 21647 6521 21657
-rect 6497 21623 6531 21647
-rect 6542 21623 6614 21657
-rect 6497 21613 6614 21623
-rect 6269 21589 6614 21613
-rect 6282 21545 6482 21589
-rect 6497 21579 6521 21589
-rect 6497 21555 6531 21579
-rect 6542 21555 6614 21589
-rect 6497 21545 6614 21555
-rect 6269 21521 6614 21545
-rect 6282 21477 6482 21521
-rect 6497 21511 6521 21521
-rect 6497 21487 6531 21511
-rect 6542 21487 6614 21521
-rect 6497 21477 6614 21487
-rect 6269 21453 6614 21477
-rect 6282 21409 6482 21453
-rect 6497 21443 6521 21453
-rect 6497 21419 6531 21443
-rect 6542 21419 6614 21453
-rect 6497 21409 6614 21419
-rect 6269 21385 6614 21409
-rect 6282 21315 6482 21385
-rect 6497 21361 6521 21385
-rect 6542 21315 6614 21385
-rect 6844 21315 6900 22315
-rect 6916 21315 6972 22315
-rect 7274 22293 7474 22315
-rect 7489 22303 7523 22327
-rect 8277 22315 8311 22327
-rect 7534 22303 7606 22315
-rect 7489 22293 7606 22303
-rect 7261 22269 7606 22293
-rect 7274 22225 7474 22269
-rect 7489 22259 7513 22269
-rect 7489 22235 7523 22259
-rect 7534 22235 7606 22269
-rect 7489 22225 7606 22235
-rect 7261 22201 7606 22225
-rect 7274 22157 7474 22201
-rect 7489 22191 7513 22201
-rect 7489 22167 7523 22191
-rect 7534 22167 7606 22201
-rect 7489 22157 7606 22167
-rect 7261 22133 7606 22157
-rect 7274 22089 7474 22133
-rect 7489 22123 7513 22133
-rect 7489 22099 7523 22123
-rect 7534 22099 7606 22133
-rect 7489 22089 7606 22099
-rect 7261 22065 7606 22089
-rect 7274 22021 7474 22065
-rect 7489 22055 7513 22065
-rect 7489 22031 7523 22055
-rect 7534 22031 7606 22065
-rect 7489 22021 7606 22031
-rect 7261 21997 7606 22021
-rect 7274 21953 7474 21997
-rect 7489 21987 7513 21997
-rect 7489 21963 7523 21987
-rect 7534 21963 7606 21997
-rect 7489 21953 7606 21963
-rect 7261 21929 7606 21953
-rect 7274 21885 7474 21929
-rect 7489 21919 7513 21929
-rect 7489 21895 7523 21919
-rect 7534 21895 7606 21929
-rect 7489 21885 7606 21895
-rect 7261 21861 7606 21885
-rect 7274 21817 7474 21861
-rect 7489 21851 7513 21861
-rect 7489 21827 7523 21851
-rect 7534 21827 7606 21861
-rect 7489 21817 7606 21827
-rect 7261 21793 7606 21817
-rect 7274 21749 7474 21793
-rect 7489 21783 7513 21793
-rect 7489 21759 7523 21783
-rect 7534 21759 7606 21793
-rect 7489 21749 7606 21759
-rect 7261 21725 7606 21749
-rect 7274 21681 7474 21725
-rect 7489 21715 7513 21725
-rect 7489 21691 7523 21715
-rect 7534 21691 7606 21725
-rect 7489 21681 7606 21691
-rect 7261 21657 7606 21681
-rect 7274 21613 7474 21657
-rect 7489 21647 7513 21657
-rect 7489 21623 7523 21647
-rect 7534 21623 7606 21657
-rect 7489 21613 7606 21623
-rect 7261 21589 7606 21613
-rect 7274 21545 7474 21589
-rect 7489 21579 7513 21589
-rect 7489 21555 7523 21579
-rect 7534 21555 7606 21589
-rect 7489 21545 7606 21555
-rect 7261 21521 7606 21545
-rect 7274 21477 7474 21521
-rect 7489 21511 7513 21521
-rect 7489 21487 7523 21511
-rect 7534 21487 7606 21521
-rect 7489 21477 7606 21487
-rect 7261 21453 7606 21477
-rect 7274 21409 7474 21453
-rect 7489 21443 7513 21453
-rect 7489 21419 7523 21443
-rect 7534 21419 7606 21453
-rect 7489 21409 7606 21419
-rect 7261 21385 7606 21409
-rect 7274 21315 7474 21385
-rect 7489 21361 7513 21385
-rect 7534 21315 7606 21385
-rect 7836 21315 7892 22315
-rect 7908 21315 7964 22315
-rect 8266 22293 8466 22315
-rect 8481 22303 8515 22327
-rect 9269 22315 9303 22327
-rect 8526 22303 8598 22315
-rect 8481 22293 8598 22303
-rect 8253 22269 8598 22293
-rect 8266 22225 8466 22269
-rect 8481 22259 8505 22269
-rect 8481 22235 8515 22259
-rect 8526 22235 8598 22269
-rect 8481 22225 8598 22235
-rect 8253 22201 8598 22225
-rect 8266 22157 8466 22201
-rect 8481 22191 8505 22201
-rect 8481 22167 8515 22191
-rect 8526 22167 8598 22201
-rect 8481 22157 8598 22167
-rect 8253 22133 8598 22157
-rect 8266 22089 8466 22133
-rect 8481 22123 8505 22133
-rect 8481 22099 8515 22123
-rect 8526 22099 8598 22133
-rect 8481 22089 8598 22099
-rect 8253 22065 8598 22089
-rect 8266 22021 8466 22065
-rect 8481 22055 8505 22065
-rect 8481 22031 8515 22055
-rect 8526 22031 8598 22065
-rect 8481 22021 8598 22031
-rect 8253 21997 8598 22021
-rect 8266 21953 8466 21997
-rect 8481 21987 8505 21997
-rect 8481 21963 8515 21987
-rect 8526 21963 8598 21997
-rect 8481 21953 8598 21963
-rect 8253 21929 8598 21953
-rect 8266 21885 8466 21929
-rect 8481 21919 8505 21929
-rect 8481 21895 8515 21919
-rect 8526 21895 8598 21929
-rect 8481 21885 8598 21895
-rect 8253 21861 8598 21885
-rect 8266 21817 8466 21861
-rect 8481 21851 8505 21861
-rect 8481 21827 8515 21851
-rect 8526 21827 8598 21861
-rect 8481 21817 8598 21827
-rect 8253 21793 8598 21817
-rect 8266 21749 8466 21793
-rect 8481 21783 8505 21793
-rect 8481 21759 8515 21783
-rect 8526 21759 8598 21793
-rect 8481 21749 8598 21759
-rect 8253 21725 8598 21749
-rect 8266 21681 8466 21725
-rect 8481 21715 8505 21725
-rect 8481 21691 8515 21715
-rect 8526 21691 8598 21725
-rect 8481 21681 8598 21691
-rect 8253 21657 8598 21681
-rect 8266 21613 8466 21657
-rect 8481 21647 8505 21657
-rect 8481 21623 8515 21647
-rect 8526 21623 8598 21657
-rect 8481 21613 8598 21623
-rect 8253 21589 8598 21613
-rect 8266 21545 8466 21589
-rect 8481 21579 8505 21589
-rect 8481 21555 8515 21579
-rect 8526 21555 8598 21589
-rect 8481 21545 8598 21555
-rect 8253 21521 8598 21545
-rect 8266 21477 8466 21521
-rect 8481 21511 8505 21521
-rect 8481 21487 8515 21511
-rect 8526 21487 8598 21521
-rect 8481 21477 8598 21487
-rect 8253 21453 8598 21477
-rect 8266 21409 8466 21453
-rect 8481 21443 8505 21453
-rect 8481 21419 8515 21443
-rect 8526 21419 8598 21453
-rect 8481 21409 8598 21419
-rect 8253 21385 8598 21409
-rect 8266 21315 8466 21385
-rect 8481 21361 8505 21385
-rect 8526 21315 8598 21385
-rect 8828 21315 8884 22315
-rect 8900 21315 8956 22315
-rect 9258 22293 9458 22315
-rect 9473 22303 9507 22327
-rect 10261 22315 10295 22327
-rect 9518 22303 9590 22315
-rect 9473 22293 9590 22303
-rect 9245 22269 9590 22293
-rect 9258 22225 9458 22269
-rect 9473 22259 9497 22269
-rect 9473 22235 9507 22259
-rect 9518 22235 9590 22269
-rect 9473 22225 9590 22235
-rect 9245 22201 9590 22225
-rect 9258 22157 9458 22201
-rect 9473 22191 9497 22201
-rect 9473 22167 9507 22191
-rect 9518 22167 9590 22201
-rect 9473 22157 9590 22167
-rect 9245 22133 9590 22157
-rect 9258 22089 9458 22133
-rect 9473 22123 9497 22133
-rect 9473 22099 9507 22123
-rect 9518 22099 9590 22133
-rect 9473 22089 9590 22099
-rect 9245 22065 9590 22089
-rect 9258 22021 9458 22065
-rect 9473 22055 9497 22065
-rect 9473 22031 9507 22055
-rect 9518 22031 9590 22065
-rect 9473 22021 9590 22031
-rect 9245 21997 9590 22021
-rect 9258 21953 9458 21997
-rect 9473 21987 9497 21997
-rect 9473 21963 9507 21987
-rect 9518 21963 9590 21997
-rect 9473 21953 9590 21963
-rect 9245 21929 9590 21953
-rect 9258 21885 9458 21929
-rect 9473 21919 9497 21929
-rect 9473 21895 9507 21919
-rect 9518 21895 9590 21929
-rect 9473 21885 9590 21895
-rect 9245 21861 9590 21885
-rect 9258 21817 9458 21861
-rect 9473 21851 9497 21861
-rect 9473 21827 9507 21851
-rect 9518 21827 9590 21861
-rect 9473 21817 9590 21827
-rect 9245 21793 9590 21817
-rect 9258 21749 9458 21793
-rect 9473 21783 9497 21793
-rect 9473 21759 9507 21783
-rect 9518 21759 9590 21793
-rect 9473 21749 9590 21759
-rect 9245 21725 9590 21749
-rect 9258 21681 9458 21725
-rect 9473 21715 9497 21725
-rect 9473 21691 9507 21715
-rect 9518 21691 9590 21725
-rect 9473 21681 9590 21691
-rect 9245 21657 9590 21681
-rect 9258 21613 9458 21657
-rect 9473 21647 9497 21657
-rect 9473 21623 9507 21647
-rect 9518 21623 9590 21657
-rect 9473 21613 9590 21623
-rect 9245 21589 9590 21613
-rect 9258 21545 9458 21589
-rect 9473 21579 9497 21589
-rect 9473 21555 9507 21579
-rect 9518 21555 9590 21589
-rect 9473 21545 9590 21555
-rect 9245 21521 9590 21545
-rect 9258 21477 9458 21521
-rect 9473 21511 9497 21521
-rect 9473 21487 9507 21511
-rect 9518 21487 9590 21521
-rect 9473 21477 9590 21487
-rect 9245 21453 9590 21477
-rect 9258 21409 9458 21453
-rect 9473 21443 9497 21453
-rect 9473 21419 9507 21443
-rect 9518 21419 9590 21453
-rect 9473 21409 9590 21419
-rect 9245 21385 9590 21409
-rect 9258 21315 9458 21385
-rect 9473 21361 9497 21385
-rect 9518 21315 9590 21385
-rect 9820 21315 9876 22315
-rect 9892 21315 9948 22315
-rect 10250 22293 10450 22315
-rect 10465 22303 10499 22327
-rect 11253 22315 11287 22327
-rect 10510 22303 10582 22315
-rect 10465 22293 10582 22303
-rect 10237 22269 10582 22293
-rect 10250 22225 10450 22269
-rect 10465 22259 10489 22269
-rect 10465 22235 10499 22259
-rect 10510 22235 10582 22269
-rect 10465 22225 10582 22235
-rect 10237 22201 10582 22225
-rect 10250 22157 10450 22201
-rect 10465 22191 10489 22201
-rect 10465 22167 10499 22191
-rect 10510 22167 10582 22201
-rect 10465 22157 10582 22167
-rect 10237 22133 10582 22157
-rect 10250 22089 10450 22133
-rect 10465 22123 10489 22133
-rect 10465 22099 10499 22123
-rect 10510 22099 10582 22133
-rect 10465 22089 10582 22099
-rect 10237 22065 10582 22089
-rect 10250 22021 10450 22065
-rect 10465 22055 10489 22065
-rect 10465 22031 10499 22055
-rect 10510 22031 10582 22065
-rect 10465 22021 10582 22031
-rect 10237 21997 10582 22021
-rect 10250 21953 10450 21997
-rect 10465 21987 10489 21997
-rect 10465 21963 10499 21987
-rect 10510 21963 10582 21997
-rect 10465 21953 10582 21963
-rect 10237 21929 10582 21953
-rect 10250 21885 10450 21929
-rect 10465 21919 10489 21929
-rect 10465 21895 10499 21919
-rect 10510 21895 10582 21929
-rect 10465 21885 10582 21895
-rect 10237 21861 10582 21885
-rect 10250 21817 10450 21861
-rect 10465 21851 10489 21861
-rect 10465 21827 10499 21851
-rect 10510 21827 10582 21861
-rect 10465 21817 10582 21827
-rect 10237 21793 10582 21817
-rect 10250 21749 10450 21793
-rect 10465 21783 10489 21793
-rect 10465 21759 10499 21783
-rect 10510 21759 10582 21793
-rect 10465 21749 10582 21759
-rect 10237 21725 10582 21749
-rect 10250 21681 10450 21725
-rect 10465 21715 10489 21725
-rect 10465 21691 10499 21715
-rect 10510 21691 10582 21725
-rect 10465 21681 10582 21691
-rect 10237 21657 10582 21681
-rect 10250 21613 10450 21657
-rect 10465 21647 10489 21657
-rect 10465 21623 10499 21647
-rect 10510 21623 10582 21657
-rect 10465 21613 10582 21623
-rect 10237 21589 10582 21613
-rect 10250 21545 10450 21589
-rect 10465 21579 10489 21589
-rect 10465 21555 10499 21579
-rect 10510 21555 10582 21589
-rect 10465 21545 10582 21555
-rect 10237 21521 10582 21545
-rect 10250 21477 10450 21521
-rect 10465 21511 10489 21521
-rect 10465 21487 10499 21511
-rect 10510 21487 10582 21521
-rect 10465 21477 10582 21487
-rect 10237 21453 10582 21477
-rect 10250 21409 10450 21453
-rect 10465 21443 10489 21453
-rect 10465 21419 10499 21443
-rect 10510 21419 10582 21453
-rect 10465 21409 10582 21419
-rect 10237 21385 10582 21409
-rect 10250 21315 10450 21385
-rect 10465 21361 10489 21385
-rect 10510 21315 10582 21385
-rect 10812 21315 10868 22315
-rect 10884 21315 10940 22315
-rect 11242 22293 11442 22315
-rect 11457 22303 11491 22327
-rect 12245 22315 12279 22327
-rect 11502 22303 11574 22315
-rect 11457 22293 11574 22303
-rect 11229 22269 11574 22293
-rect 11242 22225 11442 22269
-rect 11457 22259 11481 22269
-rect 11457 22235 11491 22259
-rect 11502 22235 11574 22269
-rect 11457 22225 11574 22235
-rect 11229 22201 11574 22225
-rect 11242 22157 11442 22201
-rect 11457 22191 11481 22201
-rect 11457 22167 11491 22191
-rect 11502 22167 11574 22201
-rect 11457 22157 11574 22167
-rect 11229 22133 11574 22157
-rect 11242 22089 11442 22133
-rect 11457 22123 11481 22133
-rect 11457 22099 11491 22123
-rect 11502 22099 11574 22133
-rect 11457 22089 11574 22099
-rect 11229 22065 11574 22089
-rect 11242 22021 11442 22065
-rect 11457 22055 11481 22065
-rect 11457 22031 11491 22055
-rect 11502 22031 11574 22065
-rect 11457 22021 11574 22031
-rect 11229 21997 11574 22021
-rect 11242 21953 11442 21997
-rect 11457 21987 11481 21997
-rect 11457 21963 11491 21987
-rect 11502 21963 11574 21997
-rect 11457 21953 11574 21963
-rect 11229 21929 11574 21953
-rect 11242 21885 11442 21929
-rect 11457 21919 11481 21929
-rect 11457 21895 11491 21919
-rect 11502 21895 11574 21929
-rect 11457 21885 11574 21895
-rect 11229 21861 11574 21885
-rect 11242 21817 11442 21861
-rect 11457 21851 11481 21861
-rect 11457 21827 11491 21851
-rect 11502 21827 11574 21861
-rect 11457 21817 11574 21827
-rect 11229 21793 11574 21817
-rect 11242 21749 11442 21793
-rect 11457 21783 11481 21793
-rect 11457 21759 11491 21783
-rect 11502 21759 11574 21793
-rect 11457 21749 11574 21759
-rect 11229 21725 11574 21749
-rect 11242 21681 11442 21725
-rect 11457 21715 11481 21725
-rect 11457 21691 11491 21715
-rect 11502 21691 11574 21725
-rect 11457 21681 11574 21691
-rect 11229 21657 11574 21681
-rect 11242 21613 11442 21657
-rect 11457 21647 11481 21657
-rect 11457 21623 11491 21647
-rect 11502 21623 11574 21657
-rect 11457 21613 11574 21623
-rect 11229 21589 11574 21613
-rect 11242 21545 11442 21589
-rect 11457 21579 11481 21589
-rect 11457 21555 11491 21579
-rect 11502 21555 11574 21589
-rect 11457 21545 11574 21555
-rect 11229 21521 11574 21545
-rect 11242 21477 11442 21521
-rect 11457 21511 11481 21521
-rect 11457 21487 11491 21511
-rect 11502 21487 11574 21521
-rect 11457 21477 11574 21487
-rect 11229 21453 11574 21477
-rect 11242 21409 11442 21453
-rect 11457 21443 11481 21453
-rect 11457 21419 11491 21443
-rect 11502 21419 11574 21453
-rect 11457 21409 11574 21419
-rect 11229 21385 11574 21409
-rect 11242 21315 11442 21385
-rect 11457 21361 11481 21385
-rect 11502 21315 11574 21385
-rect 11804 21315 11860 22315
-rect 11876 21315 11932 22315
-rect 12234 22293 12434 22315
-rect 12449 22303 12483 22327
-rect 13237 22315 13271 22327
-rect 12494 22303 12566 22315
-rect 12449 22293 12566 22303
-rect 12221 22269 12566 22293
-rect 12234 22225 12434 22269
-rect 12449 22259 12473 22269
-rect 12449 22235 12483 22259
-rect 12494 22235 12566 22269
-rect 12449 22225 12566 22235
-rect 12221 22201 12566 22225
-rect 12234 22157 12434 22201
-rect 12449 22191 12473 22201
-rect 12449 22167 12483 22191
-rect 12494 22167 12566 22201
-rect 12449 22157 12566 22167
-rect 12221 22133 12566 22157
-rect 12234 22089 12434 22133
-rect 12449 22123 12473 22133
-rect 12449 22099 12483 22123
-rect 12494 22099 12566 22133
-rect 12449 22089 12566 22099
-rect 12221 22065 12566 22089
-rect 12234 22021 12434 22065
-rect 12449 22055 12473 22065
-rect 12449 22031 12483 22055
-rect 12494 22031 12566 22065
-rect 12449 22021 12566 22031
-rect 12221 21997 12566 22021
-rect 12234 21953 12434 21997
-rect 12449 21987 12473 21997
-rect 12449 21963 12483 21987
-rect 12494 21963 12566 21997
-rect 12449 21953 12566 21963
-rect 12221 21929 12566 21953
-rect 12234 21885 12434 21929
-rect 12449 21919 12473 21929
-rect 12449 21895 12483 21919
-rect 12494 21895 12566 21929
-rect 12449 21885 12566 21895
-rect 12221 21861 12566 21885
-rect 12234 21817 12434 21861
-rect 12449 21851 12473 21861
-rect 12449 21827 12483 21851
-rect 12494 21827 12566 21861
-rect 12449 21817 12566 21827
-rect 12221 21793 12566 21817
-rect 12234 21749 12434 21793
-rect 12449 21783 12473 21793
-rect 12449 21759 12483 21783
-rect 12494 21759 12566 21793
-rect 12449 21749 12566 21759
-rect 12221 21725 12566 21749
-rect 12234 21681 12434 21725
-rect 12449 21715 12473 21725
-rect 12449 21691 12483 21715
-rect 12494 21691 12566 21725
-rect 12449 21681 12566 21691
-rect 12221 21657 12566 21681
-rect 12234 21613 12434 21657
-rect 12449 21647 12473 21657
-rect 12449 21623 12483 21647
-rect 12494 21623 12566 21657
-rect 12449 21613 12566 21623
-rect 12221 21589 12566 21613
-rect 12234 21545 12434 21589
-rect 12449 21579 12473 21589
-rect 12449 21555 12483 21579
-rect 12494 21555 12566 21589
-rect 12449 21545 12566 21555
-rect 12221 21521 12566 21545
-rect 12234 21477 12434 21521
-rect 12449 21511 12473 21521
-rect 12449 21487 12483 21511
-rect 12494 21487 12566 21521
-rect 12449 21477 12566 21487
-rect 12221 21453 12566 21477
-rect 12234 21409 12434 21453
-rect 12449 21443 12473 21453
-rect 12449 21419 12483 21443
-rect 12494 21419 12566 21453
-rect 12449 21409 12566 21419
-rect 12221 21385 12566 21409
-rect 12234 21315 12434 21385
-rect 12449 21361 12473 21385
-rect 12494 21315 12566 21385
-rect 12796 21315 12852 22315
-rect 12868 21315 12924 22315
-rect 13226 22293 13426 22315
-rect 13441 22303 13475 22327
-rect 14229 22315 14263 22327
-rect 13486 22303 13558 22315
-rect 13441 22293 13558 22303
-rect 13213 22269 13558 22293
-rect 13226 22225 13426 22269
-rect 13441 22259 13465 22269
-rect 13441 22235 13475 22259
-rect 13486 22235 13558 22269
-rect 13441 22225 13558 22235
-rect 13213 22201 13558 22225
-rect 13226 22157 13426 22201
-rect 13441 22191 13465 22201
-rect 13441 22167 13475 22191
-rect 13486 22167 13558 22201
-rect 13441 22157 13558 22167
-rect 13213 22133 13558 22157
-rect 13226 22089 13426 22133
-rect 13441 22123 13465 22133
-rect 13441 22099 13475 22123
-rect 13486 22099 13558 22133
-rect 13441 22089 13558 22099
-rect 13213 22065 13558 22089
-rect 13226 22021 13426 22065
-rect 13441 22055 13465 22065
-rect 13441 22031 13475 22055
-rect 13486 22031 13558 22065
-rect 13441 22021 13558 22031
-rect 13213 21997 13558 22021
-rect 13226 21953 13426 21997
-rect 13441 21987 13465 21997
-rect 13441 21963 13475 21987
-rect 13486 21963 13558 21997
-rect 13441 21953 13558 21963
-rect 13213 21929 13558 21953
-rect 13226 21885 13426 21929
-rect 13441 21919 13465 21929
-rect 13441 21895 13475 21919
-rect 13486 21895 13558 21929
-rect 13441 21885 13558 21895
-rect 13213 21861 13558 21885
-rect 13226 21817 13426 21861
-rect 13441 21851 13465 21861
-rect 13441 21827 13475 21851
-rect 13486 21827 13558 21861
-rect 13441 21817 13558 21827
-rect 13213 21793 13558 21817
-rect 13226 21749 13426 21793
-rect 13441 21783 13465 21793
-rect 13441 21759 13475 21783
-rect 13486 21759 13558 21793
-rect 13441 21749 13558 21759
-rect 13213 21725 13558 21749
-rect 13226 21681 13426 21725
-rect 13441 21715 13465 21725
-rect 13441 21691 13475 21715
-rect 13486 21691 13558 21725
-rect 13441 21681 13558 21691
-rect 13213 21657 13558 21681
-rect 13226 21613 13426 21657
-rect 13441 21647 13465 21657
-rect 13441 21623 13475 21647
-rect 13486 21623 13558 21657
-rect 13441 21613 13558 21623
-rect 13213 21589 13558 21613
-rect 13226 21545 13426 21589
-rect 13441 21579 13465 21589
-rect 13441 21555 13475 21579
-rect 13486 21555 13558 21589
-rect 13441 21545 13558 21555
-rect 13213 21521 13558 21545
-rect 13226 21477 13426 21521
-rect 13441 21511 13465 21521
-rect 13441 21487 13475 21511
-rect 13486 21487 13558 21521
-rect 13441 21477 13558 21487
-rect 13213 21453 13558 21477
-rect 13226 21409 13426 21453
-rect 13441 21443 13465 21453
-rect 13441 21419 13475 21443
-rect 13486 21419 13558 21453
-rect 13441 21409 13558 21419
-rect 13213 21385 13558 21409
-rect 13226 21315 13426 21385
-rect 13441 21361 13465 21385
-rect 13486 21315 13558 21385
-rect 13788 21315 13844 22315
-rect 13860 21315 13916 22315
-rect 14218 22293 14418 22315
-rect 14433 22303 14467 22327
-rect 14478 22303 14550 22315
-rect 14433 22293 14550 22303
-rect 14205 22269 14550 22293
-rect 14218 22225 14418 22269
-rect 14433 22259 14457 22269
-rect 14433 22235 14467 22259
-rect 14478 22235 14550 22269
-rect 14433 22225 14550 22235
-rect 14205 22201 14550 22225
-rect 14218 22157 14418 22201
-rect 14433 22191 14457 22201
-rect 14433 22167 14467 22191
-rect 14478 22167 14550 22201
-rect 14433 22157 14550 22167
-rect 14205 22133 14550 22157
-rect 14218 22089 14418 22133
-rect 14433 22123 14457 22133
-rect 14433 22099 14467 22123
-rect 14478 22099 14550 22133
-rect 14433 22089 14550 22099
-rect 14205 22065 14550 22089
-rect 14218 22021 14418 22065
-rect 14433 22055 14457 22065
-rect 14433 22031 14467 22055
-rect 14478 22031 14550 22065
-rect 14433 22021 14550 22031
-rect 14205 21997 14550 22021
-rect 14218 21953 14418 21997
-rect 14433 21987 14457 21997
-rect 14433 21963 14467 21987
-rect 14478 21963 14550 21997
-rect 14433 21953 14550 21963
-rect 14205 21929 14550 21953
-rect 14218 21885 14418 21929
-rect 14433 21919 14457 21929
-rect 14433 21895 14467 21919
-rect 14478 21895 14550 21929
-rect 14433 21885 14550 21895
-rect 14205 21861 14550 21885
-rect 14218 21817 14418 21861
-rect 14433 21851 14457 21861
-rect 14433 21827 14467 21851
-rect 14478 21827 14550 21861
-rect 14433 21817 14550 21827
-rect 14205 21793 14550 21817
-rect 14218 21749 14418 21793
-rect 14433 21783 14457 21793
-rect 14433 21759 14467 21783
-rect 14478 21759 14550 21793
-rect 14433 21749 14550 21759
-rect 14205 21725 14550 21749
-rect 14218 21681 14418 21725
-rect 14433 21715 14457 21725
-rect 14433 21691 14467 21715
-rect 14478 21691 14550 21725
-rect 14433 21681 14550 21691
-rect 14205 21657 14550 21681
-rect 14218 21613 14418 21657
-rect 14433 21647 14457 21657
-rect 14433 21623 14467 21647
-rect 14478 21623 14550 21657
-rect 14433 21613 14550 21623
-rect 14205 21589 14550 21613
-rect 14218 21545 14418 21589
-rect 14433 21579 14457 21589
-rect 14433 21555 14467 21579
-rect 14478 21555 14550 21589
-rect 14433 21545 14550 21555
-rect 14205 21521 14550 21545
-rect 14218 21477 14418 21521
-rect 14433 21511 14457 21521
-rect 14433 21487 14467 21511
-rect 14478 21487 14550 21521
-rect 14433 21477 14550 21487
-rect 14205 21453 14550 21477
-rect 14218 21409 14418 21453
-rect 14433 21443 14457 21453
-rect 14433 21419 14467 21443
-rect 14478 21419 14550 21453
-rect 14433 21409 14550 21419
-rect 14205 21385 14550 21409
-rect 14218 21315 14418 21385
-rect 14433 21361 14457 21385
-rect 14478 21315 14550 21385
-rect 14739 21315 14811 22315
-rect 14877 21315 14894 22315
-rect 15064 21315 15097 22315
-rect 828 20113 983 20203
-rect 828 20077 7969 20113
-rect 947 20046 983 20077
-rect 1062 20046 1096 20070
-rect 1130 20046 1164 20070
-rect 1198 20046 1232 20070
-rect 1266 20046 1300 20070
-rect 1334 20046 1368 20070
-rect 1402 20046 1436 20070
-rect 1470 20046 1504 20070
-rect 1538 20046 1572 20070
-rect 1606 20046 1640 20070
-rect 1674 20046 1708 20070
-rect 1742 20046 1776 20070
-rect 1810 20046 1844 20070
-rect 1878 20046 1912 20070
-rect 1946 20046 1980 20070
-rect 2014 20046 2048 20070
-rect 2082 20046 2116 20070
-rect 2150 20046 2184 20070
-rect 2218 20046 2252 20070
-rect 2286 20046 2320 20070
-rect 2354 20046 2388 20070
-rect 2422 20046 2456 20070
-rect 2490 20046 2524 20070
-rect 2558 20046 2592 20070
-rect 2626 20046 2660 20070
-rect 2694 20046 2728 20070
-rect 2762 20046 2796 20070
-rect 2830 20046 2864 20070
-rect 2898 20046 2932 20070
-rect 2966 20046 3000 20070
-rect 3034 20046 3068 20070
-rect 3102 20046 3136 20070
-rect 3170 20046 3204 20070
-rect 3238 20046 3272 20070
-rect 3306 20046 3340 20070
-rect 3374 20046 3408 20070
-rect 3442 20046 3476 20070
-rect 3510 20046 3544 20070
-rect 3578 20046 3612 20070
-rect 3646 20046 3680 20070
-rect 3714 20046 3748 20070
-rect 3782 20046 3816 20070
-rect 3850 20046 3884 20070
-rect 3918 20046 3952 20070
-rect 3986 20046 4020 20070
-rect 4054 20046 4088 20070
-rect 4122 20046 4156 20070
-rect 4190 20046 4224 20070
-rect 4258 20046 4292 20070
-rect 4326 20046 4360 20070
-rect 4394 20046 4428 20070
-rect 4492 20046 4526 20070
-rect 4560 20046 4594 20070
-rect 4628 20046 4662 20070
-rect 4696 20046 4730 20070
-rect 4764 20046 4798 20070
-rect 4832 20046 4866 20070
-rect 4900 20046 4934 20070
-rect 4968 20046 5002 20070
-rect 5036 20046 5070 20070
-rect 5104 20046 5138 20070
-rect 5172 20046 5206 20070
-rect 5240 20046 5274 20070
-rect 5308 20046 5342 20070
-rect 5376 20046 5410 20070
-rect 5444 20046 5478 20070
-rect 5512 20046 5546 20070
-rect 5580 20046 5614 20070
-rect 5648 20046 5682 20070
-rect 5716 20046 5750 20070
-rect 5784 20046 5818 20070
-rect 5852 20046 5886 20070
-rect 5920 20046 5954 20070
-rect 5988 20046 6022 20070
-rect 6056 20046 6090 20070
-rect 6124 20046 6158 20070
-rect 6192 20046 6226 20070
-rect 6260 20046 6294 20070
-rect 6328 20046 6362 20070
-rect 6396 20046 6430 20070
-rect 6464 20046 6498 20070
-rect 6532 20046 6566 20070
-rect 6600 20046 6634 20070
-rect 6668 20046 6702 20070
-rect 6736 20046 6770 20070
-rect 6804 20046 6838 20070
-rect 6872 20046 6906 20070
-rect 6940 20046 6974 20070
-rect 7008 20046 7042 20070
-rect 7076 20046 7110 20070
-rect 7144 20046 7178 20070
-rect 7212 20046 7246 20070
-rect 7280 20046 7314 20070
-rect 7348 20046 7382 20070
-rect 7416 20046 7450 20070
-rect 7484 20046 7518 20070
-rect 7552 20046 7586 20070
-rect 7620 20046 7654 20070
-rect 7688 20046 7722 20070
-rect 7756 20046 7790 20070
-rect 7824 20046 7858 20070
-rect 7933 20046 7969 20077
-rect 947 20023 7969 20046
-rect 947 20010 1062 20023
-rect 1096 20010 1130 20023
-rect 1164 20010 1198 20023
-rect 1232 20010 1266 20023
-rect 1300 20010 1334 20023
-rect 1368 20010 1402 20023
-rect 1436 20010 1470 20023
-rect 1504 20010 1538 20023
-rect 1572 20010 1606 20023
-rect 1640 20010 1674 20023
-rect 1708 20010 1742 20023
-rect 1776 20010 1810 20023
-rect 1844 20010 1878 20023
-rect 1912 20010 1946 20023
-rect 1980 20010 2014 20023
-rect 2048 20010 2082 20023
-rect 2116 20010 2150 20023
-rect 2184 20010 2218 20023
-rect 2252 20010 2286 20023
-rect 2320 20010 2354 20023
-rect 2388 20010 2422 20023
-rect 2456 20010 2490 20023
-rect 2524 20010 2558 20023
-rect 2592 20010 2626 20023
-rect 2660 20010 2694 20023
-rect 2728 20010 2762 20023
-rect 2796 20010 2830 20023
-rect 2864 20010 2898 20023
-rect 2932 20010 2966 20023
-rect 3000 20010 3034 20023
-rect 3068 20010 3102 20023
-rect 3136 20010 3170 20023
-rect 3204 20010 3238 20023
-rect 3272 20010 3306 20023
-rect 3340 20010 3374 20023
-rect 3408 20010 3442 20023
-rect 3476 20010 3510 20023
-rect 3544 20010 3578 20023
-rect 3612 20010 3646 20023
-rect 3680 20010 3714 20023
-rect 3748 20010 3782 20023
-rect 3816 20010 3850 20023
-rect 3884 20010 3918 20023
-rect 3952 20010 3986 20023
-rect 4020 20010 4054 20023
-rect 4088 20010 4122 20023
-rect 4156 20010 4190 20023
-rect 4224 20010 4258 20023
-rect 4292 20010 4326 20023
-rect 4360 20010 4394 20023
-rect 4428 20010 4492 20023
-rect 4526 20010 4560 20023
-rect 4594 20010 4628 20023
-rect 4662 20010 4696 20023
-rect 4730 20010 4764 20023
-rect 4798 20010 4832 20023
-rect 4866 20010 4900 20023
-rect 4934 20010 4968 20023
-rect 5002 20010 5036 20023
-rect 5070 20010 5104 20023
-rect 5138 20010 5172 20023
-rect 5206 20010 5240 20023
-rect 5274 20010 5308 20023
-rect 5342 20010 5376 20023
-rect 5410 20010 5444 20023
-rect 5478 20010 5512 20023
-rect 5546 20010 5580 20023
-rect 5614 20010 5648 20023
-rect 5682 20010 5716 20023
-rect 5750 20010 5784 20023
-rect 5818 20010 5852 20023
-rect 5886 20010 5920 20023
-rect 5954 20010 5988 20023
-rect 6022 20010 6056 20023
-rect 6090 20010 6124 20023
-rect 6158 20010 6192 20023
-rect 6226 20010 6260 20023
-rect 6294 20010 6328 20023
-rect 6362 20010 6396 20023
-rect 6430 20010 6464 20023
-rect 6498 20010 6532 20023
-rect 6566 20010 6600 20023
-rect 6634 20010 6668 20023
-rect 6702 20010 6736 20023
-rect 6770 20010 6804 20023
-rect 6838 20010 6872 20023
-rect 6906 20010 6940 20023
-rect 6974 20010 7008 20023
-rect 7042 20010 7076 20023
-rect 7110 20010 7144 20023
-rect 7178 20010 7212 20023
-rect 7246 20010 7280 20023
-rect 7314 20010 7348 20023
-rect 7382 20010 7416 20023
-rect 7450 20010 7484 20023
-rect 7518 20010 7552 20023
-rect 7586 20010 7620 20023
-rect 7654 20010 7688 20023
-rect 7722 20010 7756 20023
-rect 7790 20010 7824 20023
-rect 7858 20010 7969 20023
-rect 1749 19699 2749 19749
-rect 2879 19699 4279 19749
-rect 4641 19699 6041 19749
-rect 6171 19699 7571 19749
-rect 1749 19543 2749 19671
-rect 2879 19543 4279 19671
-rect 4641 19543 6041 19671
-rect 6171 19543 7571 19671
-rect 1749 19387 2749 19515
-rect 2879 19387 4279 19515
-rect 4641 19387 6041 19515
-rect 6171 19387 7571 19515
-rect 1749 19231 2749 19359
-rect 2879 19231 4279 19359
-rect 4641 19231 6041 19359
-rect 6171 19231 7571 19359
-rect 9582 19307 9752 19613
-rect 12870 19277 12886 19343
-rect 14894 19277 14910 19343
-rect 1749 19081 2749 19131
-rect 2879 19081 4279 19131
-rect 4641 19081 6041 19131
-rect 6171 19081 7571 19131
-rect 1907 18644 1941 18668
-rect 1975 18644 2009 18668
-rect 2043 18644 2077 18668
-rect 2111 18644 2145 18668
-rect 2179 18644 2213 18668
-rect 2247 18644 2281 18668
-rect 2315 18644 2349 18668
-rect 2383 18644 2417 18668
-rect 2451 18644 2485 18668
-rect 2519 18644 2553 18668
-rect 2587 18644 2621 18668
-rect 2655 18644 2689 18668
-rect 2723 18644 2757 18668
-rect 2791 18644 2825 18668
-rect 2859 18644 2893 18668
-rect 2927 18644 2961 18668
-rect 2995 18644 3029 18668
-rect 3063 18644 3097 18668
-rect 3131 18644 3165 18668
-rect 3199 18644 3233 18668
-rect 3267 18644 3301 18668
-rect 3335 18644 3369 18668
-rect 3403 18644 3437 18668
-rect 3471 18644 3505 18668
-rect 3539 18644 3573 18668
-rect 3607 18644 3641 18668
-rect 3675 18644 3709 18668
-rect 3743 18644 3777 18668
-rect 3811 18644 3845 18668
-rect 3879 18644 3913 18668
-rect 3947 18644 3981 18668
-rect 4015 18644 4049 18668
-rect 4083 18644 4117 18668
-rect 4151 18644 4185 18668
-rect 4219 18644 4253 18668
-rect 4287 18644 4321 18668
-rect 4355 18644 4389 18668
-rect 4423 18644 4457 18668
-rect 4491 18644 4525 18668
-rect 4559 18644 4593 18668
-rect 4627 18644 4661 18668
-rect 4695 18644 4729 18668
-rect 4763 18644 4797 18668
-rect 4831 18644 4865 18668
-rect 4899 18644 4933 18668
-rect 4967 18644 5001 18668
-rect 5035 18644 5069 18668
-rect 5103 18644 5137 18668
-rect 5171 18644 5205 18668
-rect 5239 18644 5273 18668
-rect 5307 18644 5341 18668
-rect 5375 18644 5409 18668
-rect 5443 18644 5477 18668
-rect 5511 18644 5545 18668
-rect 5579 18644 5613 18668
-rect 5647 18644 5681 18668
-rect 5715 18653 5749 18668
-rect 5697 18644 5749 18653
-rect 5697 18619 5715 18644
-rect 5731 18619 5749 18644
-rect 5772 18619 5773 18644
-rect 5731 18610 5773 18619
-rect 2282 18280 5282 18330
-rect 2282 18124 5282 18252
-rect 5731 18208 5833 18232
-rect 5731 18184 5755 18208
-rect 5809 18184 5833 18208
-rect 7214 18184 7248 18242
-rect 7403 18208 7437 18242
-rect 7475 18208 7509 18242
-rect 7547 18208 7581 18242
-rect 7619 18208 7653 18242
-rect 7403 18184 7427 18208
-rect 7629 18184 7653 18208
-rect 7807 18208 7909 18232
-rect 9037 18221 9061 18245
-rect 8935 18208 8959 18211
-rect 7807 18184 7831 18208
-rect 7885 18184 7909 18208
-rect 9013 18197 9037 18211
-rect 9190 18208 9292 18232
-rect 2282 17968 5282 18096
-rect 2282 17812 5282 17940
-rect 2282 17656 5282 17784
-rect 2282 17500 5282 17628
-rect 2282 17344 5282 17472
-rect 2282 17194 5282 17244
-rect 6119 16780 6162 18180
-rect 6269 16780 6397 18180
-rect 6432 16780 6560 18180
-rect 6595 16780 6723 18180
-rect 6758 16780 6886 18180
-rect 6921 16780 7049 18180
-rect 7084 16780 7127 18180
-rect 7996 16780 8046 18180
-rect 8153 16780 8281 18180
-rect 8316 16780 8444 18180
-rect 8479 16780 8607 18180
-rect 8642 16780 8770 18180
-rect 8805 16780 8848 18180
-rect 8911 18163 8935 18187
-rect 9037 18163 9061 18187
-rect 9190 18184 9214 18208
-rect 9268 18184 9292 18208
-rect 2775 16381 2945 16687
-rect 3575 16381 3745 16687
-rect 4375 16381 4545 16687
-rect 2775 15881 2945 16187
-rect 3575 15881 3745 16187
-rect 4375 15881 4545 16187
-rect 232 14421 282 15021
-rect 382 14421 510 15021
-rect 538 14421 666 15021
-rect 694 14421 750 15021
-rect 850 14421 978 15021
-rect 1006 14421 1134 15021
-rect 1162 14421 1212 15021
-rect 1292 14421 1342 15021
-rect 1442 14421 1492 15021
-rect 1930 14420 1980 15020
-rect 2080 14420 2208 15020
-rect 2236 14420 2364 15020
-rect 2392 14420 2448 15020
-rect 2548 14420 2676 15020
-rect 2704 14420 2832 15020
-rect 2860 14420 2910 15020
-rect 2990 14420 3040 15020
-rect 3140 14420 3190 15020
-rect 3312 14420 3362 15020
-rect 3462 14420 3512 15020
-rect 3592 14420 3642 15020
-rect 3742 14420 3870 15020
-rect 3898 14420 4026 15020
-rect 4054 14420 4110 15020
-rect 4210 14420 4338 15020
-rect 4366 14420 4494 15020
-rect 4522 14420 4572 15020
-rect 6119 14508 6162 15908
-rect 6269 14508 6397 15908
-rect 6432 14508 6560 15908
-rect 6595 14508 6723 15908
-rect 6758 14508 6886 15908
-rect 6921 14508 7049 15908
-rect 7084 14508 7127 15908
-rect 5731 14480 5833 14504
-rect 5809 14456 5833 14480
-rect 7214 14456 7248 14514
-rect 7403 14480 7437 14514
-rect 7475 14480 7509 14514
-rect 7547 14480 7581 14514
-rect 7619 14480 7653 14514
-rect 7996 14508 8046 15908
-rect 8153 14508 8281 15908
-rect 8316 14508 8444 15908
-rect 8479 14508 8607 15908
-rect 8642 14508 8770 15908
-rect 8805 14508 8848 15908
-rect 7403 14456 7427 14480
-rect 7629 14456 7653 14480
-rect 7807 14480 7909 14504
-rect 8911 14501 8935 14525
-rect 9037 14501 9061 14525
-rect 7807 14456 7831 14480
-rect 7885 14456 7909 14480
-rect 8935 14477 8959 14480
-rect 9013 14477 9037 14491
-rect 9190 14480 9292 14504
-rect 9037 14443 9061 14467
-rect 9190 14456 9214 14480
-rect 9268 14456 9292 14480
-rect 9468 14040 10004 18648
-rect 12439 18340 12530 18442
-rect 12763 18407 12777 18431
-rect 12729 18383 12753 18407
-rect 12787 18383 12811 18407
-rect 15983 18333 16017 18353
-rect 12386 18220 12410 18244
-rect 12444 18220 12468 18244
-rect 12920 18238 12954 18242
-rect 12988 18238 13022 18242
-rect 13056 18238 13090 18242
-rect 13124 18238 13158 18242
-rect 13192 18238 13226 18242
-rect 13260 18238 13294 18242
-rect 13328 18238 13362 18242
-rect 13396 18238 13430 18242
-rect 13464 18238 13498 18242
-rect 13532 18238 13566 18242
-rect 13600 18238 13634 18242
-rect 13668 18238 13702 18242
-rect 13736 18238 13770 18242
-rect 13804 18238 13838 18242
-rect 13872 18238 13906 18242
-rect 13940 18238 13974 18242
-rect 14008 18238 14042 18242
-rect 14076 18238 14110 18242
-rect 14144 18238 14178 18242
-rect 14212 18238 14246 18242
-rect 14280 18238 14314 18242
-rect 14348 18238 14382 18242
-rect 14416 18238 14450 18242
-rect 14484 18238 14518 18242
-rect 14552 18238 14586 18242
-rect 14620 18238 14654 18242
-rect 14688 18238 14722 18242
-rect 14756 18238 14790 18242
-rect 14824 18238 14858 18242
-rect 14892 18238 14926 18242
-rect 14960 18238 14994 18242
-rect 15028 18238 15062 18242
-rect 15096 18238 15130 18242
-rect 15164 18238 15198 18242
-rect 15232 18238 15266 18242
-rect 15300 18238 15334 18242
-rect 15368 18238 15402 18242
-rect 15436 18238 15470 18242
-rect 15504 18238 15538 18242
-rect 15572 18238 15606 18242
-rect 15640 18238 15674 18242
-rect 12852 18220 15752 18238
-rect 12920 18216 12954 18220
-rect 12988 18216 13022 18220
-rect 13056 18216 13090 18220
-rect 13124 18216 13158 18220
-rect 13192 18216 13226 18220
-rect 13260 18216 13294 18220
-rect 13328 18216 13362 18220
-rect 13396 18216 13430 18220
-rect 13464 18216 13498 18220
-rect 13532 18216 13566 18220
-rect 13600 18216 13634 18220
-rect 13668 18216 13702 18220
-rect 13736 18216 13770 18220
-rect 13804 18216 13838 18220
-rect 13872 18216 13906 18220
-rect 13940 18216 13974 18220
-rect 14008 18216 14042 18220
-rect 14076 18216 14110 18220
-rect 14144 18216 14178 18220
-rect 14212 18216 14246 18220
-rect 14280 18216 14314 18220
-rect 14348 18216 14382 18220
-rect 14416 18216 14450 18220
-rect 14484 18216 14518 18220
-rect 14552 18216 14586 18220
-rect 14620 18216 14654 18220
-rect 14688 18216 14722 18220
-rect 14756 18216 14790 18220
-rect 14824 18216 14858 18220
-rect 14892 18216 14926 18220
-rect 14960 18216 14994 18220
-rect 15028 18216 15062 18220
-rect 15096 18216 15130 18220
-rect 15164 18216 15198 18220
-rect 15232 18216 15266 18220
-rect 15300 18216 15334 18220
-rect 15368 18216 15402 18220
-rect 15436 18216 15470 18220
-rect 15504 18216 15538 18220
-rect 15572 18216 15606 18220
-rect 15640 18216 15674 18220
-rect 15891 18217 15915 18241
-rect 12410 18196 12444 18210
-rect 12856 18208 15748 18216
-rect 12896 18196 15698 18208
-rect 15915 18193 15939 18208
-rect 15983 18197 16017 18232
-rect 10038 16780 10166 18180
-rect 10201 16780 10329 18180
-rect 10364 16780 10492 18180
-rect 10527 16780 10655 18180
-rect 10690 16780 10818 18180
-rect 10853 16780 10981 18180
-rect 11016 16780 11059 18180
-rect 11152 16780 11195 18180
-rect 11302 16780 11430 18180
-rect 11465 16780 11593 18180
-rect 11628 16780 11756 18180
-rect 11791 16780 11919 18180
-rect 11954 16780 12082 18180
-rect 12117 16780 12245 18180
-rect 12280 16780 12330 18180
-rect 12386 18162 12410 18186
-rect 12444 18162 12468 18186
-rect 15993 18184 16017 18197
-rect 12597 16395 12699 17959
-rect 12966 16712 13016 18112
-rect 13123 16712 13251 18112
-rect 13286 16712 13414 18112
-rect 13449 16712 13577 18112
-rect 13612 16712 13740 18112
-rect 13775 16712 13903 18112
-rect 13938 16712 14066 18112
-rect 14101 16712 14144 18112
-rect 14237 16712 14280 18112
-rect 14387 16712 14515 18112
-rect 14550 16712 14678 18112
-rect 14713 16712 14841 18112
-rect 14876 16712 15004 18112
-rect 15039 16712 15167 18112
-rect 15202 16712 15330 18112
-rect 15365 16712 15493 18112
-rect 15528 16712 15571 18112
-rect 12631 16371 12665 16395
-rect 12631 16293 12665 16317
-rect 10038 14508 10166 15908
-rect 10201 14508 10329 15908
-rect 10364 14508 10492 15908
-rect 10527 14508 10655 15908
-rect 10690 14508 10818 15908
-rect 10853 14508 10981 15908
-rect 11016 14508 11059 15908
-rect 11152 14508 11195 15908
-rect 11302 14508 11430 15908
-rect 11465 14508 11593 15908
-rect 11628 14508 11756 15908
-rect 11791 14508 11919 15908
-rect 11954 14508 12082 15908
-rect 12117 14508 12245 15908
-rect 12280 14508 12330 15908
-rect 12597 14729 12699 16293
-rect 12966 14576 13016 15976
-rect 13123 14576 13251 15976
-rect 13286 14576 13414 15976
-rect 13449 14576 13577 15976
-rect 13612 14576 13740 15976
-rect 13775 14576 13903 15976
-rect 13938 14576 14066 15976
-rect 14101 14576 14144 15976
-rect 14237 14576 14280 15976
-rect 14387 14576 14515 15976
-rect 14550 14576 14678 15976
-rect 14713 14576 14841 15976
-rect 14876 14576 15004 15976
-rect 15039 14576 15167 15976
-rect 15202 14576 15330 15976
-rect 15365 14576 15493 15976
-rect 15528 14576 15571 15976
-rect 12386 14502 12410 14526
-rect 12444 14502 12468 14526
-rect 12920 14510 12954 14514
-rect 12988 14510 13022 14514
-rect 13056 14510 13090 14514
-rect 13124 14510 13158 14514
-rect 13192 14510 13226 14514
-rect 13260 14510 13294 14514
-rect 13328 14510 13362 14514
-rect 13396 14510 13430 14514
-rect 13464 14510 13498 14514
-rect 13532 14510 13566 14514
-rect 13600 14510 13634 14514
-rect 13668 14510 13702 14514
-rect 13736 14510 13770 14514
-rect 13804 14510 13838 14514
-rect 13872 14510 13906 14514
-rect 13940 14510 13974 14514
-rect 14008 14510 14042 14514
-rect 14076 14510 14110 14514
-rect 14144 14510 14178 14514
-rect 14212 14510 14246 14514
-rect 14280 14510 14314 14514
-rect 14348 14510 14382 14514
-rect 14416 14510 14450 14514
-rect 14484 14510 14518 14514
-rect 14552 14510 14586 14514
-rect 14620 14510 14654 14514
-rect 14688 14510 14722 14514
-rect 14756 14510 14790 14514
-rect 14824 14510 14858 14514
-rect 14892 14510 14926 14514
-rect 14960 14510 14994 14514
-rect 15028 14510 15062 14514
-rect 15096 14510 15130 14514
-rect 15164 14510 15198 14514
-rect 15232 14510 15266 14514
-rect 15300 14510 15334 14514
-rect 15368 14510 15402 14514
-rect 15436 14510 15470 14514
-rect 15504 14510 15538 14514
-rect 15572 14510 15606 14514
-rect 15640 14510 15674 14514
-rect 12886 14502 15718 14510
-rect 15949 14505 16017 14525
-rect 12920 14498 12954 14502
-rect 12988 14498 13022 14502
-rect 13056 14498 13090 14502
-rect 13124 14498 13158 14502
-rect 13192 14498 13226 14502
-rect 13260 14498 13294 14502
-rect 13328 14498 13362 14502
-rect 13396 14498 13430 14502
-rect 13464 14498 13498 14502
-rect 13532 14498 13566 14502
-rect 13600 14498 13634 14502
-rect 13668 14498 13702 14502
-rect 13736 14498 13770 14502
-rect 13804 14498 13838 14502
-rect 13872 14498 13906 14502
-rect 13940 14498 13974 14502
-rect 14008 14498 14042 14502
-rect 14076 14498 14110 14502
-rect 14144 14498 14178 14502
-rect 14212 14498 14246 14502
-rect 14280 14498 14314 14502
-rect 14348 14498 14382 14502
-rect 14416 14498 14450 14502
-rect 14484 14498 14518 14502
-rect 14552 14498 14586 14502
-rect 14620 14498 14654 14502
-rect 14688 14498 14722 14502
-rect 14756 14498 14790 14502
-rect 14824 14498 14858 14502
-rect 14892 14498 14926 14502
-rect 14960 14498 14994 14502
-rect 15028 14498 15062 14502
-rect 15096 14498 15130 14502
-rect 15164 14498 15198 14502
-rect 15232 14498 15266 14502
-rect 15300 14498 15334 14502
-rect 15368 14498 15402 14502
-rect 15436 14498 15470 14502
-rect 15504 14498 15538 14502
-rect 15572 14498 15606 14502
-rect 15640 14498 15674 14502
-rect 12410 14478 12444 14492
-rect 12852 14480 15752 14498
-rect 15915 14480 15939 14495
-rect 15983 14480 16017 14505
-rect 12896 14478 15698 14480
-rect 12386 14444 12410 14468
-rect 12444 14444 12468 14468
-rect 15891 14447 15915 14471
-rect 15993 14456 16017 14480
-rect 15949 14369 16017 14389
-rect 12439 14246 12530 14348
-rect 12729 14281 12753 14305
-rect 12787 14281 12811 14305
-rect 12763 14257 12777 14281
-rect 2628 12903 2678 13103
-rect 2778 12903 2834 13103
-rect 2934 12903 2984 13103
-rect 3334 12903 3384 13103
-rect 3484 12903 3540 13103
-rect 3640 12903 3690 13103
-rect 3754 12903 3765 13103
-rect 10618 12657 10668 13657
-rect 10768 12657 10824 13657
-rect 10924 12657 10980 13657
-rect 11080 12657 11136 13657
-rect 11236 13200 11286 13657
-rect 11700 13200 11750 13657
-rect 11236 13116 11289 13200
-rect 11697 13116 11750 13200
-rect 11236 12867 11286 13116
-rect 11700 12867 11750 13116
-rect 11236 12783 11289 12867
-rect 11697 12783 11750 12867
-rect 11236 12657 11286 12783
-rect 11700 12657 11750 12783
-rect 11850 12657 11906 13657
-rect 12006 12657 12062 13657
-rect 12162 12657 12218 13657
-rect 12318 12657 12368 13657
-rect 14553 13268 14603 13868
-rect 14703 13268 14753 13868
-rect 14825 13268 14875 13868
-rect 14975 13268 15025 13868
-rect 15101 13268 15151 13868
-rect 15251 13268 15301 13868
-rect 15373 13268 15423 13868
-rect 15523 13268 15573 13868
-rect 13509 12945 13815 13115
-rect 10767 12319 10817 12435
-rect 10764 12235 10817 12319
-rect 10937 12235 11065 12435
-rect 11113 12235 11169 12435
-rect 11289 12235 11417 12435
-rect 11465 12235 11521 12435
-rect 11641 12235 11769 12435
-rect 11817 12235 11873 12435
-rect 11993 12235 12121 12435
-rect 12169 12319 12219 12435
-rect 14630 12381 15630 12431
-rect 12169 12235 12222 12319
-rect 10772 12231 10806 12235
-rect 12180 12231 12214 12235
-rect 14630 12225 15630 12353
-rect 14630 12069 15630 12197
-rect 10705 11921 11705 11971
-rect 12897 11921 13897 11971
-rect 14630 11913 15630 12041
-rect 3068 11302 3118 11902
-rect 3218 11302 3268 11902
-rect 3348 11302 3398 11902
-rect 3498 11302 3626 11902
-rect 3654 11302 3782 11902
-rect 3810 11302 3866 11902
-rect 3966 11302 4094 11902
-rect 4122 11302 4250 11902
-rect 4278 11302 4328 11902
-rect 10705 11765 11705 11821
-rect 12897 11765 13897 11821
-rect 14630 11757 15630 11885
-rect 12043 11681 12127 11684
-rect 10705 11609 11705 11665
-rect 11927 11631 12127 11681
-rect 12475 11681 12559 11684
-rect 12475 11676 12675 11681
-rect 12471 11642 12675 11676
-rect 12475 11631 12675 11642
-rect 12897 11609 13897 11665
-rect 14630 11601 15630 11729
-rect 10705 11453 11705 11509
-rect 11927 11455 12127 11583
-rect 12475 11455 12675 11583
-rect 12897 11453 13897 11509
-rect 14630 11445 15630 11573
-rect 10705 11297 11705 11353
-rect 11927 11279 12127 11335
-rect 12475 11279 12675 11335
-rect 12897 11297 13897 11353
-rect 14630 11289 15630 11417
-rect 10705 11147 11705 11197
-rect 11163 11144 11247 11147
-rect 11495 11144 11579 11147
-rect 11927 11103 12127 11231
-rect 12475 11103 12675 11231
-rect 12897 11147 13897 11197
-rect 13023 11144 13107 11147
-rect 13355 11144 13439 11147
-rect 14630 11133 15630 11261
-rect 6369 10910 6403 10944
-rect 6438 10910 6472 10944
-rect 6507 10910 6541 10944
-rect 6576 10910 6610 10944
-rect 6645 10910 6679 10944
-rect 6714 10910 6748 10944
-rect 6783 10910 6817 10944
-rect 6852 10910 6886 10944
-rect 6921 10910 6955 10944
-rect 6990 10910 7024 10944
-rect 7059 10910 7093 10944
-rect 7128 10910 7162 10944
-rect 7197 10910 7231 10944
-rect 7266 10910 7300 10944
-rect 7335 10910 7369 10944
-rect 7404 10910 7438 10944
-rect 7473 10910 7507 10944
-rect 7542 10910 7576 10944
-rect 7611 10910 7645 10944
-rect 7680 10910 7714 10944
-rect 7749 10910 7783 10944
-rect 7818 10910 7852 10944
-rect 7887 10910 7921 10944
-rect 7956 10910 7990 10944
-rect 8025 10910 8059 10944
-rect 8094 10910 8128 10944
-rect 8163 10910 8197 10944
-rect 8232 10910 8266 10944
-rect 8301 10910 8335 10944
-rect 8370 10910 8404 10944
-rect 8439 10911 8468 10944
-rect 11927 10933 12127 10983
-rect 12475 10933 12675 10983
-rect 14630 10977 15630 11105
-rect 8439 10910 8502 10911
-rect 6369 10886 6393 10910
-rect 14630 10821 15630 10949
-rect 14630 10665 15630 10793
-rect 14630 10509 15630 10637
-rect 14630 10353 15630 10481
-rect 14630 10203 15630 10253
-rect 9081 9791 9105 9815
-rect 9141 9791 9165 9815
-rect 11456 9791 11480 9815
-rect 11515 9791 11539 9815
-rect 9117 9767 9129 9791
-rect 11491 9767 11504 9791
-rect 9119 9703 9153 9713
-rect 9095 9679 9153 9703
-rect 11501 9679 11535 9713
-rect 12128 9703 12162 9713
-rect 12104 9679 12162 9703
-rect 13838 9678 13862 9702
-rect 13767 9662 13838 9668
-rect 13814 9654 13838 9662
-rect 1955 8822 2005 9422
-rect 2125 8822 2181 9422
-rect 2301 8822 2351 9422
-rect 656 8736 680 8760
-rect 717 8750 741 8760
-rect 717 8746 751 8750
-rect 788 8746 822 8750
-rect 859 8746 893 8750
-rect 695 8736 917 8746
-rect 693 8732 704 8736
-rect 717 8732 751 8736
-rect 788 8732 822 8736
-rect 859 8732 893 8736
-rect 693 8712 917 8732
-rect 717 8692 741 8712
-rect 2440 8600 2490 9600
-rect 2590 8600 2640 9600
-rect 2749 8600 2799 9600
-rect 2899 8600 2949 9600
-rect 3079 9140 3259 9340
-rect 3424 9325 3484 9340
-rect 3510 9325 3570 9340
-rect 5084 9325 5144 9340
-rect 5170 9325 5230 9340
-rect 3439 9155 3469 9325
-rect 3525 9155 3555 9325
-rect 5099 9155 5129 9325
-rect 5185 9155 5215 9325
-rect 3428 9152 3480 9155
-rect 3514 9152 3566 9155
-rect 5088 9152 5140 9155
-rect 5174 9152 5226 9155
-rect 3424 9140 3484 9152
-rect 3510 9140 3570 9152
-rect 5084 9140 5144 9152
-rect 5170 9140 5230 9152
-rect 5395 9140 5575 9340
-rect 3079 8880 3259 9080
-rect 3424 9065 3484 9080
-rect 3510 9065 3570 9080
-rect 5084 9065 5144 9080
-rect 5170 9065 5230 9080
-rect 3439 8895 3469 9065
-rect 3525 8895 3555 9065
-rect 5099 8895 5129 9065
-rect 5185 8895 5215 9065
-rect 3428 8892 3480 8895
-rect 3514 8892 3566 8895
-rect 5088 8892 5140 8895
-rect 5174 8892 5226 8895
-rect 3424 8880 3484 8892
-rect 3510 8880 3570 8892
-rect 5084 8880 5144 8892
-rect 5170 8880 5230 8892
-rect 5395 8880 5575 9080
-rect 3079 8620 3259 8820
-rect 3424 8805 3484 8820
-rect 3510 8805 3570 8820
-rect 5084 8805 5144 8820
-rect 5170 8805 5230 8820
-rect 3439 8800 3469 8805
-rect 3525 8800 3555 8805
-rect 5099 8800 5129 8805
-rect 5185 8800 5215 8805
-rect 5395 8620 5575 8820
-rect 5705 8600 5755 9600
-rect 5855 8600 5905 9600
-rect 6014 8600 6064 9600
-rect 6164 8600 6214 9600
-rect 7528 9566 13277 9578
-rect 6303 8822 6353 9422
-rect 6473 8822 6529 9422
-rect 6649 8822 6699 9422
-rect 7528 8875 7554 9566
-rect 7544 8872 7554 8875
-rect 7688 8858 7738 9458
-rect 7858 8858 7914 9458
-rect 8034 8858 8084 9458
-rect 8150 8858 8200 9458
-rect 8320 8858 8376 9458
-rect 8496 8858 8624 9458
-rect 8672 8858 8800 9458
-rect 8848 8858 8976 9458
-rect 9024 8858 9074 9458
-rect 9140 8858 9190 9458
-rect 9310 8858 9438 9458
-rect 9486 8858 9542 9458
-rect 9662 8858 9790 9458
-rect 9838 8858 9888 9458
-rect 9954 8858 10004 9458
-rect 10124 8858 10252 9458
-rect 10300 8858 10428 9458
-rect 10476 8858 10604 9458
-rect 10652 8858 10780 9458
-rect 10828 8858 10956 9458
-rect 11004 8858 11060 9458
-rect 11180 8858 11308 9458
-rect 11356 8858 11484 9458
-rect 11532 8858 11660 9458
-rect 11708 8858 11836 9458
-rect 11884 8858 12012 9458
-rect 12060 8858 12116 9458
-rect 12236 8858 12364 9458
-rect 12412 8858 12468 9458
-rect 12588 8858 12716 9458
-rect 12764 8858 12820 9458
-rect 12940 8858 13068 9458
-rect 13116 8858 13166 9458
-rect 14591 9148 14771 9348
-rect 14827 9148 15007 9348
-rect 14591 9013 14771 9050
-rect 15278 8748 15328 9348
-rect 15448 8748 15504 9348
-rect 15624 8748 15680 9348
-rect 15800 8748 15850 9348
-rect 3079 8306 3259 8506
-rect 5395 8306 5575 8506
-rect 1443 7530 1493 8130
-rect 1593 7530 1649 8130
-rect 1749 7530 1799 8130
-rect 2483 8046 2663 8246
-rect 2719 8046 2899 8246
-rect 3079 8046 3259 8246
-rect 3424 8231 3484 8246
-rect 3510 8231 3570 8246
-rect 5084 8231 5144 8246
-rect 5170 8231 5230 8246
-rect 3439 8061 3469 8231
-rect 3525 8061 3555 8231
-rect 3428 8058 3480 8061
-rect 3514 8058 3566 8061
-rect 3424 8046 3484 8058
-rect 3510 8046 3570 8058
-rect 2483 7786 2663 7986
-rect 2719 7786 2899 7986
-rect 3079 7786 3259 7986
-rect 3424 7971 3484 7986
-rect 3510 7971 3570 7986
-rect 3439 7801 3469 7971
-rect 3525 7801 3555 7971
-rect 3428 7798 3480 7801
-rect 3514 7798 3566 7801
-rect 3424 7786 3484 7798
-rect 3510 7786 3570 7798
-rect 3716 7796 3732 8112
-rect 4920 7796 4938 8112
-rect 5099 8061 5129 8231
-rect 5185 8061 5215 8231
-rect 5088 8058 5140 8061
-rect 5174 8058 5226 8061
-rect 5084 8046 5144 8058
-rect 5170 8046 5230 8058
-rect 5395 8046 5575 8246
-rect 5755 8046 5935 8246
-rect 5991 8046 6171 8246
-rect 5084 7971 5144 7986
-rect 5170 7971 5230 7986
-rect 5099 7801 5129 7971
-rect 5185 7801 5215 7971
-rect 5088 7798 5140 7801
-rect 5174 7798 5226 7801
-rect 3716 7770 3720 7796
-rect 5084 7786 5144 7798
-rect 5170 7786 5230 7798
-rect 5395 7786 5575 7986
-rect 5755 7786 5935 7986
-rect 5991 7786 6171 7986
-rect 3079 7526 3259 7726
-rect 3424 7711 3484 7726
-rect 3510 7711 3570 7726
-rect 3439 7672 3469 7711
-rect 3525 7672 3555 7711
-rect 3682 7532 3720 7770
-rect 4940 7532 4972 7770
-rect 5084 7711 5144 7726
-rect 5170 7711 5230 7726
-rect 5099 7672 5129 7711
-rect 5185 7672 5215 7711
-rect 5395 7526 5575 7726
-rect 6855 7530 6905 8130
-rect 7005 7530 7061 8130
-rect 7161 7530 7211 8130
-rect 7708 7544 7758 8544
-rect 7858 7544 7914 8544
-rect 8014 7544 8064 8544
-rect 8150 7544 8200 8544
-rect 8300 7544 8356 8544
-rect 8456 7544 8512 8544
-rect 8612 7544 8668 8544
-rect 8768 7544 8818 8544
-rect 8884 7544 8934 8544
-rect 9034 7544 9162 8544
-rect 9190 7544 9318 8544
-rect 9346 7544 9474 8544
-rect 9502 7544 9630 8544
-rect 9658 7544 9786 8544
-rect 9814 7544 9870 8544
-rect 9970 7544 10098 8544
-rect 10126 7544 10254 8544
-rect 10282 7544 10410 8544
-rect 10438 7544 10566 8544
-rect 10594 7544 10722 8544
-rect 10750 7544 10806 8544
-rect 10926 7544 11054 8544
-rect 11102 7544 11230 8544
-rect 11278 7544 11406 8544
-rect 11454 7544 11582 8544
-rect 11630 7544 11758 8544
-rect 11806 7544 11934 8544
-rect 11982 7544 12110 8544
-rect 12158 7544 12208 8544
-rect 12274 7544 12324 8544
-rect 12424 7544 12552 8544
-rect 12580 7544 12708 8544
-rect 12736 7544 12864 8544
-rect 12892 7544 12948 8544
-rect 13048 7544 13176 8544
-rect 13204 7544 13332 8544
-rect 13360 7544 13488 8544
-rect 13516 7544 13566 8544
-rect 14157 7985 14210 8135
-rect 14160 7888 14210 7985
-rect 14157 7666 14210 7888
-rect 14160 7535 14210 7666
-rect 14330 7535 14386 8135
-rect 14506 7535 14556 8135
-rect 14932 7540 14982 8140
-rect 15102 7540 15152 8140
-rect 160 6558 168 6783
-rect 237 5701 287 6301
-rect 387 5701 437 6301
-rect 1293 6063 1343 6663
-rect 1443 6063 1499 6663
-rect 1599 6063 1649 6663
-rect 1821 5997 1871 6997
-rect 1971 5997 2027 6997
-rect 2127 5997 2177 6997
-rect 2243 5997 2293 6997
-rect 2393 5997 2521 6997
-rect 2549 5997 2677 6997
-rect 2705 5997 2833 6997
-rect 2861 5997 2917 6997
-rect 3017 5997 3145 6997
-rect 3173 5997 3301 6997
-rect 3329 5997 3457 6997
-rect 3485 5997 3535 6997
-rect 3687 5997 3737 6997
-rect 3837 5997 3965 6997
-rect 3993 5997 4121 6997
-rect 4149 5997 4277 6997
-rect 4305 5997 4433 6997
-rect 4461 5997 4589 6997
-rect 4617 5997 4745 6997
-rect 4773 5997 4901 6997
-rect 4929 5997 4979 6997
-rect 5131 6047 5181 7047
-rect 5281 6047 5409 7047
-rect 5437 6047 5565 7047
-rect 5593 6047 5721 7047
-rect 5749 6047 5877 7047
-rect 5905 6047 6033 7047
-rect 6061 6047 6189 7047
-rect 6217 6047 6345 7047
-rect 6373 6047 6423 7047
-rect 6575 5997 6625 6997
-rect 6725 5997 6853 6997
-rect 6881 5997 7009 6997
-rect 7037 5997 7165 6997
-rect 7193 5997 7321 6997
-rect 7349 5997 7477 6997
-rect 7505 5997 7633 6997
-rect 7661 5997 7789 6997
-rect 7817 5997 7873 6997
-rect 7973 5997 8023 6997
-rect 8175 6397 8225 6997
-rect 8609 6397 8659 6997
-rect 9852 6512 9902 7112
-rect 10002 6512 10130 7112
-rect 10158 6512 10286 7112
-rect 10314 6512 10364 7112
-rect 10444 6512 10494 7112
-rect 10594 6512 10722 7112
-rect 10750 6512 10806 7112
-rect 10906 6512 11034 7112
-rect 11062 6512 11112 7112
-rect 11192 6512 11242 7112
-rect 11342 6512 11398 7112
-rect 11498 6512 11548 7112
-rect 10477 5732 10513 6332
-rect 10837 5732 10893 6332
-rect 11027 5732 11077 6332
-rect 6740 5618 6806 5634
-rect 6902 5618 6968 5634
-rect 9310 5564 9385 5574
-rect 9605 5564 9680 5574
-rect 1593 4914 1643 5514
-rect 1743 4914 1799 5514
-rect 1899 4914 1949 5514
-rect 2161 4883 2211 5483
-rect 2311 4883 2439 5483
-rect 2467 4883 2595 5483
-rect 2623 4883 2751 5483
-rect 2779 4883 2829 5483
-rect 2895 4883 2945 5483
-rect 3045 4883 3173 5483
-rect 3201 4883 3329 5483
-rect 3357 4883 3485 5483
-rect 3513 4883 3569 5483
-rect 3669 4883 3797 5483
-rect 3825 4883 3953 5483
-rect 3981 4883 4109 5483
-rect 4137 4883 4193 5483
-rect 4293 4883 4349 5483
-rect 4449 4883 4505 5483
-rect 4605 4883 4655 5483
-rect 4721 4883 4771 5483
-rect 4871 4883 4999 5483
-rect 5027 4883 5083 5483
-rect 5183 4883 5311 5483
-rect 5339 4883 5389 5483
-rect 8322 5391 9322 5441
-rect 9385 5391 9435 5502
-rect 9382 5271 9435 5391
-rect 8322 5221 9322 5271
-rect 8285 4501 8335 5101
-rect 8455 4501 8511 5101
-rect 8631 4501 8681 5101
-rect 8901 4502 8951 5102
-rect 9071 4502 9127 5102
-rect 9247 4502 9297 5102
-rect 9385 4502 9435 5271
-rect 9555 4502 9605 5502
-rect 9668 5391 10268 5441
-rect 9668 5291 9680 5391
-rect 11567 5321 11617 5921
-rect 11737 5321 11793 5921
-rect 11913 5321 12041 5921
-rect 12089 5321 12145 5921
-rect 12265 5321 12321 5921
-rect 12441 5321 12497 5921
-rect 12617 5321 12745 5921
-rect 12793 5321 12843 5921
-rect 9668 5241 10268 5291
-rect 9684 4502 9734 5102
-rect 9854 4502 9910 5102
-rect 10030 4502 10080 5102
-rect 10371 4502 10421 5102
-rect 10541 4502 10669 5102
-rect 10717 4502 10773 5102
-rect 10893 4502 11021 5102
-rect 11069 4502 11119 5102
-rect 11491 4566 11541 5166
-rect 11661 4566 11789 5166
-rect 11837 4566 11965 5166
-rect 12013 4566 12141 5166
-rect 12189 4566 12317 5166
-rect 12365 4566 12421 5166
-rect 12541 4566 12669 5166
-rect 12717 4566 12767 5166
-rect 4168 4378 4218 4459
-rect 501 4047 516 4062
-rect 465 4017 516 4047
-rect 501 4002 516 4017
-rect 1359 3706 1409 4306
-rect 1529 3706 1657 4306
-rect 1705 3706 1761 4306
-rect 1881 3877 1931 4306
-rect 1994 4106 2006 4306
-rect 4165 4106 4218 4378
-rect 1881 3805 1934 3877
-rect 4168 3859 4218 4106
-rect 4318 3859 4368 4459
-rect 4434 3859 4484 4459
-rect 4584 3859 4712 4459
-rect 4740 3859 4868 4459
-rect 4896 3859 5024 4459
-rect 5102 3859 5155 4459
-rect 1881 3706 1931 3805
-rect 1994 3706 2006 3805
-rect 4505 3495 4995 3522
-rect 5105 3459 5155 3859
-rect 5255 3459 5383 4459
-rect 5411 3459 5461 4459
-rect 5662 3338 5674 4338
-rect 5731 3338 5781 4338
-rect 5901 3338 6029 4338
-rect 6077 3338 6205 4338
-rect 6253 3338 6309 4338
-rect 6429 3338 6479 4338
-rect 6578 4218 6644 4234
-rect 7064 4218 7130 4234
-rect 6578 3434 6644 3450
-rect 6740 3434 6806 3450
-rect 6902 3434 6968 3450
-rect 7064 3434 7130 3450
-rect 7229 3338 7279 4338
-rect 7399 3338 7455 4338
-rect 7575 3338 7703 4338
-rect 7751 3338 7879 4338
-rect 7927 3338 7977 4338
-rect 8034 3338 8046 4338
-rect 8070 4274 8080 4308
-rect 8070 4206 8080 4240
-rect 8070 4138 8080 4172
-rect 8070 4070 8080 4104
-rect 8070 3995 8080 4029
-rect 8070 3927 8080 3961
-rect 8070 3859 8080 3893
-rect 8070 3791 8080 3825
-rect 8070 3723 8080 3757
-rect 8070 3655 8080 3689
-rect 8070 3587 8080 3621
-rect 8070 3519 8080 3553
-rect 8070 3451 8080 3485
-rect 8070 3383 8080 3417
-rect 8129 3338 8179 4338
-rect 8299 3338 8427 4338
-rect 8475 3338 8531 4338
-rect 8651 3338 8701 4338
-rect 8867 3738 8917 4338
-rect 9037 3738 9093 4338
-rect 9213 3738 9341 4338
-rect 9389 3738 9439 4338
-rect 9591 3738 9641 4338
-rect 9741 3738 9869 4338
-rect 9897 3738 9953 4338
-rect 10053 3738 10181 4338
-rect 10209 3738 10259 4338
-rect 10463 3738 10513 4338
-rect 10613 3738 10741 4338
-rect 10769 3738 10897 4338
-rect 10925 3738 10981 4338
-rect 11081 3738 11131 4338
-rect 12916 4209 12966 4264
-rect 531 2658 584 2808
-rect 534 2208 584 2658
-rect 704 2208 760 2808
-rect 880 2208 936 2808
-rect 1056 2208 1112 2808
-rect 1232 2208 1282 2808
-rect 1348 2208 1398 2808
-rect 1518 2208 1574 2808
-rect 1694 2208 1750 2808
-rect 1870 2208 1920 2808
-rect 2191 2608 2371 2808
-rect 2427 2608 2607 2808
-rect 4161 2608 4341 2808
-rect 4397 2608 4577 2808
-rect 2427 2473 2607 2510
-rect 4161 2473 4341 2510
-rect 4848 2208 4898 2808
-rect 5018 2208 5074 2808
-rect 5194 2208 5250 2808
-rect 5370 2208 5420 2808
-rect 5502 2208 5552 2808
-rect 5672 2208 5728 2808
-rect 5848 2208 5904 2808
-rect 6024 2208 6074 2808
-rect 6345 2608 6525 2808
-rect 6581 2608 6761 2808
-rect 8315 2608 8495 2808
-rect 8551 2608 8731 2808
-rect 6581 2473 6761 2510
-rect 8315 2473 8495 2510
-rect 9002 2208 9052 2808
-rect 9172 2208 9228 2808
-rect 9348 2208 9404 2808
-rect 9524 2208 9574 2808
-rect 9656 2208 9706 2808
-rect 9826 2208 9882 2808
-rect 10002 2208 10058 2808
-rect 10178 2208 10228 2808
-rect 10499 2608 10679 2808
-rect 10735 2608 10915 2808
-rect 12469 2608 12649 2808
-rect 12705 2608 12885 2808
-rect 10735 2473 10915 2510
-rect 12469 2473 12649 2510
-rect 13156 2208 13206 2808
-rect 13326 2208 13382 2808
-rect 13502 2208 13558 2808
-rect 13678 2208 13728 2808
-rect 13909 1810 13933 1834
-rect 14330 1810 14354 1834
-rect 13933 1786 13957 1801
-rect 14306 1786 14330 1801
-rect 13737 1630 13761 1654
-rect 13762 1606 13785 1630
-rect 157 792 207 1392
-rect 327 792 383 1392
-rect 503 792 553 1392
-rect 2046 1000 2096 1600
-rect 2216 1000 2266 1600
-rect 2642 995 2692 1595
-rect 2812 995 2868 1595
-rect 2988 1348 3038 1595
-rect 3101 1445 3113 1595
-rect 3727 1445 3780 1595
-rect 3730 1348 3780 1445
-rect 2988 1276 3041 1348
-rect 2988 995 3038 1276
-rect 3101 1126 3113 1276
-rect 3727 1126 3780 1348
-rect 3730 995 3780 1126
-rect 3900 995 3956 1595
-rect 4076 995 4126 1595
-rect 4502 1000 4552 1600
-rect 4672 1000 4722 1600
-rect 6200 1000 6250 1600
-rect 6370 1000 6420 1600
-rect 6796 995 6846 1595
-rect 6966 995 7022 1595
-rect 7142 1348 7192 1595
-rect 7255 1445 7267 1595
-rect 7881 1445 7934 1595
-rect 7884 1348 7934 1445
-rect 7142 1276 7195 1348
-rect 7142 995 7192 1276
-rect 7255 1126 7267 1276
-rect 7881 1126 7934 1348
-rect 7884 995 7934 1126
-rect 8054 995 8110 1595
-rect 8230 995 8280 1595
-rect 8656 1000 8706 1600
-rect 8826 1000 8876 1600
-rect 10354 1000 10404 1600
-rect 10524 1000 10574 1600
-rect 10950 995 11000 1595
-rect 11120 995 11176 1595
-rect 11296 1348 11346 1595
-rect 11409 1445 11421 1595
-rect 12035 1445 12088 1595
-rect 12038 1348 12088 1445
-rect 11296 1276 11349 1348
-rect 11296 995 11346 1276
-rect 11409 1126 11421 1276
-rect 12035 1126 12088 1348
-rect 12038 995 12088 1126
-rect 12208 995 12264 1595
-rect 12384 995 12434 1595
-rect 12810 1000 12860 1600
-rect 12980 1000 13030 1600
-rect 13957 1542 13991 1566
-rect 14027 1542 14061 1566
-rect 14097 1542 14131 1566
-rect 14167 1542 14201 1566
-rect 14237 1542 14271 1566
-rect 14307 1542 14330 1566
-rect 13762 1508 13785 1532
-rect 13737 1484 13761 1508
-rect 1585 673 1619 697
-rect 1653 673 1687 697
-rect 1721 673 1755 697
-rect 1789 673 1823 697
-rect 1857 673 1891 697
-rect 1925 673 1959 697
-rect 1993 673 2027 697
-rect 2061 673 2095 697
-rect 2129 673 2163 697
-rect 2197 673 2231 697
-rect 2265 673 2299 697
-rect 2333 673 2367 697
-rect 2401 673 2435 697
-rect 2469 673 2503 697
-rect 2537 673 2571 697
-rect 2605 673 2639 697
-rect 2673 673 2707 697
-rect 2741 673 2775 697
-rect 2809 673 2843 697
-rect 2877 673 2911 697
-rect 2945 673 2979 697
-rect 3013 673 3047 697
-rect 3081 673 3115 697
-rect 3149 673 3183 697
-rect 3217 673 3251 697
-rect 3285 673 3319 697
-rect 3353 673 3387 697
-rect 3421 673 3455 697
-rect 3489 673 3523 697
-rect 3557 673 3591 697
-rect 3625 673 3659 697
-rect 3693 673 3727 697
-rect 3761 673 3795 697
-rect 3829 673 3863 697
-rect 3897 673 3931 697
-rect 3965 673 3999 697
-rect 4033 673 4067 697
-rect 4101 673 4135 697
-rect 4169 673 4203 697
-rect 4237 673 4271 697
-rect 4305 673 4339 697
-rect 4373 673 4407 697
-rect 4441 673 4475 697
-rect 4509 673 4543 697
-rect 4577 673 4611 697
-rect 4645 673 4679 697
-rect 4713 673 4747 697
-rect 4781 673 4815 697
-rect 4849 673 4883 697
-rect 4917 673 4951 697
-rect 4985 673 5019 697
-rect 5053 673 5087 697
-rect 5121 673 5155 697
-rect 5189 673 5223 697
-rect 5257 673 5291 697
-rect 5325 673 5359 697
-rect 5393 673 5427 697
-rect 5461 673 5495 697
-rect 5529 673 5563 697
-rect 5597 673 5631 697
-rect 5665 673 5699 697
-rect 5733 673 5767 697
-rect 5801 673 5835 697
-rect 5869 673 5903 697
-rect 5937 673 5971 697
-rect 6005 673 6039 697
-rect 6073 673 6107 697
-rect 6141 673 6175 697
-rect 6209 673 6243 697
-rect 6277 673 6311 697
-rect 6345 673 6379 697
-rect 6413 673 6447 697
-rect 6481 673 6515 697
-rect 6549 673 6583 697
-rect 6617 673 6651 697
-rect 6685 673 6719 697
-rect 6753 673 6787 697
-rect 6821 673 6855 697
-rect 6889 673 6923 697
-rect 6957 673 6991 697
-rect 7025 673 7059 697
-rect 7093 673 7127 697
-rect 7161 673 7195 697
-rect 7229 673 7263 697
-rect 7297 673 7331 697
-rect 7365 673 7399 697
-rect 7433 673 7467 697
-rect 7501 673 7535 697
-rect 7569 673 7603 697
-rect 7637 673 7671 697
-rect 7705 673 7739 697
-rect 7773 673 7807 697
-rect 7841 673 7875 697
-rect 7909 673 7943 697
-rect 7977 673 8011 697
-rect 8045 673 8079 697
-rect 8113 673 8147 697
-rect 8181 673 8215 697
-rect 8249 673 8283 697
-rect 8317 673 8351 697
-rect 8385 673 8419 697
-rect 8453 673 8487 697
-rect 8521 673 8555 697
-rect 8589 673 8623 697
-rect 8657 673 8691 697
-rect 8725 673 8759 697
-rect 8793 673 8827 697
-rect 8861 673 8895 697
-rect 8929 673 8963 697
-rect 9063 673 9097 697
-rect 9131 673 9165 697
-rect 9199 673 9233 697
-rect 9267 673 9301 697
-rect 9335 673 9369 697
-rect 9403 673 9437 697
-rect 9471 673 9505 697
-rect 9539 673 9573 697
-rect 9607 673 9641 697
-rect 9675 673 9709 697
-rect 9743 673 9777 697
-rect 9811 673 9845 697
-rect 9879 673 9913 697
-rect 9947 673 9981 697
-rect 10015 673 10049 697
-rect 10083 673 10117 697
-rect 10151 673 10185 697
-rect 10219 673 10253 697
-rect 10287 673 10321 697
-rect 10355 673 10389 697
-rect 10423 673 10457 697
-rect 10491 673 10525 697
-rect 10559 673 10593 697
-rect 10627 673 10661 697
-rect 10695 673 10729 697
-rect 10763 673 10797 697
-rect 10831 673 10865 697
-rect 10899 673 10933 697
-rect 10967 673 11001 697
-rect 11035 673 11069 697
-rect 11103 673 11137 697
-rect 11171 673 11205 697
-rect 11239 673 11273 697
-rect 11307 673 11341 697
-rect 11375 673 11409 697
-rect 11443 673 11477 697
-rect 11511 673 11545 697
-rect 11579 673 11613 697
-rect 11647 673 11681 697
-rect 11715 673 11749 697
-rect 11783 673 11817 697
-rect 11851 673 11885 697
-rect 11919 673 11953 697
-rect 11987 673 12021 697
-rect 12055 673 12089 697
-rect 12123 673 12157 697
-rect 9013 647 9039 673
 << metal1 >>
 rect 12486 -407 12538 -351
 << metal2 >>
@@ -4381,14 +97,14 @@
 rect 15746 1390 16000 2280
 rect 0 20 254 1070
 rect 15746 20 16000 1070
-use sky130_fd_io__top_gpiov2  sky130_fd_io__top_gpiov2_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
-transform 1 0 0 0 1 -407
-box -143 -136 16134 40000
-use sky130_fd_io__overlay_gpiov2  sky130_fd_io__overlay_gpiov2_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_gpiov2  sky130_fd_io__overlay_gpiov2_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 16000 40000
+use sky130_fd_io__top_gpiov2  sky130_fd_io__top_gpiov2_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box -143 -136 16134 40000
 << labels >>
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 35 nsew ground bidirectional
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__hvc_vssio_overlay.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__hvc_vssio_overlay.mag
index 265acce..e40a6a2 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__hvc_vssio_overlay.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__hvc_vssio_overlay.mag
@@ -2,11 +2,6 @@
 tech sky130A
 magscale 1 2
 timestamp 1609170569
-<< checkpaint >>
-rect 1642 40835 8666 40867
-rect 1526 40755 8716 40835
-rect -586 37241 8716 40755
-rect -586 35405 4020 37241
 << metal3 >>
 rect 2908 38501 4894 38507
 rect 2908 34872 2914 38501
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccd_overlay.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccd_overlay.mag
index eea5fe1..e97b0c2 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccd_overlay.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccd_overlay.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607710904
+timestamp 1609281021
 << metal1 >>
 rect 15240 14964 17187 15070
 rect 15240 9435 15318 14964
@@ -9,7 +9,8 @@
 rect 15240 7496 17187 9435
 rect 15240 5414 15313 7496
 rect 17115 5414 17187 7496
-rect 4185 -163 10707 -7
+rect 5101 -7 5685 83
+rect 4185 -163 11313 -7
 rect 15240 -163 17187 5414
 rect 4185 -1384 17187 -163
 rect 4185 -2184 16387 -1384
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccdx_overlay.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccdx_overlay.mag
index 47338fb..dd7169b 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccdx_overlay.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__lvc_vccdx_overlay.mag
@@ -1,16 +1,22 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607710972
+timestamp 1609865739
 << metal1 >>
 rect 15049 7630 17239 7665
 rect 15049 6925 15080 7630
 rect 17210 6925 17239 7630
 rect 15049 6891 17239 6925
-rect 4185 -163 10707 -7
+rect 5107 -7 5683 57
+rect 4099 -163 11313 -7
 rect 15240 -163 17187 6891
-rect 4185 -1307 17187 -163
-rect 4185 -2107 16387 -1307
+rect 4099 -203 17187 -163
+tri 3331 -603 3731 -203 se
+rect 3731 -603 17187 -203
+rect 3331 -1307 17187 -603
+rect 3331 -1707 16387 -1307
+tri 3331 -2107 3731 -1707 ne
+rect 3731 -2107 16387 -1707
 tri 16387 -2107 17187 -1307 nw
 << via1 >>
 rect 15080 6925 17210 7630
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__top_power_hvc.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__top_power_hvc.mag
index 090c2ad..cf5efc2 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__top_power_hvc.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__top_power_hvc.mag
@@ -2,41 +2,6 @@
 tech sky130A
 magscale 1 2
 timestamp 1622214379
-<< error_s >>
-rect 11669 43353 11682 43589
-rect 22118 43573 22142 43589
-rect 22142 43353 22145 43573
-rect 11350 43265 11583 43281
-rect 11347 43062 11350 43265
-rect 22271 43211 22504 43227
-rect 22504 42991 22507 43211
-rect 11030 42945 11147 42961
-rect 11027 42725 11030 42945
-rect 22591 42891 22824 42907
-rect 22824 42671 22827 42891
-rect 10710 42625 10943 42641
-rect 10707 42530 10710 42625
-rect 22911 42571 23144 42587
-rect 23144 42351 23147 42571
-rect 10387 42302 10615 42318
-rect 10384 42291 10387 42302
-rect 23404 29835 23416 29855
-rect 23180 29828 23404 29835
-rect 10653 29575 10656 29795
-rect 10656 29559 10889 29575
-rect 23090 29521 23093 29741
-rect 22857 29505 23090 29521
-rect 10973 29249 10982 29475
-rect 10982 29239 11209 29249
-rect 22760 29191 22773 29421
-rect 22537 29185 22760 29191
-rect 11293 28935 11296 29155
-rect 11296 28919 11529 28935
-rect 22450 28881 22453 29101
-rect 22217 28865 22450 28881
-rect 11655 28573 11658 28793
-rect 11658 28557 11682 28573
-rect 22118 28557 22131 28793
 << metal2 >>
 rect 9499 8993 14279 9141
 rect 14579 8993 14979 9189
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_hvc_pad.mag
index 91ba70a..366a635 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_hvc_pad.mag
@@ -1,334 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 14469 39226 14535 39242
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 1900 14464 1966 14480
-rect 3682 14470 3748 14486
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 214 8281 280 8297
-rect 1672 8281 1738 8297
-rect 14145 7996 14211 8012
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -193
@@ -401,17 +74,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vccd_hvc  sky130_fd_io__overlay_vccd_hvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
-transform 1 0 0 0 1 -407
-box 0 407 15000 40000
-use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
+use sky130_fd_io__overlay_vccd_hvc  sky130_fd_io__overlay_vccd_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 407 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VCCD
-port 11 nsew power bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -419,7 +90,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VCCD
@@ -427,7 +98,7 @@
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VCCD
 port 11 nsew power bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -544,6 +215,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VCCD_PAD
+port 4 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped2_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped2_pad.mag
index 6a1c6dc..e2e5f73 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped2_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped2_pad.mag
@@ -1,11 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609271021
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
-rect 5242 58 5540 69
-rect 5127 -7 5663 58
+rect 5242 -7 5540 69
 << metal2 >>
 rect 98 0 4099 287
 rect 6888 -7 8888 58
@@ -78,25 +77,23 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609263052
-transform 1 0 0 0 1 -7
-box 0 0 15000 39600
+use sky130_ef_io__lvc_vccd_overlay  sky130_ef_io__lvc_vccd_overlay_0
+timestamp 1609281021
+transform 1 0 0 0 1 0
+box -2195 -2184 17228 39586
 use sky130_fd_io__overlay_vccd_lvc  sky130_fd_io__overlay_vccd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609263052
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_ef_io__lvc_vccdx_overlay  sky130_ef_io__lvc_vccdx_overlay_0
-timestamp 1607710972
-transform 1 0 0 0 1 0
-box 102 -2107 17239 38714
+use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -7
+box 0 0 15000 39600
 << labels >>
 flabel metal4 s 14873 37925 14873 37925 3 FreeSans 520 180 0 0 VSSIO
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD
-port 15 nsew power bidirectional
 flabel metal3 s 10151 -7 14940 862 0 FreeSans 4000 0 0 0 VCCD
 port 15 nsew power bidirectional
 flabel metal3 s 100 -7 4900 862 0 FreeSans 2000 0 0 0 VCCD
@@ -217,6 +214,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD_PAD
+port 2 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag
index 24e21a4..106a532 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag
@@ -1,11 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609271235
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
-rect 5242 58 5540 69
-rect 5127 -7 5657 58
+rect 5242 -7 5540 69
 << metal2 >>
 rect 98 0 4099 287
 rect 6888 -7 8888 58
@@ -78,25 +77,23 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609263052
-transform 1 0 0 0 1 -7
-box 0 0 15000 39600
 use sky130_fd_io__overlay_vccd_lvc  sky130_fd_io__overlay_vccd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609263052
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_ef_io__lvc_vccd_overlay  sky130_ef_io__lvc_vccd_overlay_0
-timestamp 1607710904
+use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -7
+box 0 0 15000 39600
+use sky130_ef_io__lvc_vccdx_overlay  sky130_ef_io__lvc_vccdx_overlay_0
+timestamp 1609865739
 transform 1 0 0 0 1 0
-box -2195 -2184 17228 39586
+box 102 -2107 17239 38714
 << labels >>
 flabel metal4 s 14873 37925 14873 37925 3 FreeSans 520 180 0 0 VSSIO
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD
-port 15 nsew power bidirectional
 flabel metal3 s 10151 -7 14940 862 0 FreeSans 4000 0 0 0 VCCD
 port 15 nsew power bidirectional
 flabel metal3 s 100 -7 4900 862 0 FreeSans 2000 0 0 0 VCCD
@@ -217,6 +214,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD_PAD
+port 2 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_pad.mag
index 43ed325..c26c96e 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 69
@@ -742,12 +77,12 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vccd_lvc  sky130_fd_io__overlay_vccd_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vccd_lvc  sky130_fd_io__overlay_vccd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
 << labels >>
@@ -755,8 +90,6 @@
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD
-port 15 nsew power bidirectional
 flabel metal2 s 100 -7 4099 287 0 FreeSans 2000 0 0 0 SRC_BDY_LVC1
 port 4 nsew ground bidirectional
 flabel metal2 s 10953 -7 14940 715 0 FreeSans 2000 0 0 0 SRC_BDY_LVC2
@@ -887,6 +220,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VCCD_PAD
+port 7 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag
index 3edc892..80e2fdd 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1610122293
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -211
@@ -74,25 +74,23 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
+use sky130_fd_io__overlay_vdda_hvc  sky130_fd_io__overlay_vdda_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 407 15000 40000
 use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_1 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609966165
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 use sky130_ef_io__hvc_vdda_overlay  sky130_ef_io__hvc_vdda_overlay_0
 timestamp 1607721650
 transform -1 0 14903 0 1 -1
 box 5196 2610 9707 7592
-use sky130_fd_io__overlay_vdda_hvc  sky130_fd_io__overlay_vdda_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609966165
-transform 1 0 0 0 1 -407
-box 0 407 15000 40000
 << labels >>
 flabel metal4 s 14873 37925 14873 37925 3 FreeSans 520 180 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDA
-port 6 nsew power bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -217,6 +215,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDA_PAD
+port 2 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_pad.mag
index d527b50..834ae2d 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_pad.mag
@@ -1,334 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 14469 39226 14535 39242
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 1900 14464 1966 14480
-rect 3682 14470 3748 14486
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 214 8281 280 8297
-rect 1672 8281 1738 8297
-rect 14145 7996 14211 8012
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -211
@@ -401,12 +74,12 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vdda_lvc  sky130_fd_io__overlay_vdda_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vdda_hvc  sky130_fd_io__overlay_vdda_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
-box 0 7 15000 39600
-use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_1 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+box 0 407 15000 40000
+use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 << labels >>
@@ -414,8 +87,6 @@
 port 12 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDA
-port 6 nsew power bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -423,7 +94,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VDDA
@@ -431,7 +102,7 @@
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VDDA
 port 6 nsew power bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -548,6 +219,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDA_PAD
+port 4 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_lvc_pad.mag
index b2f43bb..4124c5d 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vdda_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 61
@@ -742,12 +77,12 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vdda_lvc  sky130_fd_io__overlay_vdda_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vdda_lvc  sky130_fd_io__overlay_vdda_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_1 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
 << labels >>
@@ -881,8 +216,8 @@
 port 17 nsew power bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VDDA
-port 10 nsew power bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VDDA_PAD
+port 7 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag
index 4126dc5..772e0a8 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608397426
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -210
@@ -74,21 +74,19 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
+use sky130_fd_io__overlay_vddio_hvc  sky130_fd_io__overlay_vddio_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 407 15000 40000
+use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_2 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 0 15000 40000
 use sky130_ef_io__hvc_vddio_overlay  sky130_ef_io__hvc_vddio_overlay_0
 timestamp 1607711116
 transform 1 0 0 0 1 -1
 box 736 3584 9750 39462
-use sky130_fd_io__overlay_vddio_hvc  sky130_fd_io__overlay_vddio_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
-transform 1 0 0 0 1 -407
-box 0 407 15000 40000
-use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_2 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
-transform 1 0 0 0 1 -407
-box 0 0 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDIO
-port 10 nsew power bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -213,6 +211,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDIO_PAD
+port 3 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_pad.mag
index 35311bd..59bc877 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_pad.mag
@@ -1,334 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 14469 39226 14535 39242
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 1900 14464 1966 14480
-rect 3682 14470 3748 14486
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 214 8281 280 8297
-rect 1672 8281 1738 8297
-rect 14145 7996 14211 8012
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -210
@@ -401,17 +74,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_2 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
-transform 1 0 0 0 1 -407
-box 0 0 15000 40000
-use sky130_fd_io__overlay_vddio_hvc  sky130_fd_io__overlay_vddio_hvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vddio_hvc  sky130_fd_io__overlay_vddio_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
+use sky130_fd_io__top_power_hvc_wpadv2  sky130_fd_io__top_power_hvc_wpadv2_2 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 0 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDIO
-port 10 nsew power bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -419,7 +90,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VDDIO
@@ -427,7 +98,7 @@
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VDDIO
 port 10 nsew power bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -544,6 +215,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VDDIO_PAD
+port 4 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_lvc_pad.mag
index 692629c..19463de 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vddio_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 78
@@ -717,6 +52,7 @@
 << metal5 >>
 rect 0 34750 254 39593
 rect 14746 34750 15000 39593
+rect 6339 32546 10468 33417
 rect 0 13600 254 18590
 rect 14746 13600 15000 18590
 rect 0 12430 254 13280
@@ -741,17 +77,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_2 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_power_lvc_wpad  sky130_fd_io__top_power_lvc_wpad_2 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
-use sky130_fd_io__overlay_vddio_lvc  sky130_fd_io__overlay_vddio_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vddio_lvc  sky130_fd_io__overlay_vddio_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
 << labels >>
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VDDIO
-port 14 nsew power bidirectional
 flabel metal2 s 100 -7 4099 287 0 FreeSans 2000 0 0 0 SRC_BDY_LVC1
 port 4 nsew ground bidirectional
 flabel metal2 s 10953 -7 14940 715 0 FreeSans 2000 0 0 0 SRC_BDY_LVC2
@@ -882,6 +216,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VDDIO_PAD
+port 7 nsew power bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
index f30ddfc..4211006 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
@@ -1,9 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1610124989
-<< checkpaint >>
-rect -1260 -1667 16260 40853
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -184
@@ -78,21 +76,19 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
+use sky130_fd_io__overlay_vssa_hvc  sky130_fd_io__overlay_vssa_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 407 15000 40000
+use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -407
+box 0 0 15000 40000
 use sky130_ef_io__hvc_vdda_overlay  sky130_ef_io__hvc_vdda_overlay_0
 timestamp 1607721650
 transform -1 0 14903 0 1 -1
 box 5196 2610 9707 7592
-use sky130_fd_io__overlay_vssa_hvc  sky130_fd_io__overlay_vssa_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1610123829
-transform 1 0 0 0 1 -407
-box 0 407 15000 40000
-use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1610123829
-transform 1 0 0 0 1 -407
-box 0 0 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSA
-port 5 nsew ground bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -217,6 +213,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSA_PAD
+port 2 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_pad.mag
index 40224e4..433283a 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_pad.mag
@@ -1,328 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -184
@@ -397,17 +76,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vssa_hvc  sky130_fd_io__overlay_vssa_hvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssa_hvc  sky130_fd_io__overlay_vssa_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
-use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSA
-port 5 nsew ground bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -415,7 +92,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VSSA
@@ -423,7 +100,7 @@
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -540,6 +217,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSA_PAD
+port 4 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_lvc_pad.mag
index e332ed5..bd43386 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 84
@@ -743,12 +78,12 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vssa_lvc  sky130_fd_io__overlay_vssa_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssa_lvc  sky130_fd_io__overlay_vssa_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
 << labels >>
@@ -882,8 +217,8 @@
 port 5 nsew ground bidirectional
 flabel metal2 s 100 -7 4099 287 0 FreeSans 2000 0 0 0 SRC_BDY_LVC1
 port 4 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSA
-port 9 nsew ground bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSA_PAD
+port 7 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_hvc_pad.mag
index 31feb15..d3dccc3 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_hvc_pad.mag
@@ -1,328 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -182
@@ -395,17 +74,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vssd_hvc  sky130_fd_io__overlay_vssd_hvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssd_hvc  sky130_fd_io__overlay_vssd_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
-use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_1 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSD
-port 13 nsew ground bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -413,7 +90,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VSSD
@@ -421,7 +98,7 @@
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VSSD
 port 13 nsew ground bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -538,6 +215,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSD_PAD
+port 4 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped2_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped2_pad.mag
index ff499d3..e410ac9 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped2_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped2_pad.mag
@@ -1,11 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609271331
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
-rect 5242 58 5540 83
-rect 5120 -8 5670 58
+rect 5242 -7 5540 83
 << metal2 >>
 rect 101 0 4099 287
 rect 6888 -7 8888 58
@@ -78,25 +77,23 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609271331
-transform 1 0 0 0 1 -7
-box 0 0 15000 39600
+use sky130_ef_io__lvc_vccd_overlay  sky130_ef_io__lvc_vccd_overlay_0
+timestamp 1609281021
+transform 1 0 0 0 1 0
+box -2195 -2184 17228 39586
 use sky130_fd_io__overlay_vssd_lvc  sky130_fd_io__overlay_vssd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609271331
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_ef_io__lvc_vccdx_overlay  sky130_ef_io__lvc_vccdx_overlay_0
-timestamp 1607710972
-transform 1 0 0 0 1 0
-box 102 -2107 17239 38714
+use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -7
+box 0 0 15000 39600
 << labels >>
 flabel metal4 s 14873 37925 14873 37925 3 FreeSans 520 180 0 0 VSSIO
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD
-port 17 nsew ground bidirectional
 flabel metal3 s 10151 -7 14940 862 0 FreeSans 4000 0 0 0 VSSD
 port 17 nsew ground bidirectional
 flabel metal3 s 100 -7 4900 862 0 FreeSans 2000 0 0 0 VSSD
@@ -217,6 +214,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD_PAD
+port 2 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag
index 1a838a8..a7f9222 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag
@@ -1,684 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1609271359
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 11600 19144 12066 19224
-rect 11600 18477 11680 19144
-rect 10853 18415 11680 18477
-rect 10853 18397 10993 18415
-rect 10853 17748 10933 18397
-rect 10140 17668 10933 17748
-rect 10140 17385 10204 17668
-rect 10220 17385 10284 17668
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
-rect 5242 58 5540 83
-rect 5120 -8 5670 58
+rect 5242 -7 5540 83
 << metal2 >>
 rect 101 0 4099 287
 rect 6888 -7 8888 58
@@ -751,25 +77,23 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609271359
-transform 1 0 0 0 1 -7
-box 0 0 15000 39600
 use sky130_fd_io__overlay_vssd_lvc  sky130_fd_io__overlay_vssd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1609271359
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_ef_io__lvc_vccd_overlay  sky130_ef_io__lvc_vccd_overlay_0
-timestamp 1607710904
+use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
+transform 1 0 0 0 1 -7
+box 0 0 15000 39600
+use sky130_ef_io__lvc_vccdx_overlay  sky130_ef_io__lvc_vccdx_overlay_0
+timestamp 1609865739
 transform 1 0 0 0 1 0
-box -2195 -2184 17228 39586
+box 102 -2107 17239 38714
 << labels >>
 flabel metal4 s 14873 37925 14873 37925 3 FreeSans 520 180 0 0 VSSIO
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD
-port 17 nsew ground bidirectional
 flabel metal3 s 10151 -7 14940 862 0 FreeSans 4000 0 0 0 VSSD
 port 17 nsew ground bidirectional
 flabel metal3 s 100 -7 4900 862 0 FreeSans 2000 0 0 0 VSSD
@@ -890,6 +214,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD_PAD
+port 2 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_pad.mag
index 6c107b5..f927e8a 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 83
@@ -742,12 +77,12 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__overlay_vssd_lvc  sky130_fd_io__overlay_vssd_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssd_lvc  sky130_fd_io__overlay_vssd_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
-use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_1 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
 << labels >>
@@ -755,8 +90,6 @@
 port 16 nsew ground bidirectional
 flabel metal4 s 127 37925 127 37925 3 FreeSans 520 0 0 0 VSSIO
 port 16 nsew ground bidirectional
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD
-port 17 nsew ground bidirectional
 flabel metal2 s 100 -7 4099 287 0 FreeSans 2000 0 0 0 SRC_BDY_LVC1
 port 4 nsew ground bidirectional
 flabel metal2 s 10953 -7 14940 715 0 FreeSans 2000 0 0 0 SRC_BDY_LVC2
@@ -887,6 +220,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSD_PAD
+port 7 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag
index 92ea340..2a055a5 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608397426
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -181
@@ -77,21 +77,19 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_ef_io__hvc_vddio_overlay  sky130_ef_io__hvc_vddio_overlay_0
-timestamp 1607711116
-transform 1 0 0 0 1 -1
-box 736 3584 9750 39462
 use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_2 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 use sky130_fd_io__overlay_vssio_hvc  sky130_fd_io__overlay_vssio_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
+use sky130_ef_io__hvc_vssio_overlay  sky130_ef_io__hvc_vssio_overlay_0
+timestamp 1609170569
+transform 1 0 0 0 1 -1
+box 2908 3584 9750 38507
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSIO
-port 12 nsew ground bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -216,6 +214,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSIO_PAD
+port 2 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_pad.mag
index 098a1ec..86c4237 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_pad.mag
@@ -1,328 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 3098 36629 3170 38629
-rect 3369 36662 3487 38556
-rect 3758 36629 3818 38629
-rect 4018 36629 4090 38629
-rect 4289 36662 4407 38556
-rect 4678 36629 4738 38629
-rect 4938 36629 5010 38629
-rect 5209 36662 5327 38556
-rect 5598 36629 5658 38629
-rect 5858 36629 5930 38629
-rect 6129 36662 6247 38556
-rect 6518 36629 6578 38629
-rect 6778 36629 6850 38629
-rect 7049 36662 7167 38556
-rect 7438 36629 7498 38629
-rect 7698 36629 7770 38629
-rect 7969 36662 8087 38556
-rect 8358 36629 8418 38629
-rect 8618 36629 8690 38629
-rect 8889 36662 9007 38556
-rect 9278 36629 9338 38629
-rect 9538 36629 9610 38629
-rect 9809 36662 9927 38556
-rect 10198 36629 10258 38629
-rect 10458 36629 10530 38629
-rect 10729 36662 10847 38556
-rect 11118 36629 11178 38629
-rect 11378 36629 11450 38629
-rect 11649 36662 11767 38556
-rect 12038 36629 12098 38629
-rect 12298 36629 12370 38629
-rect 12569 36662 12687 38556
-rect 12958 36629 13018 38629
-rect 3098 32029 3170 36029
-rect 3369 32062 3487 35996
-rect 3758 32029 3818 36029
-rect 4018 32029 4090 36029
-rect 4289 32062 4407 35996
-rect 4678 32029 4738 36029
-rect 4938 32029 5010 36029
-rect 5209 32062 5327 35996
-rect 5598 32029 5658 36029
-rect 5858 32029 5930 36029
-rect 6129 32062 6247 35996
-rect 6518 32029 6578 36029
-rect 6778 32029 6850 36029
-rect 7049 32062 7167 35996
-rect 7438 32029 7498 36029
-rect 7698 32029 7770 36029
-rect 7969 32062 8087 35996
-rect 8358 32029 8418 36029
-rect 8618 32029 8690 36029
-rect 8889 32062 9007 35996
-rect 9278 32029 9338 36029
-rect 9538 32029 9610 36029
-rect 9809 32062 9927 35996
-rect 10198 32029 10258 36029
-rect 10458 32029 10530 36029
-rect 10729 32062 10847 35996
-rect 11118 32029 11178 36029
-rect 11378 32029 11450 36029
-rect 11649 32062 11767 35996
-rect 12038 32029 12098 36029
-rect 12298 32029 12370 36029
-rect 12569 32062 12687 35996
-rect 12958 32029 13018 36029
-rect 3098 27429 3170 31429
-rect 3369 27462 3487 31396
-rect 3758 27429 3818 31429
-rect 4018 27429 4090 31429
-rect 4289 27462 4407 31396
-rect 4678 27429 4738 31429
-rect 4938 27429 5010 31429
-rect 5209 27462 5327 31396
-rect 5598 27429 5658 31429
-rect 5858 27429 5930 31429
-rect 6129 27462 6247 31396
-rect 6518 27429 6578 31429
-rect 6778 27429 6850 31429
-rect 7049 27462 7167 31396
-rect 7438 27429 7498 31429
-rect 7698 27429 7770 31429
-rect 7969 27462 8087 31396
-rect 8358 27429 8418 31429
-rect 8618 27429 8690 31429
-rect 8889 27462 9007 31396
-rect 9278 27429 9338 31429
-rect 9538 27429 9610 31429
-rect 9809 27462 9927 31396
-rect 10198 27429 10258 31429
-rect 10458 27429 10530 31429
-rect 10729 27462 10847 31396
-rect 11118 27429 11178 31429
-rect 11378 27429 11450 31429
-rect 11649 27462 11767 31396
-rect 12038 27429 12098 31429
-rect 12298 27429 12370 31429
-rect 12569 27462 12687 31396
-rect 12958 27429 13018 31429
-rect 4938 22829 5010 26829
-rect 5209 22862 5327 26796
-rect 5598 22829 5658 26829
-rect 5858 22829 5930 26829
-rect 6129 22862 6247 26796
-rect 6518 22829 6578 26829
-rect 6778 22829 6850 26829
-rect 7049 22862 7167 26796
-rect 7438 22829 7498 26829
-rect 7698 22829 7770 26829
-rect 7969 22862 8087 26796
-rect 8358 22829 8418 26829
-rect 8618 22829 8690 26829
-rect 8889 22862 9007 26796
-rect 9278 22829 9338 26829
-rect 9538 22829 9610 26829
-rect 9809 22862 9927 26796
-rect 10198 22829 10258 26829
-rect 10458 22829 10530 26829
-rect 10729 22862 10847 26796
-rect 11118 22829 11178 26829
-rect 11378 22829 11450 26829
-rect 11649 22862 11767 26796
-rect 12038 22829 12098 26829
-rect 12298 22829 12370 26829
-rect 12569 22862 12687 26796
-rect 12958 22829 13018 26829
-rect 4938 18229 5010 22229
-rect 5209 18262 5327 22196
-rect 5598 18229 5658 22229
-rect 5858 18229 5930 22229
-rect 6129 18262 6247 22196
-rect 6518 18229 6578 22229
-rect 6778 18229 6850 22229
-rect 7049 18262 7167 22196
-rect 7438 18229 7498 22229
-rect 7698 18229 7770 22229
-rect 7969 18262 8087 22196
-rect 8358 18229 8418 22229
-rect 8618 18229 8690 22229
-rect 8889 18262 9007 22196
-rect 9278 18229 9338 22229
-rect 9538 18229 9610 22229
-rect 9809 18262 9927 22196
-rect 10198 18229 10258 22229
-rect 10458 18229 10530 22229
-rect 10729 18262 10847 22196
-rect 11118 18229 11178 22229
-rect 11378 18229 11450 22229
-rect 11649 18262 11767 22196
-rect 12038 18229 12098 22229
-rect 12298 18229 12370 22229
-rect 12569 18262 12687 22196
-rect 12958 18229 13018 22229
-rect 4938 13629 5010 17629
-rect 5209 13662 5327 17596
-rect 5598 13629 5658 17629
-rect 5858 13629 5930 17629
-rect 6129 13662 6247 17596
-rect 6518 13629 6578 17629
-rect 6778 13629 6850 17629
-rect 7049 13662 7167 17596
-rect 7438 13629 7498 17629
-rect 7698 13629 7770 17629
-rect 7969 13662 8087 17596
-rect 8358 13629 8418 17629
-rect 8618 13629 8690 17629
-rect 8889 13662 9007 17596
-rect 9278 13629 9338 17629
-rect 9538 13629 9610 17629
-rect 9809 13662 9927 17596
-rect 10198 13629 10258 17629
-rect 10458 13629 10530 17629
-rect 10729 13662 10847 17596
-rect 11118 13629 11178 17629
-rect 11378 13629 11450 17629
-rect 11649 13662 11767 17596
-rect 12038 13629 12098 17629
-rect 12298 13629 12370 17629
-rect 12569 13662 12687 17596
-rect 12958 13629 13018 17629
-rect 3098 9029 3170 13029
-rect 3369 9062 3487 12996
-rect 3758 9029 3818 13029
-rect 4018 9029 4090 13029
-rect 4289 9062 4407 12996
-rect 4678 9029 4738 13029
-rect 4938 9029 5010 13029
-rect 5209 9062 5327 12996
-rect 5598 9029 5658 13029
-rect 5858 9029 5930 13029
-rect 6129 9062 6247 12996
-rect 6518 9029 6578 13029
-rect 6778 9029 6850 13029
-rect 7049 9062 7167 12996
-rect 7438 9029 7498 13029
-rect 7698 9029 7770 13029
-rect 7969 9062 8087 12996
-rect 8358 9029 8418 13029
-rect 8618 9029 8690 13029
-rect 8889 9062 9007 12996
-rect 9278 9029 9338 13029
-rect 9538 9029 9610 13029
-rect 9809 9062 9927 12996
-rect 10198 9029 10258 13029
-rect 10458 9029 10530 13029
-rect 10729 9062 10847 12996
-rect 11118 9029 11178 13029
-rect 11378 9029 11450 13029
-rect 11649 9062 11767 12996
-rect 12038 9029 12098 13029
-rect 12298 9029 12370 13029
-rect 12569 9062 12687 12996
-rect 12958 9029 13018 13029
-rect 1218 5553 1268 6953
-rect 1368 5553 1496 6953
-rect 1524 5553 1652 6953
-rect 1680 5553 1808 6953
-rect 1836 5553 1964 6953
-rect 1992 5553 2120 6953
-rect 2148 5553 2276 6953
-rect 2304 5553 2432 6953
-rect 2460 5553 2588 6953
-rect 2616 5553 2744 6953
-rect 2772 5553 2900 6953
-rect 2928 5553 3056 6953
-rect 3084 5553 3212 6953
-rect 3240 5553 3368 6953
-rect 3396 5553 3524 6953
-rect 3552 5553 3680 6953
-rect 3708 5553 3836 6953
-rect 3864 5553 3992 6953
-rect 4020 5553 4148 6953
-rect 4176 5553 4304 6953
-rect 4332 5553 4460 6953
-rect 4488 5553 4616 6953
-rect 4644 5553 4772 6953
-rect 4800 5553 4928 6953
-rect 4956 5553 5084 6953
-rect 5112 5553 5240 6953
-rect 5268 5553 5396 6953
-rect 5424 5553 5552 6953
-rect 5580 5553 5708 6953
-rect 5736 5553 5864 6953
-rect 5892 5553 6020 6953
-rect 6048 5553 6176 6953
-rect 6204 5553 6332 6953
-rect 6360 5553 6488 6953
-rect 6516 5553 6644 6953
-rect 6672 5553 6800 6953
-rect 6828 5553 6956 6953
-rect 6984 5553 7112 6953
-rect 7140 5553 7268 6953
-rect 7296 5553 7424 6953
-rect 7452 5553 7580 6953
-rect 7608 5553 7736 6953
-rect 7764 5553 7892 6953
-rect 7920 5553 8048 6953
-rect 8076 5553 8204 6953
-rect 8232 5553 8360 6953
-rect 8388 5553 8516 6953
-rect 8544 5553 8672 6953
-rect 8700 5553 8828 6953
-rect 8856 5553 8984 6953
-rect 9012 5553 9062 6953
-rect 10933 6109 10983 7509
-rect 11083 6109 11211 7509
-rect 11239 6109 11367 7509
-rect 11395 6109 11523 7509
-rect 11551 6109 11679 7509
-rect 11707 6109 11835 7509
-rect 11863 6109 11991 7509
-rect 12019 6109 12147 7509
-rect 12175 6109 12303 7509
-rect 12331 6109 12459 7509
-rect 12487 6109 12615 7509
-rect 12643 6109 12771 7509
-rect 12799 6109 12927 7509
-rect 12955 6109 13083 7509
-rect 13111 6109 13239 7509
-rect 13267 6109 13317 7509
-rect 11513 4022 11563 5022
-rect 12363 4022 12413 5022
-rect 12665 4022 12715 5022
-rect 13515 4022 13565 5022
-rect 2905 2778 2955 3778
-rect 3755 2778 3805 3778
-rect 4057 2778 4107 3778
-rect 5707 2778 5757 3778
-rect 6009 2778 6059 3778
-rect 7659 2778 7709 3778
-rect 7961 2778 8011 3778
-rect 9611 2778 9661 3778
-rect 9913 2778 9963 3778
-rect 11563 2778 11613 3778
-rect 11865 2778 11915 3778
-rect 13515 2778 13565 3778
-rect 2905 1534 2955 2534
-rect 3755 1534 3805 2534
-rect 4057 1534 4107 2534
-rect 5707 1534 5757 2534
-rect 6009 1534 6059 2534
-rect 7659 1534 7709 2534
-rect 7961 1534 8011 2534
-rect 9611 1534 9661 2534
-rect 9913 1534 9963 2534
-rect 11563 1534 11613 2534
-rect 11865 1534 11915 2534
-rect 13515 1534 13565 2534
-rect 2905 290 2955 1290
-rect 3755 290 3805 1290
-rect 4057 290 4107 1290
-rect 5707 290 5757 1290
-rect 6009 290 6059 1290
-rect 7659 290 7709 1290
-rect 7961 290 8011 1290
-rect 9611 290 9661 1290
-rect 9913 290 9963 1290
-rect 11563 290 11613 1290
-rect 11865 290 11915 1290
-rect 13515 290 13565 1290
+timestamp 1617120349
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -181
@@ -398,17 +77,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_2 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_2 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
-use sky130_fd_io__overlay_vssio_hvc  sky130_fd_io__overlay_vssio_hvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssio_hvc  sky130_fd_io__overlay_vssio_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
 << labels >>
-flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSIO
-port 12 nsew ground bidirectional
 flabel metal4 s 127 37914 127 37914 3 FreeSans 520 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal4 s 14873 37914 14873 37914 3 FreeSans 520 180 0 0 VSSIO
@@ -416,7 +93,7 @@
 flabel metal2 s 10078 -407 14858 -259 2 FreeSans 2000 90 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal2 s 99 -407 4879 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal3 s 7578 -407 9778 -89 0 FreeSans 2000 0 0 0 DRN_HVC
 port 2 nsew power bidirectional
 flabel metal3 s 99 -407 4879 -16 0 FreeSans 2000 0 0 0 VSSIO
@@ -424,7 +101,7 @@
 flabel metal3 s 10078 -407 14858 -16 0 FreeSans 2000 0 0 0 VSSIO
 port 12 nsew ground bidirectional
 flabel metal3 s 5179 -407 7379 -259 2 FreeSans 2000 90 0 0 SRC_BDY_HVC
-port 4 nsew ground bidirectional
+port 3 nsew ground bidirectional
 flabel metal5 s 14746 9140 15000 10940 3 FreeSans 520 180 0 0 VSSA
 port 5 nsew ground bidirectional
 flabel metal5 s 14807 2600 15000 3250 3 FreeSans 520 180 0 0 VDDA
@@ -541,6 +218,8 @@
 port 13 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 7329 27458 7594 28780 0 FreeSans 2000 0 0 0 VSSIO_PAD
+port 4 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_lvc_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_lvc_pad.mag
index fb1fc2a..f59e2ed 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_lvc_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssio_lvc_pad.mag
@@ -1,672 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1602597384
-<< error_s >>
-rect 1668 39147 1734 39163
-rect 2691 37377 2692 37401
-rect 2772 37377 2773 37401
-rect 3245 37377 3246 37401
-rect 3326 37377 3327 37401
-rect 3799 37377 3800 37401
-rect 3880 37377 3881 37401
-rect 4353 37377 4354 37401
-rect 4434 37377 4435 37401
-rect 4907 37377 4908 37401
-rect 4988 37377 4989 37401
-rect 5461 37377 5462 37401
-rect 5542 37377 5543 37401
-rect 6015 37377 6016 37401
-rect 6096 37377 6097 37401
-rect 6569 37377 6570 37401
-rect 6650 37377 6651 37401
-rect 7123 37377 7124 37401
-rect 7204 37377 7205 37401
-rect 7677 37377 7678 37401
-rect 7758 37377 7759 37401
-rect 8231 37377 8232 37401
-rect 8312 37377 8313 37401
-rect 8785 37377 8786 37401
-rect 8866 37377 8867 37401
-rect 9339 37377 9340 37401
-rect 9420 37377 9421 37401
-rect 9893 37377 9894 37401
-rect 9974 37377 9975 37401
-rect 10447 37377 10448 37401
-rect 10528 37377 10529 37401
-rect 11001 37377 11002 37401
-rect 11082 37377 11083 37401
-rect 11555 37377 11556 37401
-rect 11636 37377 11637 37401
-rect 12109 37377 12110 37401
-rect 12190 37377 12191 37401
-rect 12663 37377 12664 37401
-rect 12744 37377 12745 37401
-rect 13217 37377 13218 37401
-rect 13298 37377 13299 37401
-rect 2715 37353 2749 37365
-rect 3269 37353 3303 37365
-rect 3823 37353 3857 37365
-rect 4377 37353 4411 37365
-rect 4931 37353 4965 37365
-rect 5485 37353 5519 37365
-rect 6039 37353 6073 37365
-rect 6593 37353 6627 37365
-rect 7147 37353 7181 37365
-rect 7701 37353 7735 37365
-rect 8255 37353 8289 37365
-rect 8809 37353 8843 37365
-rect 9363 37353 9397 37365
-rect 9917 37353 9951 37365
-rect 10471 37353 10505 37365
-rect 11025 37353 11059 37365
-rect 11579 37353 11613 37365
-rect 12133 37353 12167 37365
-rect 12687 37353 12721 37365
-rect 13241 37353 13275 37365
-rect 3245 35377 3246 35401
-rect 3326 35377 3327 35401
-rect 3799 35377 3800 35401
-rect 3880 35377 3881 35401
-rect 4353 35377 4354 35401
-rect 4434 35377 4435 35401
-rect 4907 35377 4908 35401
-rect 4988 35377 4989 35401
-rect 5461 35377 5462 35401
-rect 5542 35377 5543 35401
-rect 6015 35377 6016 35401
-rect 6096 35377 6097 35401
-rect 6569 35377 6570 35401
-rect 6650 35377 6651 35401
-rect 7123 35377 7124 35401
-rect 7204 35377 7205 35401
-rect 7677 35377 7678 35401
-rect 7758 35377 7759 35401
-rect 8231 35377 8232 35401
-rect 8312 35377 8313 35401
-rect 8785 35377 8786 35401
-rect 8866 35377 8867 35401
-rect 9339 35377 9340 35401
-rect 9420 35377 9421 35401
-rect 9893 35377 9894 35401
-rect 9974 35377 9975 35401
-rect 10447 35377 10448 35401
-rect 10528 35377 10529 35401
-rect 11001 35377 11002 35401
-rect 11082 35377 11083 35401
-rect 11555 35377 11556 35401
-rect 11636 35377 11637 35401
-rect 12109 35377 12110 35401
-rect 12190 35377 12191 35401
-rect 12663 35377 12664 35401
-rect 12744 35377 12745 35401
-rect 13217 35377 13218 35401
-rect 13298 35377 13299 35401
-rect 3269 35353 3303 35365
-rect 3823 35353 3857 35365
-rect 4377 35353 4411 35365
-rect 4931 35353 4965 35365
-rect 5485 35353 5519 35365
-rect 6039 35353 6073 35365
-rect 6593 35353 6627 35365
-rect 7147 35353 7181 35365
-rect 7701 35353 7735 35365
-rect 8255 35353 8289 35365
-rect 8809 35353 8843 35365
-rect 9363 35353 9397 35365
-rect 9917 35353 9951 35365
-rect 10471 35353 10505 35365
-rect 11025 35353 11059 35365
-rect 11579 35353 11613 35365
-rect 12133 35353 12167 35365
-rect 12687 35353 12721 35365
-rect 13241 35353 13275 35365
-rect 3185 33377 3186 33401
-rect 3266 33377 3267 33401
-rect 4021 33377 4022 33401
-rect 4102 33377 4103 33401
-rect 4857 33377 4858 33401
-rect 4938 33377 4939 33401
-rect 5693 33377 5694 33401
-rect 5774 33377 5775 33401
-rect 6529 33377 6530 33401
-rect 6610 33377 6611 33401
-rect 7365 33377 7366 33401
-rect 7446 33377 7447 33401
-rect 8201 33377 8202 33401
-rect 8282 33377 8283 33401
-rect 9037 33377 9038 33401
-rect 9118 33377 9119 33401
-rect 9873 33377 9874 33401
-rect 9954 33377 9955 33401
-rect 10709 33377 10710 33401
-rect 10790 33377 10791 33401
-rect 11545 33377 11546 33401
-rect 11626 33377 11627 33401
-rect 12381 33377 12382 33401
-rect 12462 33377 12463 33401
-rect 13217 33377 13218 33401
-rect 13298 33377 13299 33401
-rect 3209 33353 3243 33365
-rect 4045 33353 4079 33365
-rect 4881 33353 4915 33365
-rect 5717 33353 5751 33365
-rect 6553 33353 6587 33365
-rect 7389 33353 7423 33365
-rect 8225 33353 8259 33365
-rect 9061 33353 9095 33365
-rect 9897 33353 9931 33365
-rect 10733 33353 10767 33365
-rect 11569 33353 11603 33365
-rect 12405 33353 12439 33365
-rect 13241 33353 13275 33365
-rect 3185 31377 3186 31401
-rect 3266 31377 3267 31401
-rect 4021 31377 4022 31401
-rect 4102 31377 4103 31401
-rect 4857 31377 4858 31401
-rect 4938 31377 4939 31401
-rect 5693 31377 5694 31401
-rect 5774 31377 5775 31401
-rect 6529 31377 6530 31401
-rect 6610 31377 6611 31401
-rect 7365 31377 7366 31401
-rect 7446 31377 7447 31401
-rect 8201 31377 8202 31401
-rect 8282 31377 8283 31401
-rect 9037 31377 9038 31401
-rect 9118 31377 9119 31401
-rect 9873 31377 9874 31401
-rect 9954 31377 9955 31401
-rect 10709 31377 10710 31401
-rect 10790 31377 10791 31401
-rect 11545 31377 11546 31401
-rect 11626 31377 11627 31401
-rect 12381 31377 12382 31401
-rect 12462 31377 12463 31401
-rect 13217 31377 13218 31401
-rect 13298 31377 13299 31401
-rect 3209 31353 3243 31365
-rect 4045 31353 4079 31365
-rect 4881 31353 4915 31365
-rect 5717 31353 5751 31365
-rect 6553 31353 6587 31365
-rect 7389 31353 7423 31365
-rect 8225 31353 8259 31365
-rect 9061 31353 9095 31365
-rect 9897 31353 9931 31365
-rect 10733 31353 10767 31365
-rect 11569 31353 11603 31365
-rect 12405 31353 12439 31365
-rect 13241 31353 13275 31365
-rect 3185 29377 3186 29401
-rect 3266 29377 3267 29401
-rect 4021 29377 4022 29401
-rect 4102 29377 4103 29401
-rect 4857 29377 4858 29401
-rect 4938 29377 4939 29401
-rect 5693 29377 5694 29401
-rect 5774 29377 5775 29401
-rect 6529 29377 6530 29401
-rect 6610 29377 6611 29401
-rect 7365 29377 7366 29401
-rect 7446 29377 7447 29401
-rect 8201 29377 8202 29401
-rect 8282 29377 8283 29401
-rect 9037 29377 9038 29401
-rect 9118 29377 9119 29401
-rect 9873 29377 9874 29401
-rect 9954 29377 9955 29401
-rect 10709 29377 10710 29401
-rect 10790 29377 10791 29401
-rect 11545 29377 11546 29401
-rect 11626 29377 11627 29401
-rect 12381 29377 12382 29401
-rect 12462 29377 12463 29401
-rect 13217 29377 13218 29401
-rect 13298 29377 13299 29401
-rect 3209 29353 3243 29365
-rect 4045 29353 4079 29365
-rect 4881 29353 4915 29365
-rect 5717 29353 5751 29365
-rect 6553 29353 6587 29365
-rect 7389 29353 7423 29365
-rect 8225 29353 8259 29365
-rect 9061 29353 9095 29365
-rect 9897 29353 9931 29365
-rect 10733 29353 10767 29365
-rect 11569 29353 11603 29365
-rect 12405 29353 12439 29365
-rect 13241 29353 13275 29365
-rect 4857 27377 4858 27401
-rect 4938 27377 4939 27401
-rect 5693 27377 5694 27401
-rect 5774 27377 5775 27401
-rect 6529 27377 6530 27401
-rect 6610 27377 6611 27401
-rect 7365 27377 7366 27401
-rect 7446 27377 7447 27401
-rect 8201 27377 8202 27401
-rect 8282 27377 8283 27401
-rect 9037 27377 9038 27401
-rect 9118 27377 9119 27401
-rect 9873 27377 9874 27401
-rect 9954 27377 9955 27401
-rect 10709 27377 10710 27401
-rect 10790 27377 10791 27401
-rect 11545 27377 11546 27401
-rect 11626 27377 11627 27401
-rect 12381 27377 12382 27401
-rect 12462 27377 12463 27401
-rect 13217 27377 13218 27401
-rect 13298 27377 13299 27401
-rect 4881 27353 4915 27365
-rect 5717 27353 5751 27365
-rect 6553 27353 6587 27365
-rect 7389 27353 7423 27365
-rect 8225 27353 8259 27365
-rect 9061 27353 9095 27365
-rect 9897 27353 9931 27365
-rect 10733 27353 10767 27365
-rect 11569 27353 11603 27365
-rect 12405 27353 12439 27365
-rect 13241 27353 13275 27365
-rect 4857 25756 4858 25780
-rect 4938 25756 4939 25780
-rect 5693 25756 5694 25780
-rect 5774 25756 5775 25780
-rect 6529 25756 6530 25780
-rect 6610 25756 6611 25780
-rect 7365 25756 7366 25780
-rect 7446 25756 7447 25780
-rect 8201 25756 8202 25780
-rect 8282 25756 8283 25780
-rect 9037 25756 9038 25780
-rect 9118 25756 9119 25780
-rect 9873 25756 9874 25780
-rect 9954 25756 9955 25780
-rect 10709 25756 10710 25780
-rect 10790 25756 10791 25780
-rect 11545 25756 11546 25780
-rect 11626 25756 11627 25780
-rect 12381 25756 12382 25780
-rect 12462 25756 12463 25780
-rect 13217 25756 13218 25780
-rect 13298 25756 13299 25780
-rect 4881 25732 4915 25744
-rect 5717 25732 5751 25744
-rect 6553 25732 6587 25744
-rect 7389 25732 7423 25744
-rect 8225 25732 8259 25744
-rect 9061 25732 9095 25744
-rect 9897 25732 9931 25744
-rect 10733 25732 10767 25744
-rect 11569 25732 11603 25744
-rect 12405 25732 12439 25744
-rect 13241 25732 13275 25744
-rect 2691 13377 2692 13401
-rect 2772 13377 2773 13401
-rect 3245 13377 3246 13401
-rect 3326 13377 3327 13401
-rect 3799 13377 3800 13401
-rect 3880 13377 3881 13401
-rect 4353 13377 4354 13401
-rect 4434 13377 4435 13401
-rect 4907 13377 4908 13401
-rect 4988 13377 4989 13401
-rect 5461 13377 5462 13401
-rect 5542 13377 5543 13401
-rect 6015 13377 6016 13401
-rect 6096 13377 6097 13401
-rect 6569 13377 6570 13401
-rect 6650 13377 6651 13401
-rect 7123 13377 7124 13401
-rect 7204 13377 7205 13401
-rect 7677 13377 7678 13401
-rect 7758 13377 7759 13401
-rect 8231 13377 8232 13401
-rect 8312 13377 8313 13401
-rect 8785 13377 8786 13401
-rect 8866 13377 8867 13401
-rect 9339 13377 9340 13401
-rect 9420 13377 9421 13401
-rect 9893 13377 9894 13401
-rect 9974 13377 9975 13401
-rect 10447 13377 10448 13401
-rect 10528 13377 10529 13401
-rect 11001 13377 11002 13401
-rect 11082 13377 11083 13401
-rect 11555 13377 11556 13401
-rect 11636 13377 11637 13401
-rect 12109 13377 12110 13401
-rect 12190 13377 12191 13401
-rect 12663 13377 12664 13401
-rect 12744 13377 12745 13401
-rect 13217 13377 13218 13401
-rect 13298 13377 13299 13401
-rect 2715 13353 2749 13365
-rect 3269 13353 3303 13365
-rect 3823 13353 3857 13365
-rect 4377 13353 4411 13365
-rect 4931 13353 4965 13365
-rect 5485 13353 5519 13365
-rect 6039 13353 6073 13365
-rect 6593 13353 6627 13365
-rect 7147 13353 7181 13365
-rect 7701 13353 7735 13365
-rect 8255 13353 8289 13365
-rect 8809 13353 8843 13365
-rect 9363 13353 9397 13365
-rect 9917 13353 9951 13365
-rect 10471 13353 10505 13365
-rect 11025 13353 11059 13365
-rect 11579 13353 11613 13365
-rect 12133 13353 12167 13365
-rect 12687 13353 12721 13365
-rect 13241 13353 13275 13365
-rect 2691 11377 2692 11401
-rect 2772 11377 2773 11401
-rect 3245 11377 3246 11401
-rect 3326 11377 3327 11401
-rect 3799 11377 3800 11401
-rect 3880 11377 3881 11401
-rect 4353 11377 4354 11401
-rect 4434 11377 4435 11401
-rect 4907 11377 4908 11401
-rect 4988 11377 4989 11401
-rect 5461 11377 5462 11401
-rect 5542 11377 5543 11401
-rect 6015 11377 6016 11401
-rect 6096 11377 6097 11401
-rect 6569 11377 6570 11401
-rect 6650 11377 6651 11401
-rect 7123 11377 7124 11401
-rect 7204 11377 7205 11401
-rect 7677 11377 7678 11401
-rect 7758 11377 7759 11401
-rect 8231 11377 8232 11401
-rect 8312 11377 8313 11401
-rect 8785 11377 8786 11401
-rect 8866 11377 8867 11401
-rect 9339 11377 9340 11401
-rect 9420 11377 9421 11401
-rect 9893 11377 9894 11401
-rect 9974 11377 9975 11401
-rect 10447 11377 10448 11401
-rect 10528 11377 10529 11401
-rect 11001 11377 11002 11401
-rect 11082 11377 11083 11401
-rect 11555 11377 11556 11401
-rect 11636 11377 11637 11401
-rect 12109 11377 12110 11401
-rect 12190 11377 12191 11401
-rect 12663 11377 12664 11401
-rect 12744 11377 12745 11401
-rect 13217 11377 13218 11401
-rect 13298 11377 13299 11401
-rect 2715 11353 2749 11365
-rect 3269 11353 3303 11365
-rect 3823 11353 3857 11365
-rect 4377 11353 4411 11365
-rect 4931 11353 4965 11365
-rect 5485 11353 5519 11365
-rect 6039 11353 6073 11365
-rect 6593 11353 6627 11365
-rect 7147 11353 7181 11365
-rect 7701 11353 7735 11365
-rect 8255 11353 8289 11365
-rect 8809 11353 8843 11365
-rect 9363 11353 9397 11365
-rect 9917 11353 9951 11365
-rect 10471 11353 10505 11365
-rect 11025 11353 11059 11365
-rect 11579 11353 11613 11365
-rect 12133 11353 12167 11365
-rect 12687 11353 12721 11365
-rect 13241 11353 13275 11365
-rect 2691 9377 2692 9401
-rect 2772 9377 2773 9401
-rect 3245 9377 3246 9401
-rect 3326 9377 3327 9401
-rect 3799 9377 3800 9401
-rect 3880 9377 3881 9401
-rect 4353 9377 4354 9401
-rect 4434 9377 4435 9401
-rect 4907 9377 4908 9401
-rect 4988 9377 4989 9401
-rect 5461 9377 5462 9401
-rect 5542 9377 5543 9401
-rect 6015 9377 6016 9401
-rect 6096 9377 6097 9401
-rect 6569 9377 6570 9401
-rect 6650 9377 6651 9401
-rect 7123 9377 7124 9401
-rect 7204 9377 7205 9401
-rect 7677 9377 7678 9401
-rect 7758 9377 7759 9401
-rect 8231 9377 8232 9401
-rect 8312 9377 8313 9401
-rect 8785 9377 8786 9401
-rect 8866 9377 8867 9401
-rect 9339 9377 9340 9401
-rect 9420 9377 9421 9401
-rect 9893 9377 9894 9401
-rect 9974 9377 9975 9401
-rect 10447 9377 10448 9401
-rect 10528 9377 10529 9401
-rect 11001 9377 11002 9401
-rect 11082 9377 11083 9401
-rect 11555 9377 11556 9401
-rect 11636 9377 11637 9401
-rect 12109 9377 12110 9401
-rect 12190 9377 12191 9401
-rect 12663 9377 12664 9401
-rect 12744 9377 12745 9401
-rect 13217 9377 13218 9401
-rect 13298 9377 13299 9401
-rect 2715 9353 2749 9365
-rect 3269 9353 3303 9365
-rect 3823 9353 3857 9365
-rect 4377 9353 4411 9365
-rect 4931 9353 4965 9365
-rect 5485 9353 5519 9365
-rect 6039 9353 6073 9365
-rect 6593 9353 6627 9365
-rect 7147 9353 7181 9365
-rect 7701 9353 7735 9365
-rect 8255 9353 8289 9365
-rect 8809 9353 8843 9365
-rect 9363 9353 9397 9365
-rect 9917 9353 9951 9365
-rect 10471 9353 10505 9365
-rect 11025 9353 11059 9365
-rect 11579 9353 11613 9365
-rect 12133 9353 12167 9365
-rect 12687 9353 12721 9365
-rect 13241 9353 13275 9365
-rect 2691 7377 2692 7401
-rect 2772 7377 2773 7401
-rect 3245 7377 3246 7401
-rect 3326 7377 3327 7401
-rect 3799 7377 3800 7401
-rect 3880 7377 3881 7401
-rect 4353 7377 4354 7401
-rect 4434 7377 4435 7401
-rect 4907 7377 4908 7401
-rect 4988 7377 4989 7401
-rect 5461 7377 5462 7401
-rect 5542 7377 5543 7401
-rect 6015 7377 6016 7401
-rect 6096 7377 6097 7401
-rect 6569 7377 6570 7401
-rect 6650 7377 6651 7401
-rect 7123 7377 7124 7401
-rect 7204 7377 7205 7401
-rect 7677 7377 7678 7401
-rect 7758 7377 7759 7401
-rect 8231 7377 8232 7401
-rect 8312 7377 8313 7401
-rect 8785 7377 8786 7401
-rect 8866 7377 8867 7401
-rect 9339 7377 9340 7401
-rect 9420 7377 9421 7401
-rect 9893 7377 9894 7401
-rect 9974 7377 9975 7401
-rect 10447 7377 10448 7401
-rect 10528 7377 10529 7401
-rect 11001 7377 11002 7401
-rect 11082 7377 11083 7401
-rect 11555 7377 11556 7401
-rect 11636 7377 11637 7401
-rect 12109 7377 12110 7401
-rect 12190 7377 12191 7401
-rect 12663 7377 12664 7401
-rect 12744 7377 12745 7401
-rect 13217 7377 13218 7401
-rect 13298 7377 13299 7401
-rect 2715 7353 2749 7365
-rect 3269 7353 3303 7365
-rect 3823 7353 3857 7365
-rect 4377 7353 4411 7365
-rect 4931 7353 4965 7365
-rect 5485 7353 5519 7365
-rect 6039 7353 6073 7365
-rect 6593 7353 6627 7365
-rect 7147 7353 7181 7365
-rect 7701 7353 7735 7365
-rect 8255 7353 8289 7365
-rect 8809 7353 8843 7365
-rect 9363 7353 9397 7365
-rect 9917 7353 9951 7365
-rect 10471 7353 10505 7365
-rect 11025 7353 11059 7365
-rect 11579 7353 11613 7365
-rect 12133 7353 12167 7365
-rect 12687 7353 12721 7365
-rect 13241 7353 13275 7365
-rect 2691 5377 2692 5401
-rect 2772 5377 2773 5401
-rect 3245 5377 3246 5401
-rect 3326 5377 3327 5401
-rect 3799 5377 3800 5401
-rect 3880 5377 3881 5401
-rect 4353 5377 4354 5401
-rect 4434 5377 4435 5401
-rect 4907 5377 4908 5401
-rect 4988 5377 4989 5401
-rect 5461 5377 5462 5401
-rect 5542 5377 5543 5401
-rect 6015 5377 6016 5401
-rect 6096 5377 6097 5401
-rect 6569 5377 6570 5401
-rect 6650 5377 6651 5401
-rect 7123 5377 7124 5401
-rect 7204 5377 7205 5401
-rect 7677 5377 7678 5401
-rect 7758 5377 7759 5401
-rect 8231 5377 8232 5401
-rect 8312 5377 8313 5401
-rect 8785 5377 8786 5401
-rect 8866 5377 8867 5401
-rect 9339 5377 9340 5401
-rect 9420 5377 9421 5401
-rect 9893 5377 9894 5401
-rect 9974 5377 9975 5401
-rect 10447 5377 10448 5401
-rect 10528 5377 10529 5401
-rect 11001 5377 11002 5401
-rect 11082 5377 11083 5401
-rect 11555 5377 11556 5401
-rect 11636 5377 11637 5401
-rect 12109 5377 12110 5401
-rect 12190 5377 12191 5401
-rect 12663 5377 12664 5401
-rect 12744 5377 12745 5401
-rect 13217 5377 13218 5401
-rect 13298 5377 13299 5401
-rect 2715 5353 2749 5365
-rect 3269 5353 3303 5365
-rect 3823 5353 3857 5365
-rect 4377 5353 4411 5365
-rect 4931 5353 4965 5365
-rect 5485 5353 5519 5365
-rect 6039 5353 6073 5365
-rect 6593 5353 6627 5365
-rect 7147 5353 7181 5365
-rect 7701 5353 7735 5365
-rect 8255 5353 8289 5365
-rect 8809 5353 8843 5365
-rect 9363 5353 9397 5365
-rect 9917 5353 9951 5365
-rect 10471 5353 10505 5365
-rect 11025 5353 11059 5365
-rect 11579 5353 11613 5365
-rect 12133 5353 12167 5365
-rect 12687 5353 12721 5365
-rect 13241 5353 13275 5365
-rect 48 3833 114 3849
-rect 7279 3663 7365 3699
-rect 3525 469 3825 3469
-rect 3968 3414 4206 3438
-rect 3968 548 3969 3414
-rect 3944 525 3969 548
-rect 4206 525 4230 3414
-rect 3944 524 4230 525
-rect 3968 500 3992 524
-rect 4349 469 4649 3469
-rect 4792 3414 5030 3438
-rect 4792 548 4793 3414
-rect 4768 525 4793 548
-rect 5030 525 5054 3414
-rect 4768 524 5054 525
-rect 4792 500 4816 524
-rect 5173 469 5473 3469
-rect 5616 3414 5854 3438
-rect 5616 548 5617 3414
-rect 5592 525 5617 548
-rect 5854 525 5878 3414
-rect 5592 524 5878 525
-rect 5616 500 5640 524
-rect 5997 469 6297 3469
-rect 6440 3414 6678 3438
-rect 6440 548 6441 3414
-rect 6416 525 6441 548
-rect 6678 525 6702 3414
-rect 6416 524 6702 525
-rect 6440 500 6464 524
-rect 6821 469 7121 3469
-rect 7279 275 7315 3663
-rect 7329 275 7365 3663
-rect 7523 469 7823 3469
-rect 7966 3414 8204 3438
-rect 7966 548 7967 3414
-rect 7942 525 7967 548
-rect 8204 525 8228 3414
-rect 7942 524 8228 525
-rect 7966 500 7990 524
-rect 8347 469 8647 3469
-rect 8790 3414 9028 3438
-rect 8790 548 8791 3414
-rect 8766 525 8791 548
-rect 9028 525 9052 3414
-rect 8766 524 9052 525
-rect 8790 500 8814 524
-rect 9171 469 9471 3469
-rect 9614 3414 9852 3438
-rect 9614 548 9615 3414
-rect 9590 525 9615 548
-rect 9852 525 9876 3414
-rect 9590 524 9876 525
-rect 9614 500 9638 524
-rect 9995 469 10295 3469
-rect 10438 3414 10676 3438
-rect 10438 548 10439 3414
-rect 10414 525 10439 548
-rect 10676 525 10700 3414
-rect 10414 524 10700 525
-rect 10438 500 10462 524
-rect 10819 469 11119 3469
-rect 7279 239 7365 275
+timestamp 1617120349
 << metal1 >>
 rect 6867 95 7067 195
 rect 5242 -7 5540 74
@@ -743,17 +78,15 @@
 rect 14746 1390 15000 2280
 rect 0 20 254 1070
 rect 14746 20 15000 1070
-use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_2 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__top_ground_lvc_wpad  sky130_fd_io__top_ground_lvc_wpad_2 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 0 15000 39600
-use sky130_fd_io__overlay_vssio_lvc  sky130_fd_io__overlay_vssio_lvc_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_io/mag
-timestamp 1602555073
+use sky130_fd_io__overlay_vssio_lvc  sky130_fd_io__overlay_vssio_lvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
+timestamp 1617033437
 transform 1 0 0 0 1 -7
 box 0 7 15000 39600
 << labels >>
-flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSIO
-port 16 nsew ground bidirectional
 flabel metal2 s 100 -7 4099 287 0 FreeSans 2000 0 0 0 SRC_BDY_LVC1
 port 4 nsew ground bidirectional
 flabel metal2 s 10953 -7 14940 715 0 FreeSans 2000 0 0 0 SRC_BDY_LVC2
@@ -884,6 +217,8 @@
 port 17 nsew ground bidirectional
 flabel metal4 s 0 9266 254 9862 3 FreeSans 520 0 0 0 AMUXBUS_B
 port 1 nsew signal bidirectional
+flabel metal5 s 6339 32546 10468 33417 0 FreeSans 2000 0 0 0 VSSIO_PAD
+port 7 nsew ground bidirectional
 << properties >>
 string LEFclass PAD POWER
 string FIXED_BBOX 0 0 15000 39593