blob: 85d4bb4e0bf02e1db9d90459123032024df5e19a [file] [log] [blame]
module \$_MUX_ (
output Y,
input A,
input B,
input S
);
sky130_fd_sc_ms__mux2_1 _TECHMAP_MUX (
.X(Y),
.A0(A),
.A1(B),
.S(S)
);
endmodule