Created the wrong filename for the GDS of the custom sky130_ef_io in the
last commit.  Also for this commit:  The equivalent VSSA pad to the one
corrected in the last commit also needed to be modified.
diff --git a/sky130/Makefile.in b/sky130/Makefile.in
index d0dd1dc..b4f0bd6 100644
--- a/sky130/Makefile.in
+++ b/sky130/Makefile.in
@@ -528,6 +528,12 @@
 		-library digital sky130_fd_sc_ls \
 		-library digital sky130_fd_sc_ms \
 		-library digital sky130_fd_sc_lp |& tee -a ${SKY130A}_install.log
+	# Add a maskhint set for the tap cell .ag view to prevent problems writing
+	# when writing NSDM and PSDM to GDS during hierarchical adjustments.
+	${ADDPROP} ${STAGING_PATH}/${SKY130A} sky130_fd_sc_hd sky130_fd_sc_hd__tapvpwrvgnd_1 \
+		"MASKHINTS_PSDM 0 38 92 196" -mag
+	${ADDPROP} ${STAGING_PATH}/${SKY130A} sky130_fd_sc_hd sky130_fd_sc_hd__tapvpwrvgnd_1 \
+		"MASKHINTS_NSDM 0 280 92 506" -mag
 	# Remove the base verilog files which have already been included into
 	# the libraries
 	${RM} ${STAGING_PATH}/${SKY130A}/libs.ref/sky130_fd_sc_hd/verilog/*.*.v
diff --git a/sky130/custom/sky130_fd_io/gds/sky130_ef_io b/sky130/custom/sky130_fd_io/gds/sky130_ef_io
deleted file mode 100644
index a66ded2..0000000
--- a/sky130/custom/sky130_fd_io/gds/sky130_ef_io
+++ /dev/null
Binary files differ
diff --git a/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds b/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
index e07f37e..7bdde6a 100644
--- a/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
+++ b/sky130/custom/sky130_fd_io/gds/sky130_ef_io.gds
Binary files differ
diff --git a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
index 05270eb..f30ddfc 100644
--- a/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
+++ b/sky130/custom/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag
@@ -1,7 +1,9 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608397426
+timestamp 1610124989
+<< checkpaint >>
+rect -1260 -1667 16260 40853
 << metal2 >>
 rect 99 -407 4879 -259
 rect 5179 -407 5579 -184
@@ -78,14 +80,14 @@
 rect 14746 20 15000 1070
 use sky130_ef_io__hvc_vdda_overlay  sky130_ef_io__hvc_vdda_overlay_0
 timestamp 1607721650
-transform 1 0 0 0 1 -1
+transform -1 0 14903 0 1 -1
 box 5196 2610 9707 7592
 use sky130_fd_io__overlay_vssa_hvc  sky130_fd_io__overlay_vssa_hvc_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1610123829
 transform 1 0 0 0 1 -407
 box 0 407 15000 40000
 use sky130_fd_io__top_ground_hvc_wpad  sky130_fd_io__top_ground_hvc_wpad_0 $PDKPATH/libs.ref/sky130_fd_io/mag
-timestamp 1608233597
+timestamp 1610123829
 transform 1 0 0 0 1 -407
 box 0 0 15000 40000
 << labels >>