blob: 16cb574ef171a37f3ead6f085aabc61b2ba1a270 [file] [log] [blame]
/*
* ******************************************************************************
* * *
* * Copyright (C) 2004-2011, Nangate Inc. *
* * All rights reserved. *
* * *
* * Nangate and the Nangate logo are trademarks of Nangate Inc. *
* * *
* * All trademarks, logos, software marks, and trade names (collectively the *
* * "Marks") in this program are proprietary to Nangate or other respective *
* * owners that have granted Nangate the right and license to use such Marks. *
* * You are not permitted to use the Marks without the prior written consent *
* * of Nangate or such third party that may own the Marks. *
* * *
* * This file has been provided pursuant to a License Agreement containing *
* * restrictions on its use. This file contains valuable trade secrets and *
* * proprietary information of Nangate Inc., and is protected by U.S. and *
* * international laws and/or treaties. *
* * *
* * The copyright notice(s) in this file does not indicate actual or intended *
* * publication of this file. *
* * *
* * NGLibraryCharacterizer, v2011.05-QR02-2011-05-18_32 - build 1107011217 *
* * *
* ******************************************************************************
*
* Spice engine : Nanspice v2011.05-QR02-2011-05-18_32-1107011217
* Liberty export type : conditional
*
* Characterization Corner : worst_low
* Process : SlowSlow
* Temperature : -40C
* Voltage : 0.95V
*
****************************************************************************/
library (LowPowerOpenCellLibrary) {
/* Documentation Attributes */
date : "Wed 17 Aug 2011, 19:29:16";
revision : "revision 1.0";
comment : "Copyright (c) 2004-2010 Nangate Inc. All Rights Reserved.";
/* General Attributes */
technology (cmos);
delay_model : table_lookup;
in_place_swap_mode : match_footprint;
library_features (report_delay_calculation,report_power_calculation);
/* Units Attributes */
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit (1,ff);
/* Operation Conditions */
nom_process : 1.00;
nom_temperature : -40.00;
nom_voltage : 0.95;
voltage_map (VDD,0.95);
voltage_map (VSS,0.00);
voltage_map (VDDL,0.80);
voltage_map (VDDBAK,0.95);
voltage_map (VVDD,0.85);
define(process_corner, operating_conditions, string);
operating_conditions (worst_low) {
process_corner : "SlowSlow";
process : 1.00;
voltage : 0.95;
temperature : -40.00;
tree_type : balanced_tree;
}
default_operating_conditions : worst_low;
/* Threshold Definitions */
slew_lower_threshold_pct_fall : 30.00 ;
slew_lower_threshold_pct_rise : 30.00 ;
slew_upper_threshold_pct_fall : 70.00 ;
slew_upper_threshold_pct_rise : 70.00 ;
slew_derate_from_library : 1.00 ;
input_threshold_pct_fall : 50.00 ;
input_threshold_pct_rise : 50.00 ;
output_threshold_pct_fall : 50.00 ;
output_threshold_pct_rise : 50.00 ;
default_leakage_power_density : 0.00 ;
default_cell_leakage_power : 0.00 ;
/* Default Pin Attributes */
default_inout_pin_cap : 1.000000;
default_input_pin_cap : 1.000000;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.179199;
define(drive_strength, cell, float);
define(ng_build_equation, cell, string);
/* Wire load tables */
wire_load("1K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3207 );
fanout_length( 2, 2.9813 );
fanout_length( 3, 5.1135 );
fanout_length( 4, 7.6639 );
fanout_length( 5, 10.0334 );
fanout_length( 6, 12.2296 );
fanout_length( 8, 19.3185 );
}
wire_load("1K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3216 );
fanout_length( 2, 2.8855 );
fanout_length( 3, 4.6810 );
fanout_length( 4, 6.7976 );
fanout_length( 5, 9.4037 );
fanout_length( 6, 13.0170 );
fanout_length( 8, 24.1720 );
}
wire_load("1K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 6.283688;
fanout_length( 1, 1.3446 );
fanout_length( 2, 2.8263 );
fanout_length( 3, 4.7581 );
fanout_length( 4, 7.4080 );
fanout_length( 5, 10.9381 );
fanout_length( 6, 15.7314 );
fanout_length( 8, 29.7891 );
}
wire_load("3K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.8234 );
fanout_length( 2, 4.5256 );
fanout_length( 3, 7.5342 );
fanout_length( 4, 10.6237 );
fanout_length( 5, 13.5401 );
fanout_length( 6, 16.3750 );
fanout_length( 7, 18.6686 );
fanout_length( 8, 19.4348 );
fanout_length( 10, 20.9672 );
}
wire_load("3K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6615 );
fanout_length( 2, 3.9827 );
fanout_length( 3, 6.6386 );
fanout_length( 4, 9.6287 );
fanout_length( 5, 12.8485 );
fanout_length( 6, 16.4145 );
fanout_length( 7, 20.0747 );
fanout_length( 8, 22.6325 );
fanout_length( 10, 21.7173 );
}
wire_load("3K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.5771 );
fanout_length( 2, 3.9330 );
fanout_length( 3, 6.6217 );
fanout_length( 4, 9.7638 );
fanout_length( 5, 13.5526 );
fanout_length( 6, 18.1322 );
fanout_length( 7, 22.5871 );
fanout_length( 8, 25.1074 );
fanout_length( 10, 30.1480 );
}
wire_load("5K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 2.0449 );
fanout_length( 2, 4.4094 );
fanout_length( 3, 7.2134 );
fanout_length( 4, 10.4927 );
fanout_length( 5, 13.9420 );
fanout_length( 6, 18.0039 );
fanout_length( 7, 23.9278 );
fanout_length( 8, 30.8475 );
fanout_length( 9, 34.9441 );
fanout_length( 11, 43.1373 );
}
wire_load("5K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6706 );
fanout_length( 2, 3.7951 );
fanout_length( 3, 6.2856 );
fanout_length( 4, 9.1309 );
fanout_length( 5, 12.1420 );
fanout_length( 6, 15.6918 );
fanout_length( 7, 20.1043 );
fanout_length( 8, 24.2827 );
fanout_length( 9, 27.3445 );
fanout_length( 11, 35.3421 );
}
wire_load("5K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.7460 );
fanout_length( 2, 3.9394 );
fanout_length( 3, 6.4626 );
fanout_length( 4, 9.2201 );
fanout_length( 5, 11.9123 );
fanout_length( 6, 14.8358 );
fanout_length( 7, 18.6155 );
fanout_length( 8, 22.6727 );
fanout_length( 9, 25.4842 );
fanout_length( 11, 27.0320 );
}
default_wire_load : "5K_hvratio_1_1" ;
power_lut_template (Hidden_power_7) {
variable_1 : input_transition_time;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
power_lut_template (Power_7_7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Timing_7_7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Tristate_disable_7) {
variable_1 : input_net_transition;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
/******************************************************************************************
Module : AON_BUF_X1
Cell Description : Combinational cell (AON_BUF_X1) with drive strength X1
*******************************************************************************************/
cell (AON_BUF_X1) {
drive_strength : 1;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1097.446298;
leakage_power () {
when : "!A";
value : 1097.431108;
}
leakage_power () {
when : "A";
value : 1097.461489;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.422058;
fall_capacitance : 0.405917;
rise_capacitance : 0.438199;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.692100;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.020722,0.022937,0.026522,0.032495,0.042842,0.061161,0.093761", \
"0.023348,0.025561,0.029145,0.035125,0.045474,0.063796,0.096403", \
"0.027030,0.029243,0.032828,0.038812,0.049169,0.067503,0.100107", \
"0.034552,0.036770,0.040365,0.046363,0.056731,0.075063,0.107682", \
"0.047221,0.049666,0.053458,0.059580,0.069957,0.088277,0.120891", \
"0.065177,0.068117,0.072453,0.078929,0.089553,0.107929,0.140507", \
"0.090397,0.094101,0.099442,0.106895,0.117919,0.136433,0.168972");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.018529,0.021366,0.026333,0.035099,0.050609,0.078159,0.127182", \
"0.020894,0.023723,0.028685,0.037452,0.052969,0.080533,0.129552", \
"0.024042,0.026856,0.031810,0.040574,0.056106,0.083679,0.132717", \
"0.029723,0.032540,0.037452,0.046195,0.061729,0.089313,0.138374", \
"0.037700,0.040516,0.045417,0.054142,0.069656,0.097226,0.146287", \
"0.048135,0.051179,0.056082,0.064552,0.079997,0.107580,0.156601", \
"0.060103,0.063978,0.069515,0.077937,0.092707,0.120124,0.169135");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.004765,0.006129,0.008618,0.013231,0.021778,0.037292,0.065016", \
"0.004768,0.006136,0.008618,0.013232,0.021781,0.037289,0.065010", \
"0.004777,0.006140,0.008623,0.013232,0.021777,0.037294,0.065013", \
"0.004851,0.006196,0.008654,0.013245,0.021780,0.037288,0.065015", \
"0.005741,0.006944,0.009190,0.013512,0.021841,0.037296,0.065015", \
"0.007486,0.008634,0.010646,0.014547,0.022383,0.037498,0.065044", \
"0.010250,0.011506,0.013486,0.016943,0.023793,0.038010,0.065332");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.005947,0.008296,0.012583,0.020296,0.034065,0.058581,0.102267", \
"0.005948,0.008295,0.012584,0.020296,0.034067,0.058592,0.102260", \
"0.005954,0.008296,0.012583,0.020296,0.034063,0.058588,0.102262", \
"0.006061,0.008344,0.012591,0.020295,0.034055,0.058583,0.102251", \
"0.006468,0.008559,0.012704,0.020355,0.034075,0.058564,0.102261", \
"0.007775,0.009362,0.012965,0.020415,0.034161,0.058622,0.102235", \
"0.010642,0.011942,0.014504,0.020817,0.034198,0.058705,0.102396");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.843265,0.867494,0.885189,0.894245,0.899549,0.903047,0.905100", \
"0.827890,0.851230,0.869066,0.878559,0.883579,0.886515,0.888524", \
"0.817120,0.842563,0.860330,0.870505,0.875527,0.879640,0.882274", \
"0.810967,0.836491,0.853573,0.865289,0.871253,0.876480,0.879372", \
"0.792613,0.829818,0.856239,0.869690,0.876843,0.881557,0.885723", \
"0.746255,0.789477,0.836588,0.868556,0.894446,0.902260,0.905991", \
"0.737206,0.782239,0.842225,0.888313,0.913378,0.936378,0.961563");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.329878,0.325801,0.337549,0.340716,0.317295,0.333713,0.312225", \
"0.317606,0.317346,0.328788,0.323118,0.306059,0.274380,0.339269", \
"0.300401,0.314804,0.317064,0.318149,0.305230,0.331000,0.327781", \
"0.296197,0.301637,0.310915,0.303843,0.280343,0.309536,0.324736", \
"0.308473,0.306506,0.307086,0.297508,0.296691,0.263741,0.305906", \
"0.325100,0.332513,0.320365,0.329947,0.310747,0.268723,0.292418", \
"0.373661,0.382721,0.381330,0.392104,0.362529,0.366048,0.345948");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X2
Cell Description : Combinational cell (AON_BUF_X2) with drive strength X2
*******************************************************************************************/
cell (AON_BUF_X2) {
drive_strength : 2;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1714.175250;
leakage_power () {
when : "!A";
value : 2109.816050;
}
leakage_power () {
when : "A";
value : 1318.534450;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.384453;
fall_capacitance : 0.370009;
rise_capacitance : 0.398896;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.020970,0.023002,0.026169,0.031646,0.041704,0.061320,0.100427", \
"0.023619,0.025645,0.028812,0.034289,0.044351,0.063962,0.103069", \
"0.027299,0.029321,0.032479,0.037956,0.048022,0.067643,0.106757", \
"0.034912,0.036925,0.040079,0.045559,0.055635,0.075267,0.114391", \
"0.048382,0.050624,0.053996,0.059620,0.069717,0.089324,0.128432", \
"0.067686,0.070438,0.074455,0.080758,0.091270,0.110948,0.149933", \
"0.094732,0.098206,0.103237,0.110846,0.122386,0.142371,0.181275");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.017438,0.019604,0.023346,0.030584,0.044861,0.073249,0.129897", \
"0.019829,0.021990,0.025725,0.032958,0.047241,0.075632,0.132285", \
"0.023013,0.025161,0.028882,0.036101,0.050383,0.078797,0.135460", \
"0.029080,0.031240,0.034934,0.042116,0.056377,0.084795,0.141474", \
"0.037823,0.040156,0.043888,0.051028,0.065244,0.093621,0.150311", \
"0.048938,0.051846,0.056025,0.063104,0.077093,0.105407,0.162022", \
"0.061659,0.065489,0.070857,0.078770,0.092198,0.120161,0.176669");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.004086,0.005088,0.006905,0.010632,0.018509,0.034943,0.068167", \
"0.004090,0.005088,0.006909,0.010634,0.018510,0.034942,0.068165", \
"0.004093,0.005098,0.006914,0.010637,0.018511,0.034942,0.068168", \
"0.004141,0.005137,0.006944,0.010651,0.018514,0.034943,0.068165", \
"0.005135,0.006005,0.007599,0.011002,0.018609,0.034951,0.068164", \
"0.007188,0.008065,0.009563,0.012589,0.019491,0.035213,0.068173", \
"0.010393,0.011388,0.012981,0.015827,0.021738,0.036116,0.068560");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.004131,0.005626,0.008583,0.014777,0.027367,0.052576,0.103022", \
"0.004132,0.005629,0.008583,0.014777,0.027361,0.052578,0.103032", \
"0.004138,0.005633,0.008587,0.014778,0.027361,0.052582,0.103030", \
"0.004349,0.005751,0.008626,0.014784,0.027366,0.052578,0.103015", \
"0.005301,0.006404,0.008945,0.014905,0.027392,0.052581,0.103023", \
"0.007237,0.008175,0.010030,0.015194,0.027497,0.052673,0.103014", \
"0.010349,0.011475,0.013162,0.016811,0.027738,0.052742,0.103179");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.888525,0.937680,0.985947,1.020045,1.042175,1.051123,1.056265", \
"0.872558,0.920908,0.971845,1.005045,1.024348,1.035018,1.040946", \
"0.862742,0.912018,0.959955,0.996713,1.014766,1.025672,1.031213", \
"0.857873,0.905846,0.951402,0.986860,1.009202,1.020594,1.029171", \
"0.838125,0.893404,0.942046,0.981127,1.008152,1.023095,1.031539", \
"0.792038,0.840725,0.907957,0.971183,1.021726,1.039133,1.051539", \
"0.805643,0.839920,0.897047,0.972148,1.030099,1.069464,1.100577");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.535371,0.548744,0.571761,0.583872,0.595127,0.502220,0.554168", \
"0.526383,0.543961,0.543967,0.570434,0.545262,0.504019,0.444667", \
"0.522709,0.527914,0.549006,0.544919,0.565715,0.487060,0.435672", \
"0.512020,0.512745,0.533351,0.527908,0.552098,0.515809,0.484873", \
"0.498523,0.525659,0.524877,0.521341,0.513509,0.512576,0.520133", \
"0.519518,0.546427,0.537563,0.558048,0.546554,0.535930,0.418886", \
"0.529587,0.566997,0.606505,0.616763,0.594283,0.580227,0.579596");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X4
Cell Description : Combinational cell (AON_BUF_X4) with drive strength X4
*******************************************************************************************/
cell (AON_BUF_X4) {
drive_strength : 4;
area : 2.128000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2879.502250;
leakage_power () {
when : "!A";
value : 4022.233500;
}
leakage_power () {
when : "A";
value : 1736.771000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.386643;
fall_capacitance : 0.372277;
rise_capacitance : 0.401010;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.735500;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.029025,0.032552,0.036205,0.042295,0.052863,0.072619,0.111580", \
"0.031713,0.035238,0.038891,0.044980,0.055549,0.075308,0.114267", \
"0.035349,0.038870,0.042518,0.048605,0.059176,0.078935,0.117901", \
"0.042872,0.046375,0.050016,0.056098,0.066676,0.086445,0.125411", \
"0.058055,0.061582,0.065232,0.071321,0.081899,0.101667,0.140642", \
"0.082191,0.086468,0.090707,0.097388,0.108323,0.128090,0.166932", \
"0.116482,0.121839,0.127102,0.135152,0.147174,0.167643,0.206390");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.023143,0.026677,0.030612,0.037929,0.052178,0.080468,0.136888", \
"0.025558,0.029091,0.033023,0.040340,0.054588,0.082881,0.139307", \
"0.028733,0.032263,0.036189,0.043496,0.057736,0.086034,0.142471", \
"0.035197,0.038712,0.042622,0.049905,0.064135,0.092428,0.148890", \
"0.046244,0.050070,0.054054,0.061295,0.075418,0.103667,0.160113", \
"0.060888,0.065612,0.070123,0.077469,0.091381,0.119477,0.175805", \
"0.079058,0.085143,0.090893,0.099367,0.112814,0.140382,0.196492");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.005517,0.006997,0.008807,0.012382,0.019822,0.035693,0.068583", \
"0.005515,0.006997,0.008808,0.012383,0.019822,0.035692,0.068582", \
"0.005516,0.006997,0.008810,0.012387,0.019823,0.035692,0.068583", \
"0.005520,0.007009,0.008822,0.012395,0.019826,0.035693,0.068582", \
"0.005892,0.007251,0.008995,0.012499,0.019871,0.035703,0.068581", \
"0.008446,0.009688,0.011188,0.014203,0.020815,0.035933,0.068591", \
"0.012486,0.013821,0.015363,0.018199,0.023987,0.037568,0.069038");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.004954,0.006871,0.009588,0.015479,0.027859,0.052936,0.103143", \
"0.004950,0.006871,0.009588,0.015479,0.027861,0.052928,0.103137", \
"0.004953,0.006870,0.009590,0.015482,0.027858,0.052933,0.103139", \
"0.005003,0.006900,0.009607,0.015488,0.027859,0.052927,0.103140", \
"0.006111,0.007638,0.010018,0.015621,0.027874,0.052933,0.103136", \
"0.008380,0.009801,0.011592,0.016294,0.028065,0.052990,0.103138", \
"0.011904,0.013630,0.015369,0.018764,0.028700,0.053140,0.103261");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.335461,1.449228,1.546524,1.636507,1.699814,1.733883,1.751673", \
"1.322135,1.434623,1.533368,1.628311,1.688988,1.722158,1.738626", \
"1.315178,1.425557,1.520406,1.618418,1.675976,1.712026,1.730240", \
"1.306407,1.418683,1.509513,1.602469,1.660956,1.699096,1.716093", \
"1.311166,1.415227,1.500827,1.585005,1.650659,1.688502,1.714260", \
"1.274587,1.371856,1.467973,1.579660,1.650188,1.694892,1.717438", \
"1.307333,1.354814,1.432274,1.541984,1.647903,1.719723,1.760452");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.045830,1.106790,1.138808,1.161311,1.115326,1.097383,1.197060", \
"1.046037,1.097062,1.118706,1.157731,1.190498,1.053450,1.197925", \
"1.030793,1.083134,1.120949,1.151572,1.148385,1.039091,1.166631", \
"1.020408,1.076472,1.100778,1.133597,1.091616,1.115041,1.171158", \
"0.997301,1.075076,1.096454,1.111699,1.066918,1.027000,1.129737", \
"1.002311,1.056755,1.120045,1.130934,1.087472,1.166569,1.201396", \
"1.011096,1.078179,1.137706,1.176714,1.183008,1.081380,1.134533");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X1
Cell Description : Combinational cell (AON_INV_X1) with drive strength X1
*******************************************************************************************/
cell (AON_INV_X1) {
drive_strength : 1;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 548.737719;
leakage_power () {
when : "!A";
value : 197.186131;
}
leakage_power () {
when : "A";
value : 900.289307;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.372145;
fall_capacitance : 0.358040;
rise_capacitance : 0.386249;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.730200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.005867,0.007714,0.010980,0.016774,0.027086,0.045456,0.078190", \
"0.008240,0.010107,0.013400,0.019221,0.029551,0.047926,0.080675", \
"0.011042,0.013265,0.016587,0.022404,0.032734,0.051122,0.083876", \
"0.014745,0.017925,0.022498,0.028892,0.039182,0.057544,0.090283", \
"0.019333,0.023941,0.030495,0.039619,0.052131,0.070530,0.103182", \
"0.024263,0.031015,0.040558,0.053714,0.071593,0.095718,0.129291", \
"0.027714,0.037642,0.051693,0.070991,0.096877,0.131424,0.177567");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.008258,0.011062,0.015993,0.024729,0.040244,0.067866,0.117048", \
"0.010908,0.013706,0.018669,0.027454,0.043028,0.070691,0.119923", \
"0.014686,0.017421,0.022329,0.031092,0.046668,0.074355,0.123611", \
"0.021142,0.024798,0.029947,0.038593,0.054098,0.081757,0.131022", \
"0.030337,0.035817,0.043505,0.053949,0.069296,0.096800,0.145986", \
"0.043324,0.051453,0.062982,0.078618,0.099319,0.127431,0.176271", \
"0.061716,0.073585,0.090555,0.113841,0.144857,0.185242,0.237862");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.003381,0.004929,0.007690,0.012605,0.021365,0.036979,0.064832", \
"0.003438,0.004930,0.007686,0.012606,0.021368,0.036976,0.064826", \
"0.004634,0.005581,0.007799,0.012602,0.021361,0.036987,0.064841", \
"0.006995,0.008220,0.010041,0.013362,0.021363,0.036977,0.064839", \
"0.010918,0.012543,0.014990,0.018552,0.024024,0.037136,0.064830", \
"0.017572,0.019794,0.023086,0.027875,0.034720,0.044520,0.065926", \
"0.028664,0.031955,0.036635,0.043262,0.052499,0.065505,0.083885");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.005397,0.007833,0.012178,0.019925,0.033715,0.058337,0.102209", \
"0.005396,0.007835,0.012180,0.019923,0.033708,0.058309,0.102187", \
"0.005809,0.007859,0.012176,0.019919,0.033709,0.058305,0.102199", \
"0.008641,0.009904,0.012801,0.019920,0.033723,0.058317,0.102203", \
"0.013542,0.015449,0.018155,0.022493,0.033867,0.058330,0.102210", \
"0.021297,0.024228,0.028285,0.033831,0.041324,0.059482,0.102185", \
"0.033295,0.037868,0.044256,0.052767,0.063734,0.078009,0.106889");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.035455,0.035975,0.035640,0.035489,0.035785,0.035579,0.035864", \
"0.035566,0.035765,0.035223,0.035356,0.035666,0.035647,0.035825", \
"0.035148,0.036020,0.035775,0.036010,0.035885,0.035369,0.035575", \
"0.038217,0.036758,0.035373,0.036015,0.035992,0.035606,0.035517", \
"0.039776,0.039216,0.037886,0.035974,0.035586,0.035501,0.035434", \
"0.041083,0.040871,0.039571,0.038534,0.036700,0.035550,0.035846", \
"0.041993,0.041894,0.041197,0.040393,0.038951,0.037258,0.035773");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.348235,0.357284,0.356409,0.352398,0.323616,0.350097,0.325054", \
"0.337101,0.340532,0.338502,0.322372,0.355688,0.285068,0.348520", \
"0.322227,0.335481,0.331891,0.329136,0.301813,0.272681,0.333037", \
"0.323926,0.328422,0.329040,0.311720,0.309070,0.326303,0.304749", \
"0.321396,0.329010,0.335550,0.323512,0.292010,0.296804,0.331124", \
"0.333778,0.339181,0.343874,0.335663,0.349688,0.327248,0.328103", \
"0.380052,0.376431,0.374885,0.380727,0.376935,0.362061,0.340940");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X2
Cell Description : Combinational cell (AON_INV_X2) with drive strength X2
*******************************************************************************************/
cell (AON_INV_X2) {
drive_strength : 2;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1165.500294;
leakage_power () {
when : "!A";
value : 418.250534;
}
leakage_power () {
when : "A";
value : 1912.750054;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.666510;
fall_capacitance : 0.634746;
rise_capacitance : 0.698273;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.004366,0.005743,0.008229,0.013143,0.022934,0.042492,0.081574", \
"0.006686,0.008102,0.010614,0.015565,0.025386,0.044960,0.084060", \
"0.008814,0.010842,0.013792,0.018735,0.028557,0.048140,0.087240", \
"0.011527,0.014431,0.018644,0.025025,0.034993,0.054551,0.093644", \
"0.014616,0.018851,0.024939,0.034064,0.047384,0.067510,0.106514", \
"0.017293,0.023514,0.032427,0.045661,0.064767,0.092075,0.132543", \
"0.017587,0.026649,0.039712,0.059160,0.086930,0.126119,0.181424");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.005801,0.007816,0.011444,0.018598,0.032800,0.061143,0.117758", \
"0.008501,0.010468,0.014093,0.021292,0.035565,0.063955,0.120631", \
"0.012024,0.014257,0.017795,0.024936,0.039196,0.067622,0.124331", \
"0.017074,0.020447,0.025222,0.032500,0.046646,0.075033,0.131737", \
"0.024218,0.029255,0.036412,0.046884,0.061917,0.090081,0.146689", \
"0.034296,0.041697,0.052329,0.067988,0.090061,0.120775,0.176957", \
"0.048808,0.059348,0.074773,0.097942,0.130910,0.176325,0.238475");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002116,0.003239,0.005317,0.009475,0.017787,0.034407,0.067667", \
"0.002457,0.003310,0.005318,0.009473,0.017786,0.034414,0.067663", \
"0.003733,0.004536,0.005856,0.009474,0.017786,0.034413,0.067663", \
"0.005782,0.006853,0.008482,0.011107,0.017877,0.034410,0.067667", \
"0.009245,0.010696,0.012885,0.016349,0.021730,0.034736,0.067671", \
"0.015107,0.017204,0.020218,0.024885,0.032064,0.043010,0.068490", \
"0.025106,0.028156,0.032527,0.039119,0.048845,0.063477,0.085564");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003281,0.004986,0.008140,0.014452,0.027062,0.052285,0.102757", \
"0.003298,0.004987,0.008139,0.014447,0.027059,0.052274,0.102740", \
"0.004582,0.005521,0.008146,0.014450,0.027061,0.052277,0.102761", \
"0.007215,0.008377,0.010068,0.014710,0.027062,0.052294,0.102759", \
"0.011362,0.013143,0.015647,0.019357,0.027916,0.052283,0.102741", \
"0.017879,0.020628,0.024476,0.030059,0.037861,0.054395,0.102751", \
"0.028198,0.032340,0.038325,0.046934,0.058756,0.074820,0.107384");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.072472,0.074320,0.074279,0.074196,0.074282,0.073958,0.074151", \
"0.073756,0.073736,0.073150,0.073876,0.073561,0.074394,0.074519", \
"0.076143,0.073990,0.073292,0.074114,0.074372,0.074104,0.073558", \
"0.080835,0.079552,0.075087,0.074383,0.073394,0.073484,0.074572", \
"0.084562,0.083218,0.080867,0.076263,0.074472,0.073995,0.074419", \
"0.086482,0.085818,0.083932,0.081403,0.077728,0.074047,0.074232", \
"0.101949,0.087605,0.086684,0.085294,0.081885,0.077714,0.073648");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.649307,0.664887,0.669126,0.651998,0.637374,0.637589,0.528296", \
"0.623709,0.631981,0.629964,0.646320,0.659080,0.555105,0.586577", \
"0.615891,0.609601,0.626698,0.635893,0.615892,0.657326,0.553687", \
"0.597729,0.626097,0.623578,0.627598,0.581902,0.629148,0.676793", \
"0.567175,0.582075,0.620963,0.619787,0.583969,0.517876,0.553154", \
"0.611980,0.620223,0.628068,0.657147,0.607793,0.611246,0.530046", \
"0.739840,0.734997,0.727912,0.712040,0.720431,0.674375,0.672860");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X4
Cell Description : Combinational cell (AON_INV_X4) with drive strength X4
*******************************************************************************************/
cell (AON_INV_X4) {
drive_strength : 4;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2330.987355;
leakage_power () {
when : "!A";
value : 836.490200;
}
leakage_power () {
when : "A";
value : 3825.484510;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 1.230318;
fall_capacitance : 1.165940;
rise_capacitance : 1.294696;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.964400;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.003864,0.005842,0.008325,0.013242,0.023034,0.042584,0.081681", \
"0.006085,0.008201,0.010712,0.015663,0.025482,0.045057,0.084160", \
"0.007950,0.010964,0.013894,0.018832,0.028656,0.048238,0.087346", \
"0.010278,0.014602,0.018783,0.025130,0.035089,0.054648,0.093749", \
"0.012784,0.019097,0.025131,0.034205,0.047500,0.067607,0.106619", \
"0.014596,0.023868,0.032701,0.045871,0.064929,0.092194,0.132645", \
"0.013692,0.027144,0.040114,0.059441,0.087166,0.126294,0.181549");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.005054,0.007942,0.011569,0.018718,0.032922,0.061259,0.117879", \
"0.007795,0.010594,0.014220,0.021416,0.035688,0.064085,0.120742", \
"0.011033,0.014379,0.017921,0.025060,0.039326,0.067745,0.124442", \
"0.015574,0.020628,0.025366,0.032626,0.046781,0.075161,0.131862", \
"0.021987,0.029519,0.036614,0.047041,0.062056,0.090220,0.146835", \
"0.031044,0.042058,0.052605,0.068197,0.090233,0.120920,0.177110", \
"0.044239,0.059823,0.075178,0.098240,0.131129,0.176514,0.238641");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001699,0.003300,0.005377,0.009533,0.017845,0.034467,0.067728", \
"0.002220,0.003361,0.005377,0.009534,0.017846,0.034470,0.067720", \
"0.003393,0.004568,0.005894,0.009534,0.017842,0.034470,0.067725", \
"0.005318,0.006899,0.008523,0.011143,0.017935,0.034468,0.067723", \
"0.008586,0.010754,0.012922,0.016382,0.021754,0.034793,0.067723", \
"0.014143,0.017262,0.020246,0.024913,0.032088,0.043029,0.068541", \
"0.023690,0.028222,0.032579,0.039129,0.048852,0.063484,0.085573");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.002648,0.005076,0.008227,0.014532,0.027138,0.052361,0.102816", \
"0.002780,0.005076,0.008229,0.014531,0.027145,0.052364,0.102810", \
"0.004235,0.005581,0.008231,0.014531,0.027139,0.052354,0.102823", \
"0.006686,0.008426,0.010109,0.014787,0.027141,0.052367,0.102818", \
"0.010547,0.013203,0.015691,0.019384,0.027984,0.052365,0.102823", \
"0.016587,0.020714,0.024544,0.030076,0.037884,0.054460,0.102810", \
"0.026314,0.032454,0.038415,0.046979,0.058754,0.074841,0.107423");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.036325,0.046306,0.054096,0.056531,0.060485,0.059707,0.062216", \
"0.003515,0.022734,0.032147,0.042809,0.052399,0.056521,0.057502", \
"0.011187,0.007762,0.019135,0.033163,0.044154,0.052053,0.055073", \
"0.031374,0.022114,0.012104,0.020097,0.033098,0.043788,0.053565", \
"0.066209,0.049654,0.038028,0.022810,0.022277,0.034813,0.046654", \
"0.123381,0.103910,0.084696,0.061980,0.040274,0.030953,0.039221", \
"0.280009,0.222434,0.190315,0.150484,0.109183,0.070895,0.047178");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("1.154932,1.177876,1.210167,1.196967,1.236067,1.184780,1.045390", \
"1.102285,1.122436,1.138121,1.123026,1.176576,1.217370,1.143590", \
"1.087348,1.108051,1.093012,1.138671,1.167498,1.148180,1.107870", \
"1.027333,1.115144,1.109905,1.117218,1.121398,1.113130,1.186580", \
"0.998495,1.053863,1.093846,1.120665,1.092118,1.155200,1.093790", \
"1.063610,1.082920,1.121811,1.159979,1.160395,1.077460,1.169530", \
"1.328242,1.309789,1.288302,1.295154,1.301772,1.301340,1.152280");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X1
Cell Description : Combinational cell (HEADER_OE_X1) with drive strength X1
*******************************************************************************************/
cell (HEADER_OE_X1) {
drive_strength : 1;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 9268987.175225;
leakage_power () {
when : "!SLEEP";
value : 18536875.000000;
}
leakage_power () {
when : "SLEEP";
value : 1099.350450;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.640713;
fall_capacitance : 0.600039;
rise_capacitance : 0.681386;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 12.168900;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.020486,0.022700,0.026328,0.032448,0.043155,0.062254,0.096481", \
"0.023119,0.025334,0.028964,0.035088,0.045797,0.064903,0.099128", \
"0.026805,0.029016,0.032648,0.038779,0.049496,0.068604,0.102840", \
"0.034312,0.036534,0.040175,0.046316,0.057044,0.076159,0.110406", \
"0.046817,0.049264,0.053097,0.059354,0.070095,0.089187,0.123424", \
"0.064515,0.067442,0.071805,0.078430,0.089371,0.108527,0.142722", \
"0.089329,0.093012,0.098369,0.105903,0.117207,0.136457,0.170638");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.018535,0.021304,0.026184,0.034843,0.050275,0.077868,0.127300", \
"0.020894,0.023654,0.028528,0.037192,0.052627,0.080236,0.129670", \
"0.024038,0.026784,0.031643,0.040305,0.055758,0.083381,0.132820", \
"0.029742,0.032482,0.037319,0.045964,0.061411,0.089049,0.138505", \
"0.037700,0.040454,0.045269,0.053898,0.069322,0.096935,0.146406", \
"0.048193,0.051199,0.056016,0.064370,0.079733,0.107366,0.156794", \
"0.060275,0.064093,0.069579,0.077889,0.092515,0.119974,0.169389");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.004798,0.006187,0.008743,0.013518,0.022403,0.038590,0.067706", \
"0.004803,0.006191,0.008746,0.013517,0.022404,0.038594,0.067698", \
"0.004811,0.006198,0.008748,0.013519,0.022403,0.038590,0.067697", \
"0.004889,0.006255,0.008779,0.013531,0.022404,0.038588,0.067695", \
"0.005779,0.007001,0.009313,0.013793,0.022461,0.038592,0.067692", \
"0.007516,0.008668,0.010728,0.014769,0.022961,0.038784,0.067713", \
"0.010272,0.011529,0.013537,0.017091,0.024272,0.039243,0.068008");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.005904,0.008189,0.012395,0.020017,0.033711,0.058263,0.102308", \
"0.005904,0.008187,0.012396,0.020018,0.033711,0.058257,0.102302", \
"0.005909,0.008192,0.012399,0.020020,0.033710,0.058255,0.102323", \
"0.006025,0.008243,0.012406,0.020020,0.033716,0.058270,0.102309", \
"0.006441,0.008467,0.012522,0.020082,0.033732,0.058269,0.102330", \
"0.007781,0.009310,0.012802,0.020140,0.033814,0.058326,0.102305", \
"0.010631,0.011910,0.014408,0.020576,0.033862,0.058396,0.102454");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.890628,0.909192,0.924757,0.927844,0.938917,0.924390,0.926495", \
"0.824488,0.835395,0.851352,0.857463,0.865661,0.832128,0.811497", \
"0.743322,0.765466,0.774211,0.786149,0.794668,0.780507,0.764145", \
"0.598423,0.625542,0.627395,0.643418,0.629004,0.653929,0.636657", \
"0.311867,0.351446,0.371741,0.371308,0.388985,0.374774,0.370204", \
"-0.145642,-0.124589,-0.134384,-0.145696,-0.132957,-0.156714,-0.133365", \
"-0.682358,-0.698729,-0.719947,-0.792134,-0.935240,-1.108060,-1.203780");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.253622,0.251959,0.267185,0.272164,0.261177,0.276889,0.229420", \
"0.183114,0.190288,0.189284,0.203558,0.203145,0.203591,0.204822", \
"0.102807,0.109507,0.109658,0.109601,0.088641,0.137933,0.125310", \
"-0.035391,-0.030687,-0.031655,-0.029989,-0.017480,-0.026770,-0.003877", \
"-0.290337,-0.295943,-0.307807,-0.302820,-0.301097,-0.332336,-0.302453", \
"-0.803005,-0.796228,-0.806483,-0.812395,-0.849855,-0.819901,-0.859913", \
"-1.821280,-1.821880,-1.819520,-1.818380,-1.840720,-1.848280,-1.889260");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X2
Cell Description : Combinational cell (HEADER_OE_X2) with drive strength X2
*******************************************************************************************/
cell (HEADER_OE_X2) {
drive_strength : 2;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 19053623.103875;
leakage_power () {
when : "!SLEEP";
value : 38105925.000000;
}
leakage_power () {
when : "SLEEP";
value : 1321.207750;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.826339;
fall_capacitance : 0.753388;
rise_capacitance : 0.899289;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.367700;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.022810,0.024829,0.028020,0.033545,0.043625,0.063174,0.102110", \
"0.025479,0.027490,0.030683,0.036208,0.046288,0.065839,0.104784", \
"0.029145,0.031154,0.034338,0.039864,0.049949,0.069505,0.108450", \
"0.036733,0.038739,0.041920,0.047447,0.057541,0.077105,0.116067", \
"0.050647,0.052839,0.056195,0.061832,0.071949,0.091501,0.130453", \
"0.070785,0.073466,0.077460,0.083799,0.094374,0.114009,0.152843", \
"0.099007,0.102404,0.107413,0.115057,0.126670,0.146728,0.185514");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.019556,0.021710,0.025449,0.032657,0.046879,0.075159,0.131587", \
"0.021951,0.024105,0.027838,0.035043,0.049268,0.077549,0.133977", \
"0.025124,0.027269,0.030987,0.038182,0.052403,0.080701,0.137135", \
"0.031370,0.033516,0.037218,0.044380,0.058585,0.086893,0.143343", \
"0.040816,0.043128,0.046880,0.054014,0.068167,0.096428,0.152879", \
"0.053038,0.055858,0.060013,0.067121,0.081088,0.109292,0.165682", \
"0.067456,0.071156,0.076457,0.084401,0.097826,0.125630,0.181945");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.004477,0.005477,0.007286,0.010973,0.018748,0.035048,0.068118", \
"0.004479,0.005480,0.007288,0.010974,0.018747,0.035049,0.068122", \
"0.004483,0.005486,0.007295,0.010977,0.018749,0.035049,0.068119", \
"0.004517,0.005513,0.007317,0.010989,0.018753,0.035048,0.068121", \
"0.005376,0.006256,0.007863,0.011275,0.018840,0.035057,0.068119", \
"0.007419,0.008305,0.009827,0.012881,0.019739,0.035315,0.068121", \
"0.010620,0.011604,0.013231,0.016118,0.022061,0.036289,0.068497");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.004630,0.006097,0.009004,0.015132,0.027655,0.052768,0.103022", \
"0.004631,0.006097,0.009005,0.015130,0.027649,0.052763,0.102995", \
"0.004636,0.006104,0.009005,0.015132,0.027654,0.052764,0.102994", \
"0.004767,0.006177,0.009037,0.015138,0.027654,0.052763,0.102993", \
"0.005670,0.006809,0.009363,0.015258,0.027676,0.052763,0.102999", \
"0.007580,0.008525,0.010443,0.015586,0.027785,0.052838,0.102998", \
"0.010697,0.011801,0.013511,0.017215,0.028054,0.052921,0.103146");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("1.144761,1.203440,1.252096,1.289899,1.318609,1.305794,1.239701", \
"1.018251,1.076732,1.122203,1.166983,1.185874,1.150830,1.191530", \
"0.871935,0.928566,0.970728,1.014600,1.028389,1.034826,1.017682", \
"0.602075,0.639944,0.683610,0.721076,0.752338,0.728128,0.712939", \
"0.022608,0.070216,0.133883,0.157057,0.195978,0.199597,0.221698", \
"-0.966300,-0.939476,-0.926172,-0.927779,-0.927717,-0.950087,-0.978851", \
"-2.218730,-2.255270,-2.323040,-2.425180,-2.666200,-3.003370,-3.122830");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.419854,0.429537,0.448685,0.448054,0.467189,0.390441,0.370695", \
"0.289294,0.309592,0.331432,0.333065,0.343661,0.281642,0.333717", \
"0.140228,0.166797,0.161703,0.167376,0.194211,0.114792,0.179605", \
"-0.128138,-0.123398,-0.122091,-0.097471,-0.092960,-0.116679,-0.117764", \
"-0.687236,-0.670383,-0.690083,-0.673388,-0.649065,-0.709917,-0.776545", \
"-1.763460,-1.748510,-1.734630,-1.737110,-1.750330,-1.763320,-1.838940", \
"-3.938250,-3.922520,-3.889240,-3.873680,-3.912110,-3.914600,-3.959870");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X4
Cell Description : Combinational cell (HEADER_OE_X4) with drive strength X4
*******************************************************************************************/
cell (HEADER_OE_X4) {
drive_strength : 4;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 38504239.966400;
leakage_power () {
when : "!SLEEP";
value : 77006715.000000;
}
leakage_power () {
when : "SLEEP";
value : 1764.932800;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.178120;
fall_capacitance : 1.045180;
rise_capacitance : 1.311060;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.803600;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.028185,0.031889,0.035618,0.041776,0.052418,0.072299,0.111513", \
"0.030895,0.034592,0.038324,0.044482,0.055123,0.075007,0.114219", \
"0.034542,0.038237,0.041964,0.048118,0.058762,0.078648,0.117862", \
"0.042090,0.045776,0.049491,0.055642,0.066288,0.086182,0.125407", \
"0.057250,0.060968,0.064694,0.070854,0.081507,0.101400,0.140626", \
"0.081116,0.085652,0.090007,0.096800,0.107864,0.127773,0.166871", \
"0.114830,0.120511,0.125934,0.134126,0.146516,0.167124,0.206125");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.023119,0.026866,0.030893,0.038305,0.052683,0.081212,0.138110", \
"0.025541,0.029287,0.033313,0.040722,0.055097,0.083630,0.140535", \
"0.028718,0.032461,0.036482,0.043881,0.058251,0.086793,0.143698", \
"0.035192,0.038917,0.042922,0.050302,0.064658,0.093194,0.150124", \
"0.046344,0.050426,0.054509,0.061857,0.076120,0.104610,0.161529", \
"0.061121,0.066195,0.070873,0.078379,0.092450,0.120786,0.177593", \
"0.079370,0.085883,0.091854,0.100539,0.114435,0.142334,0.198928");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.005263,0.006780,0.008610,0.012213,0.019704,0.035693,0.068812", \
"0.005265,0.006780,0.008611,0.012213,0.019705,0.035693,0.068812", \
"0.005263,0.006785,0.008615,0.012216,0.019705,0.035693,0.068812", \
"0.005270,0.006794,0.008625,0.012226,0.019709,0.035694,0.068813", \
"0.005689,0.007058,0.008808,0.012333,0.019755,0.035702,0.068814", \
"0.008233,0.009511,0.011028,0.014058,0.020708,0.035934,0.068821", \
"0.012258,0.013640,0.015211,0.018073,0.023860,0.037548,0.069263");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.004793,0.006719,0.009431,0.015336,0.027798,0.053085,0.103708", \
"0.004792,0.006721,0.009431,0.015336,0.027799,0.053081,0.103708", \
"0.004794,0.006721,0.009432,0.015337,0.027800,0.053085,0.103720", \
"0.004832,0.006748,0.009449,0.015343,0.027802,0.053076,0.103709", \
"0.005953,0.007505,0.009866,0.015478,0.027813,0.053081,0.103721", \
"0.008187,0.009690,0.011494,0.016183,0.028012,0.053140,0.103709", \
"0.011672,0.013523,0.015319,0.018734,0.028662,0.053290,0.103842");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("1.560209,1.693848,1.785411,1.885382,1.960096,1.939864,1.813751", \
"1.328741,1.466178,1.554083,1.672933,1.665275,1.677424,1.715057", \
"1.045294,1.165922,1.264668,1.366664,1.444051,1.373693,1.376281", \
"0.479608,0.590691,0.706792,0.794941,0.821460,0.812834,0.682471", \
"-0.641361,-0.535636,-0.440703,-0.355596,-0.308008,-0.289681,-0.239437", \
"-2.751560,-2.728280,-2.677500,-2.618130,-2.503190,-2.537010,-2.607080", \
"-5.696950,-5.867470,-6.014140,-6.218200,-6.512420,-6.901490,-6.865970");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.568311,0.638576,0.685520,0.713895,0.685303,0.757755,0.737644", \
"0.348555,0.424554,0.468986,0.480671,0.454610,0.539263,0.503692", \
"0.059205,0.139699,0.170178,0.191517,0.187640,0.108151,0.203642", \
"-0.512126,-0.448095,-0.414660,-0.405751,-0.350940,-0.333898,-0.368423", \
"-1.611020,-1.573210,-1.539910,-1.501970,-1.531010,-1.441390,-1.446130", \
"-3.815130,-3.732020,-3.723820,-3.714030,-3.754160,-3.749570,-3.731590", \
"-8.227270,-8.159070,-8.108570,-8.085440,-8.088430,-8.214750,-8.215600");
}
}
}
}
/******************************************************************************************
Module : HEADER_X1
Cell Description : Physical cell (HEADER_X1)
*******************************************************************************************/
cell (HEADER_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 9266870.513185;
leakage_power () {
when : "!SLEEP";
value : 18533740.000000;
}
leakage_power () {
when : "SLEEP";
value : 1.026370;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.301122;
fall_capacitance : 0.272218;
rise_capacitance : 0.330025;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.063964,0.012840,-0.054770,-0.189920,-0.461055,-1.003280,-2.087610");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.089605,0.036677,-0.030798,-0.165920,-0.436513,-0.977906,-2.060640");
}
}
}
}
/******************************************************************************************
Module : HEADER_X2
Cell Description : Physical cell (HEADER_X2)
*******************************************************************************************/
cell (HEADER_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 19053533.515225;
leakage_power () {
when : "!SLEEP";
value : 38107065.000000;
}
leakage_power () {
when : "SLEEP";
value : 2.030450;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.472122;
fall_capacitance : 0.416293;
rise_capacitance : 0.527950;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.134034,0.027690,-0.111019,-0.388196,-0.943314,-2.054240,-4.275740");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.183647,0.075550,-0.062909,-0.339556,-0.893742,-2.003020,-4.221240");
}
}
}
}
/******************************************************************************************
Module : HEADER_X4
Cell Description : Physical cell (HEADER_X4)
*******************************************************************************************/
cell (HEADER_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 38460039.512563;
leakage_power () {
when : "!SLEEP";
value : 76920075.000000;
}
leakage_power () {
when : "SLEEP";
value : 4.025126;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.809491;
fall_capacitance : 0.696611;
rise_capacitance : 0.922370;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.271396,0.055709,-0.223477,-0.781948,-1.898230,-4.134160,-8.604800");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.372046,0.154796,-0.123946,-0.681321,-1.796360,-4.028290,-8.492190");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X1
Cell Description : Combinational cell (ISO_FENCE0N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2954.084479;
leakage_power () {
when : "!A & !EN";
value : 1990.345000;
}
leakage_power () {
when : "!A & EN";
value : 3921.647500;
}
leakage_power () {
when : "A & !EN";
value : 1740.042268;
}
leakage_power () {
when : "A & EN";
value : 4164.303150;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.528725;
fall_capacitance : 0.499668;
rise_capacitance : 0.557783;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.271898,0.272689,0.273121,0.273542,0.273824,0.273710,0.273528");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.035331,-0.035349,-0.035381,-0.035434,-0.035547,-0.035772,-0.036227");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.619870;
fall_capacitance : 0.609834;
rise_capacitance : 0.629905;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.252708,0.241160,0.238619,0.236709,0.235084,0.233875,0.232961");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.034341,-0.034362,-0.034391,-0.034447,-0.034560,-0.034786,-0.035238");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.959000;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.019338,0.021003,0.023840,0.028756,0.037703,0.054693,0.087448", \
"0.021854,0.023520,0.026355,0.031276,0.040224,0.057222,0.089973", \
"0.025467,0.027127,0.029960,0.034884,0.043842,0.060847,0.093605", \
"0.032951,0.034619,0.037463,0.042399,0.051368,0.068384,0.101148", \
"0.045373,0.047277,0.050391,0.055542,0.064590,0.081586,0.114341", \
"0.062968,0.065311,0.069019,0.074762,0.084197,0.101325,0.134071", \
"0.087813,0.090807,0.095456,0.102397,0.112765,0.130165,0.162894");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.019900,0.022163,0.026294,0.033986,0.048611,0.076688,0.130780", \
"0.022040,0.024299,0.028422,0.036108,0.050738,0.078820,0.132915", \
"0.024972,0.027221,0.031328,0.039001,0.053631,0.081733,0.135840", \
"0.030532,0.032792,0.036893,0.044546,0.059164,0.087272,0.141393", \
"0.038258,0.040575,0.044722,0.052424,0.067076,0.095152,0.149281", \
"0.047909,0.050523,0.054803,0.062416,0.076915,0.105094,0.159232", \
"0.057840,0.061237,0.066420,0.074362,0.088390,0.116191,0.170341");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.003881,0.004803,0.006583,0.010123,0.017293,0.031599,0.059439", \
"0.003887,0.004806,0.006585,0.010124,0.017293,0.031597,0.059439", \
"0.003895,0.004817,0.006594,0.010127,0.017294,0.031597,0.059442", \
"0.003988,0.004887,0.006639,0.010149,0.017300,0.031596,0.059438", \
"0.004952,0.005769,0.007349,0.010589,0.017443,0.031608,0.059435", \
"0.006689,0.007506,0.008990,0.011892,0.018203,0.031925,0.059497", \
"0.009324,0.010267,0.011857,0.014607,0.020084,0.032641,0.059809");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.005267,0.006894,0.010149,0.016681,0.029541,0.054484,0.102647", \
"0.005268,0.006896,0.010151,0.016682,0.029547,0.054476,0.102640", \
"0.005274,0.006901,0.010157,0.016682,0.029542,0.054491,0.102640", \
"0.005434,0.007009,0.010202,0.016692,0.029546,0.054483,0.102625", \
"0.005907,0.007355,0.010458,0.016909,0.029627,0.054473,0.102632", \
"0.007368,0.008453,0.010982,0.017010,0.029771,0.054700,0.102645", \
"0.010195,0.011269,0.013159,0.017851,0.029839,0.054703,0.102985");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.021559,0.023260,0.026143,0.031117,0.040117,0.057152,0.089944", \
"0.024199,0.025898,0.028781,0.033756,0.042758,0.059796,0.092587", \
"0.027853,0.029549,0.032428,0.037404,0.046412,0.063456,0.096249", \
"0.035418,0.037112,0.039991,0.044973,0.053989,0.071041,0.103846", \
"0.048926,0.050790,0.053854,0.058970,0.068026,0.085076,0.117877", \
"0.068405,0.070677,0.074278,0.079925,0.089303,0.106454,0.139237", \
"0.096055,0.098932,0.103409,0.110131,0.120091,0.137554,0.170337");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.021313,0.023576,0.027709,0.035403,0.050032,0.078110,0.132195", \
"0.023657,0.025919,0.030048,0.037740,0.052375,0.080456,0.134542", \
"0.026394,0.028650,0.032770,0.040455,0.055088,0.083179,0.137278", \
"0.031525,0.033784,0.037893,0.045567,0.060198,0.088302,0.142410", \
"0.039750,0.042059,0.046208,0.053905,0.068545,0.096640,0.150772", \
"0.051068,0.053566,0.057829,0.065501,0.080080,0.108227,0.162348", \
"0.064056,0.067176,0.072068,0.079984,0.094229,0.122166,0.176307");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.004003,0.004925,0.006698,0.010222,0.017369,0.031655,0.059489", \
"0.004006,0.004930,0.006700,0.010222,0.017369,0.031657,0.059486", \
"0.004012,0.004931,0.006704,0.010224,0.017369,0.031655,0.059490", \
"0.004044,0.004962,0.006724,0.010234,0.017373,0.031657,0.059488", \
"0.004780,0.005610,0.007221,0.010514,0.017458,0.031666,0.059488", \
"0.006355,0.007188,0.008698,0.011674,0.018115,0.031912,0.059534", \
"0.008799,0.009721,0.011307,0.014085,0.019754,0.032550,0.059824");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.005266,0.006896,0.010150,0.016680,0.029547,0.054483,0.102644", \
"0.005266,0.006895,0.010152,0.016678,0.029540,0.054495,0.102644", \
"0.005269,0.006899,0.010149,0.016679,0.029545,0.054476,0.102649", \
"0.005337,0.006945,0.010173,0.016684,0.029541,0.054489,0.102629", \
"0.005654,0.007190,0.010346,0.016795,0.029575,0.054474,0.102632", \
"0.006584,0.007894,0.010721,0.016911,0.029666,0.054573,0.102630", \
"0.008800,0.009984,0.012234,0.017510,0.029753,0.054602,0.102804");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.240824,1.277834,1.317621,1.341729,1.352025,1.358360,1.360361", \
"1.207659,1.240766,1.280596,1.304907,1.317746,1.323655,1.326755", \
"1.188382,1.224970,1.262587,1.286957,1.297366,1.305028,1.310198", \
"1.170944,1.208304,1.249817,1.274005,1.288295,1.298117,1.301498", \
"1.124987,1.184153,1.244699,1.279865,1.295101,1.307637,1.314073", \
"1.066267,1.128421,1.201443,1.265978,1.319601,1.343334,1.352436", \
"1.089050,1.139391,1.212007,1.297261,1.361439,1.401034,1.455305");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.577890,0.603977,0.598584,0.607337,0.637789,0.646508,0.651087", \
"0.565521,0.582353,0.584306,0.588512,0.614811,0.591867,0.509716", \
"0.553220,0.566722,0.570546,0.567463,0.592166,0.599544,0.516880", \
"0.549332,0.553364,0.566009,0.549806,0.545185,0.512543,0.516697", \
"0.556939,0.548410,0.561614,0.572251,0.529847,0.561923,0.601886", \
"0.587240,0.592028,0.604158,0.607960,0.578517,0.610113,0.594376", \
"0.667804,0.688159,0.696870,0.713389,0.713905,0.648879,0.638609");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.420977,1.459542,1.505884,1.537754,1.556573,1.567795,1.578007", \
"1.396341,1.438807,1.480988,1.512864,1.531644,1.543695,1.552964", \
"1.384317,1.426488,1.467674,1.499117,1.518720,1.531306,1.540418", \
"1.368724,1.413641,1.457202,1.486809,1.509156,1.523874,1.535186", \
"1.342810,1.405434,1.454652,1.490214,1.515316,1.531793,1.543373", \
"1.282262,1.343641,1.418639,1.483805,1.539413,1.562625,1.579298", \
"1.296219,1.349841,1.426995,1.516457,1.573078,1.616162,1.668631");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.590231,0.601646,0.609875,0.604758,0.619924,0.633896,0.581774", \
"0.581364,0.593124,0.601009,0.613842,0.620954,0.627359,0.615033", \
"0.561762,0.575328,0.569415,0.600526,0.603082,0.615178,0.604258", \
"0.557424,0.560467,0.575121,0.586389,0.590314,0.589196,0.612285", \
"0.543179,0.551194,0.549623,0.556627,0.575696,0.569265,0.583676", \
"0.560497,0.557588,0.573236,0.574109,0.536930,0.579362,0.556794", \
"0.595335,0.607422,0.621379,0.627026,0.615948,0.610494,0.615480");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X2
Cell Description : Combinational cell (ISO_FENCE0N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4309.159730;
leakage_power () {
when : "!A & !EN";
value : 3674.657000;
}
leakage_power () {
when : "!A & EN";
value : 5605.883500;
}
leakage_power () {
when : "A & !EN";
value : 3424.287768;
}
leakage_power () {
when : "A & EN";
value : 4531.810650;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.565969;
fall_capacitance : 0.540611;
rise_capacitance : 0.591326;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.272033,0.272595,0.272998,0.273381,0.273667,0.273714,0.273607");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.063629,-0.063668,-0.063722,-0.063825,-0.064030,-0.064447,-0.065276");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.637719;
fall_capacitance : 0.626972;
rise_capacitance : 0.648465;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.252731,0.241103,0.238516,0.236591,0.235029,0.233873,0.232999");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.062641,-0.062681,-0.062733,-0.062837,-0.063044,-0.063459,-0.064288");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.520300;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.021373,0.024009,0.027043,0.032194,0.041445,0.059229,0.094594", \
"0.023934,0.026565,0.029595,0.034749,0.044000,0.061786,0.097150", \
"0.027520,0.030143,0.033168,0.038320,0.047577,0.065371,0.100737", \
"0.035066,0.037678,0.040697,0.045851,0.055116,0.072921,0.108299", \
"0.048730,0.051630,0.054853,0.060153,0.069459,0.087249,0.122618", \
"0.068408,0.072003,0.075880,0.081919,0.091753,0.109685,0.144954", \
"0.096165,0.100727,0.105597,0.112970,0.124067,0.142549,0.177798");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.020892,0.024114,0.028124,0.035559,0.049928,0.078371,0.135053", \
"0.023060,0.026282,0.030287,0.037718,0.052087,0.080530,0.137217", \
"0.026004,0.029217,0.033214,0.040629,0.054990,0.083441,0.140141", \
"0.031938,0.035146,0.039127,0.046521,0.060871,0.089326,0.146046", \
"0.040918,0.044310,0.048364,0.055805,0.070132,0.098553,0.155272", \
"0.052101,0.056221,0.060592,0.068039,0.082224,0.110679,0.167355", \
"0.064021,0.069388,0.074940,0.083176,0.097063,0.125089,0.181734");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003824,0.005039,0.006696,0.010030,0.017027,0.031754,0.061763", \
"0.003821,0.005042,0.006698,0.010031,0.017027,0.031754,0.061763", \
"0.003828,0.005049,0.006706,0.010034,0.017027,0.031754,0.061764", \
"0.003862,0.005080,0.006731,0.010048,0.017031,0.031755,0.061762", \
"0.004835,0.005898,0.007357,0.010402,0.017148,0.031770,0.061762", \
"0.006859,0.007962,0.009362,0.012104,0.018188,0.032121,0.061787", \
"0.009980,0.011233,0.012750,0.015409,0.020694,0.033266,0.062224");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.004459,0.006419,0.009275,0.015253,0.027689,0.052872,0.103308", \
"0.004461,0.006420,0.009275,0.015253,0.027686,0.052869,0.103307", \
"0.004457,0.006422,0.009277,0.015256,0.027687,0.052874,0.103306", \
"0.004571,0.006489,0.009315,0.015269,0.027687,0.052875,0.103312", \
"0.005436,0.007047,0.009688,0.015502,0.027747,0.052873,0.103305", \
"0.007353,0.008678,0.010673,0.015844,0.027959,0.053073,0.103308", \
"0.010390,0.011990,0.013743,0.017480,0.028241,0.053120,0.103622");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.023531,0.026207,0.029284,0.034495,0.043806,0.061640,0.097044", \
"0.026203,0.028878,0.031953,0.037163,0.046477,0.064311,0.099718", \
"0.029854,0.032523,0.035594,0.040803,0.050119,0.067957,0.103362", \
"0.037425,0.040081,0.043145,0.048352,0.057675,0.075523,0.110939", \
"0.051858,0.054699,0.057876,0.063151,0.072495,0.090344,0.125757", \
"0.073206,0.076704,0.080490,0.086427,0.096201,0.114152,0.149477", \
"0.103482,0.107906,0.112636,0.119820,0.130666,0.149084,0.184396");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.022305,0.025526,0.029537,0.036973,0.051344,0.079784,0.136473", \
"0.024670,0.027892,0.031901,0.039338,0.053709,0.082154,0.138835", \
"0.027430,0.030647,0.034652,0.042080,0.056448,0.084894,0.141585", \
"0.032744,0.035955,0.039949,0.047360,0.061724,0.090175,0.146879", \
"0.041672,0.045001,0.049054,0.056498,0.070850,0.099295,0.156018", \
"0.054365,0.058110,0.062351,0.069845,0.084130,0.112597,0.169298", \
"0.069541,0.074285,0.079337,0.087265,0.101326,0.129516,0.186192");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003942,0.005164,0.006819,0.010140,0.017114,0.031818,0.061813", \
"0.003942,0.005167,0.006821,0.010141,0.017114,0.031817,0.061816", \
"0.003947,0.005170,0.006823,0.010143,0.017115,0.031818,0.061813", \
"0.003961,0.005187,0.006839,0.010153,0.017118,0.031818,0.061814", \
"0.004647,0.005728,0.007222,0.010355,0.017190,0.031831,0.061814", \
"0.006529,0.007643,0.009059,0.011869,0.018070,0.032101,0.061838", \
"0.009460,0.010695,0.012206,0.014895,0.020328,0.033141,0.062226");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.004459,0.006420,0.009274,0.015253,0.027687,0.052871,0.103320", \
"0.004459,0.006419,0.009276,0.015254,0.027687,0.052873,0.103301", \
"0.004459,0.006420,0.009278,0.015254,0.027686,0.052870,0.103300", \
"0.004499,0.006447,0.009293,0.015261,0.027687,0.052869,0.103304", \
"0.004921,0.006764,0.009518,0.015388,0.027717,0.052869,0.103309", \
"0.006113,0.007700,0.010117,0.015649,0.027851,0.052964,0.103300", \
"0.008430,0.010103,0.012108,0.016646,0.028077,0.053028,0.103456");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.463669,1.576740,1.659159,1.732729,1.769681,1.786905,1.793337", \
"1.424899,1.546405,1.632384,1.697436,1.738164,1.752759,1.764678", \
"1.404039,1.522567,1.607685,1.675103,1.711708,1.734928,1.744619", \
"1.390355,1.500164,1.585119,1.653780,1.695690,1.720439,1.730102", \
"1.362997,1.483446,1.573433,1.648653,1.693611,1.720173,1.737844", \
"1.293271,1.396013,1.507750,1.624384,1.712901,1.749052,1.769011", \
"1.327573,1.400704,1.492762,1.623391,1.735603,1.803253,1.859644");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.900828,0.935105,0.964904,0.999849,1.016588,0.965449,0.932897", \
"0.879193,0.929302,0.938846,0.983560,0.997533,1.003958,1.053454", \
"0.870067,0.910045,0.917801,0.969607,0.965333,0.879096,1.042797", \
"0.857619,0.889124,0.920414,0.909415,0.954892,0.902029,0.959036", \
"0.858181,0.896239,0.903747,0.927468,0.881466,0.849444,0.886873", \
"0.862847,0.924761,0.929524,0.957682,0.962865,0.892328,1.000621", \
"0.926054,0.995403,1.050484,1.062605,1.058976,1.013337,0.976820");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.626013,1.750792,1.843882,1.918489,1.965970,1.990394,2.007442", \
"1.607146,1.724584,1.821282,1.896184,1.944129,1.968737,1.985545", \
"1.592807,1.715301,1.800957,1.881568,1.926673,1.953093,1.969695", \
"1.590204,1.702910,1.787903,1.863297,1.912422,1.944739,1.963594", \
"1.576386,1.694790,1.783428,1.858665,1.906693,1.945455,1.963843", \
"1.504282,1.614051,1.729751,1.842339,1.930111,1.970752,1.995357", \
"1.537701,1.617076,1.710419,1.839981,1.945609,2.021962,2.081102");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.899612,0.937863,0.969041,0.963878,1.031780,0.941160,0.875121", \
"0.889370,0.925637,0.958136,0.995114,0.934539,0.959179,0.967651", \
"0.855819,0.913827,0.950777,0.982206,0.967863,1.003056,0.970275", \
"0.848359,0.905192,0.927405,0.909742,0.976769,0.979753,0.992742", \
"0.855150,0.887855,0.899325,0.941173,0.933119,0.945758,0.849785", \
"0.845409,0.875913,0.918777,0.926331,0.906284,0.890595,0.879805", \
"0.862073,0.914069,0.965816,0.960927,0.942993,0.949561,0.938820");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X4
Cell Description : Combinational cell (ISO_FENCE0N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 8520.384251;
leakage_power () {
when : "!A & !EN";
value : 7327.150500;
}
leakage_power () {
when : "!A & EN";
value : 11076.059500;
}
leakage_power () {
when : "A & !EN";
value : 6840.087505;
}
leakage_power () {
when : "A & EN";
value : 8838.239500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.945779;
fall_capacitance : 0.894030;
rise_capacitance : 0.997528;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.529910,0.530776,0.531562,0.532204,0.532651,0.532659,0.532358");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.126565,-0.126638,-0.126744,-0.126953,-0.127359,-0.128188,-0.129846");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.060160;
fall_capacitance : 1.035796;
rise_capacitance : 1.084525;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.495192,0.470723,0.465544,0.461751,0.458629,0.456075,0.453771");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.124857,-0.124938,-0.125041,-0.125248,-0.125661,-0.126488,-0.128141");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.020007,0.023161,0.026104,0.031165,0.040356,0.058129,0.093498", \
"0.022566,0.025710,0.028651,0.033713,0.042907,0.060682,0.096056", \
"0.026160,0.029293,0.032228,0.037290,0.046489,0.064269,0.099645", \
"0.033704,0.036824,0.039754,0.044822,0.054028,0.071822,0.107206", \
"0.046967,0.050467,0.053613,0.058839,0.068084,0.085854,0.121228", \
"0.065923,0.070257,0.074013,0.079929,0.089666,0.107534,0.142814", \
"0.092683,0.098164,0.102881,0.110066,0.120988,0.139316,0.174535");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.019701,0.023613,0.027594,0.035015,0.049383,0.077815,0.134485", \
"0.021858,0.025769,0.029745,0.037163,0.051529,0.079965,0.136639", \
"0.024795,0.028697,0.032661,0.040061,0.054423,0.082871,0.139556", \
"0.030666,0.034570,0.038518,0.045895,0.060240,0.088696,0.145407", \
"0.039288,0.043425,0.047429,0.054849,0.069189,0.097595,0.154299", \
"0.049873,0.054895,0.059176,0.066547,0.080743,0.109204,0.165874", \
"0.060915,0.067451,0.072862,0.080942,0.094797,0.122801,0.179415");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003533,0.005000,0.006656,0.010014,0.017071,0.031857,0.061885", \
"0.003536,0.005002,0.006659,0.010015,0.017071,0.031857,0.061882", \
"0.003539,0.005009,0.006665,0.010019,0.017072,0.031857,0.061882", \
"0.003589,0.005049,0.006695,0.010035,0.017076,0.031858,0.061885", \
"0.004669,0.005935,0.007386,0.010432,0.017203,0.031872,0.061885", \
"0.006712,0.008007,0.009374,0.012097,0.018214,0.032229,0.061916", \
"0.009860,0.011320,0.012781,0.015384,0.020640,0.033304,0.062356");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004124,0.006518,0.009399,0.015412,0.027864,0.053046,0.103462", \
"0.004125,0.006518,0.009399,0.015412,0.027863,0.053048,0.103470", \
"0.004127,0.006521,0.009404,0.015414,0.027865,0.053042,0.103472", \
"0.004283,0.006599,0.009447,0.015429,0.027866,0.053043,0.103466", \
"0.005217,0.007137,0.009808,0.015672,0.027939,0.053044,0.103465", \
"0.007156,0.008747,0.010750,0.015978,0.028138,0.053268,0.103472", \
"0.010193,0.012109,0.013815,0.017549,0.028400,0.053308,0.103808");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.022147,0.025349,0.028333,0.033452,0.042701,0.060523,0.095930", \
"0.024812,0.028012,0.030996,0.036114,0.045365,0.063188,0.098596", \
"0.028466,0.031658,0.034637,0.039755,0.049009,0.066833,0.102250", \
"0.036045,0.039223,0.042196,0.047313,0.056573,0.074411,0.109837", \
"0.050181,0.053616,0.056718,0.061914,0.071194,0.089022,0.124440", \
"0.070832,0.075063,0.078735,0.084552,0.094237,0.112138,0.147457", \
"0.100143,0.105466,0.110041,0.117044,0.127722,0.146010,0.181302");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.021121,0.025034,0.029016,0.036438,0.050806,0.079239,0.135910", \
"0.023471,0.027383,0.031363,0.038784,0.053154,0.081589,0.138258", \
"0.026208,0.030117,0.034089,0.041502,0.055869,0.084317,0.140985", \
"0.031450,0.035356,0.039318,0.046713,0.061074,0.089527,0.146217", \
"0.040126,0.044181,0.048199,0.055628,0.069980,0.098424,0.155128", \
"0.052289,0.056867,0.061051,0.068505,0.082807,0.111262,0.167954", \
"0.066528,0.072329,0.077283,0.085133,0.099304,0.127569,0.184206");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003655,0.005125,0.006777,0.010123,0.017156,0.031919,0.061932", \
"0.003654,0.005126,0.006779,0.010123,0.017157,0.031919,0.061934", \
"0.003657,0.005129,0.006782,0.010125,0.017157,0.031918,0.061935", \
"0.003673,0.005149,0.006798,0.010135,0.017160,0.031919,0.061934", \
"0.004459,0.005755,0.007237,0.010367,0.017237,0.031931,0.061937", \
"0.006357,0.007660,0.009053,0.011852,0.018096,0.032207,0.061962", \
"0.009286,0.010743,0.012208,0.014839,0.020263,0.033181,0.062356");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004126,0.006518,0.009399,0.015411,0.027864,0.053046,0.103469", \
"0.004126,0.006518,0.009400,0.015412,0.027863,0.053045,0.103464", \
"0.004123,0.006519,0.009400,0.015412,0.027864,0.053048,0.103465", \
"0.004187,0.006551,0.009420,0.015420,0.027865,0.053045,0.103470", \
"0.004630,0.006865,0.009644,0.015553,0.027897,0.053046,0.103468", \
"0.005868,0.007785,0.010219,0.015796,0.028029,0.053144,0.103465", \
"0.008186,0.010221,0.012203,0.016762,0.028244,0.053209,0.103635");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.706269,2.969294,3.112519,3.230458,3.301318,3.328897,3.344510", \
"2.640832,2.911560,3.050484,3.167325,3.238011,3.265840,3.288560", \
"2.603351,2.866764,3.003942,3.128033,3.188791,3.224146,3.251021", \
"2.590300,2.821913,2.959067,3.083943,3.159036,3.201736,3.229070", \
"2.499751,2.788615,2.944610,3.072717,3.148704,3.204665,3.239051", \
"2.410112,2.632779,2.819823,3.025528,3.189145,3.264595,3.303443", \
"2.501382,2.650125,2.808455,3.037981,3.230607,3.363468,3.485314");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.738762,1.876757,1.918030,1.953445,1.984946,2.039960,1.944774", \
"1.704798,1.845972,1.880240,1.927474,2.016060,2.033772,1.773231", \
"1.676410,1.811302,1.848088,1.910232,1.870331,1.895263,1.622416", \
"1.694500,1.784984,1.806675,1.859629,1.818015,1.922516,1.812693", \
"1.684686,1.768215,1.827144,1.847152,1.798690,1.922162,1.839481", \
"1.698229,1.862778,1.890440,1.904294,1.792679,1.978669,1.692933", \
"1.826685,1.963913,2.086258,2.126584,2.040091,2.109748,1.965835");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.026972,3.302924,3.466447,3.592582,3.673219,3.719153,3.750037", \
"2.989846,3.259636,3.417335,3.552081,3.627407,3.675435,3.708596", \
"2.964025,3.233577,3.383792,3.514955,3.604994,3.655523,3.689061", \
"2.947187,3.202693,3.357394,3.492536,3.571391,3.629823,3.673136", \
"2.910308,3.184530,3.334508,3.476303,3.573683,3.630802,3.681476", \
"2.791507,3.052471,3.239834,3.446037,3.601140,3.684435,3.738075", \
"2.890417,3.058198,3.228456,3.454068,3.643987,3.787851,3.905921");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.741549,1.863791,1.927210,1.989392,2.010857,2.046251,1.976404", \
"1.735028,1.844606,1.904662,1.922901,2.026038,2.029128,2.073749", \
"1.714471,1.837329,1.866673,1.917602,1.900863,1.988170,1.960382", \
"1.704741,1.802560,1.842406,1.913492,1.913236,1.992135,2.013022", \
"1.673841,1.784459,1.804761,1.849343,1.856038,1.905057,1.756404", \
"1.689761,1.795160,1.843303,1.870272,1.854474,1.943153,1.856627", \
"1.651062,1.852853,1.930904,1.944275,1.920126,1.802812,1.789499");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X1
Cell Description : Combinational cell (ISO_FENCE0_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1796.990904;
leakage_power () {
when : "!A & !EN";
value : 715.192300;
}
leakage_power () {
when : "!A & EN";
value : 1618.175945;
}
leakage_power () {
when : "A & !EN";
value : 1643.627300;
}
leakage_power () {
when : "A & EN";
value : 3210.968071;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.594315;
fall_capacitance : 0.586926;
rise_capacitance : 0.601703;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.079728,0.079697,0.079681,0.079665,0.079649,0.079651,0.079655");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.000048,-0.000048,-0.000048,-0.000049,-0.000049,-0.000050,-0.000052");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.609908;
fall_capacitance : 0.579132;
rise_capacitance : 0.640683;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.265612,0.266022,0.266365,0.266596,0.266819,0.267636,0.268228");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.006697,-0.006500,-0.006208,-0.005773,-0.005265,-0.004751,-0.004283");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 10.299700;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.005555,0.006559,0.008291,0.011285,0.016481,0.025517,0.041274", \
"0.007928,0.008954,0.010717,0.013745,0.018967,0.028031,0.043799", \
"0.010562,0.011891,0.013883,0.016911,0.022141,0.031215,0.046997", \
"0.013937,0.015845,0.018702,0.022826,0.028596,0.037635,0.053406", \
"0.018016,0.020785,0.024907,0.030829,0.039090,0.050378,0.066359", \
"0.022168,0.026247,0.032253,0.040860,0.052779,0.068935,0.090639", \
"0.024498,0.030488,0.039342,0.051992,0.069379,0.092820,0.123967");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.013057,0.015765,0.020424,0.028472,0.042417,0.066654,0.108859", \
"0.014861,0.017562,0.022249,0.030367,0.044413,0.068753,0.111059", \
"0.018056,0.020704,0.025325,0.033383,0.047413,0.071786,0.114134", \
"0.024583,0.027519,0.032025,0.039946,0.053842,0.078136,0.120473", \
"0.034046,0.038222,0.044509,0.053649,0.067357,0.091391,0.133537", \
"0.047229,0.053227,0.062179,0.075207,0.093503,0.118850,0.160464", \
"0.065905,0.074174,0.086820,0.105369,0.131551,0.167330,0.215721");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.002964,0.003793,0.005239,0.007762,0.012162,0.019841,0.033226", \
"0.003047,0.003793,0.005239,0.007762,0.012162,0.019842,0.033238", \
"0.004281,0.004808,0.005739,0.007836,0.012162,0.019839,0.033235", \
"0.006546,0.007246,0.008347,0.009998,0.012968,0.019841,0.033234", \
"0.010334,0.011284,0.012736,0.014938,0.018171,0.022918,0.033647", \
"0.016786,0.018077,0.020103,0.023062,0.027354,0.033506,0.042221", \
"0.027702,0.029594,0.032486,0.036700,0.042587,0.050857,0.062464");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.008510,0.010787,0.014764,0.021689,0.033762,0.054830,0.091577", \
"0.008507,0.010787,0.014757,0.021690,0.033766,0.054826,0.091550", \
"0.008465,0.010777,0.014754,0.021686,0.033765,0.054825,0.091556", \
"0.010530,0.011983,0.015107,0.021677,0.033757,0.054828,0.091577", \
"0.015595,0.017290,0.019911,0.024214,0.034125,0.054817,0.091567", \
"0.023412,0.025822,0.029451,0.034748,0.042423,0.057277,0.091529", \
"0.035151,0.038707,0.044064,0.051817,0.062536,0.077220,0.100624");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.006803,0.007865,0.009673,0.012754,0.018040,0.027164,0.042991", \
"0.009182,0.010247,0.012060,0.015151,0.020445,0.029576,0.045408", \
"0.012282,0.013445,0.015258,0.018336,0.023629,0.032759,0.048591", \
"0.016655,0.018307,0.020844,0.024618,0.030105,0.039206,0.055015", \
"0.022222,0.024595,0.028226,0.033596,0.041331,0.052147,0.068014", \
"0.028593,0.032078,0.037342,0.045110,0.056197,0.071629,0.092740", \
"0.034340,0.039345,0.047070,0.058430,0.074593,0.096911,0.127127");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.016313,0.018981,0.023598,0.031607,0.045518,0.069727,0.111920", \
"0.018992,0.021665,0.026306,0.034362,0.048340,0.072621,0.114883", \
"0.022655,0.025308,0.029924,0.037962,0.051942,0.076245,0.118534", \
"0.029479,0.032132,0.036727,0.044729,0.058680,0.082973,0.125280", \
"0.040426,0.043871,0.049344,0.057907,0.071852,0.096124,0.138414", \
"0.056491,0.061393,0.068914,0.080188,0.097042,0.122340,0.164684", \
"0.079154,0.086547,0.097667,0.113803,0.136765,0.169522,0.216922");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003878,0.004699,0.006135,0.008643,0.013030,0.020698,0.034088", \
"0.003843,0.004685,0.006131,0.008642,0.013028,0.020698,0.034088", \
"0.004581,0.005153,0.006313,0.008642,0.013033,0.020699,0.034088", \
"0.006755,0.007457,0.008553,0.010210,0.013528,0.020706,0.034088", \
"0.010296,0.011259,0.012763,0.015021,0.018293,0.023241,0.034403", \
"0.016219,0.017592,0.019718,0.022831,0.027281,0.033541,0.042331", \
"0.026048,0.028227,0.031375,0.035864,0.042066,0.050639,0.062459");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.008516,0.010785,0.014759,0.021694,0.033767,0.054829,0.091575", \
"0.008517,0.010786,0.014759,0.021693,0.033774,0.054820,0.091559", \
"0.008507,0.010783,0.014762,0.021687,0.033773,0.054830,0.091554", \
"0.008931,0.010971,0.014771,0.021685,0.033763,0.054818,0.091573", \
"0.011722,0.013678,0.016907,0.022603,0.033801,0.054821,0.091546", \
"0.017063,0.019296,0.022871,0.028724,0.038206,0.055969,0.091526", \
"0.026773,0.029564,0.033896,0.040549,0.051043,0.067884,0.096688");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("-0.000001,-0.000002,-0.000002,-0.000002,-0.000002,-0.000003,-0.000004", \
"-0.000005,-0.000006,-0.000006,-0.000006,-0.000006,-0.000007,-0.000008", \
"-0.000011,-0.000011,-0.000011,-0.000011,-0.000011,-0.000012,-0.000013", \
"-0.000021,-0.000021,-0.000021,-0.000021,-0.000022,-0.000022,-0.000023", \
"-0.000041,-0.000041,-0.000041,-0.000042,-0.000042,-0.000043,-0.000044", \
"-0.000081,-0.000082,-0.000082,-0.000082,-0.000083,-0.000084,-0.000085", \
"0.064276,0.053442,0.036426,0.016357,-0.000164,-0.000165,-0.000167");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.686418,0.696174,0.703845,0.709532,0.702838,0.694741,0.706108", \
"0.651273,0.668450,0.670277,0.671326,0.677235,0.688692,0.649868", \
"0.656918,0.662935,0.657445,0.654892,0.664665,0.662949,0.639061", \
"0.661128,0.653772,0.660177,0.661969,0.665111,0.601706,0.663491", \
"0.662387,0.681977,0.673472,0.658669,0.675112,0.663953,0.680565", \
"0.657428,0.670793,0.681423,0.689098,0.689166,0.621112,0.682987", \
"0.749720,0.742309,0.742931,0.737147,0.768244,0.722715,0.732132");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.024959,0.030020,0.035057,0.042130,0.046427,0.052903,0.057951", \
"0.005393,0.011917,0.021104,0.027312,0.034629,0.041955,0.047919", \
"-0.000023,0.001642,0.011905,0.018454,0.027358,0.036790,0.042594", \
"0.004403,0.002563,0.001562,0.010674,0.020875,0.030737,0.038659", \
"0.026964,0.025340,0.022209,0.018206,0.014394,0.026776,0.035348", \
"0.067931,0.065325,0.058980,0.053126,0.044606,0.035044,0.036905", \
"0.159069,0.150730,0.141562,0.127234,0.110709,0.090851,0.071909");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.906569,0.913480,0.919696,0.924897,0.925214,0.907901,0.933839", \
"0.897759,0.890837,0.898171,0.910242,0.919404,0.887151,0.893627", \
"0.892727,0.890035,0.895447,0.890088,0.877033,0.900668,0.896040", \
"0.878094,0.885816,0.891793,0.895568,0.874511,0.906453,0.890461", \
"0.875507,0.879132,0.884901,0.873030,0.892473,0.876149,0.903709", \
"0.819063,0.835166,0.855734,0.886182,0.873648,0.857778,0.870596", \
"0.805593,0.812702,0.824506,0.843712,0.837726,0.880083,0.866694");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X2
Cell Description : Combinational cell (ISO_FENCE0_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 3654.992948;
leakage_power () {
when : "!A & !EN";
value : 1453.804000;
}
leakage_power () {
when : "!A & EN";
value : 3290.285195;
}
leakage_power () {
when : "A & !EN";
value : 3344.128915;
}
leakage_power () {
when : "A & EN";
value : 6531.753680;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.022800;
fall_capacitance : 1.013518;
rise_capacitance : 1.032082;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.163063,0.163013,0.162997,0.162984,0.162934,0.162928,0.162940");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.000078,-0.000078,-0.000079,-0.000079,-0.000080,-0.000082,-0.000085");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.113176;
fall_capacitance : 1.057298;
rise_capacitance : 1.169054;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.547728,0.548385,0.548956,0.549440,0.549633,0.551050,0.552466");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.013502,-0.013127,-0.012570,-0.011751,-0.010757,-0.009734,-0.008789");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.362300;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004688,0.005360,0.006655,0.009158,0.014040,0.023615,0.042445", \
"0.007034,0.007718,0.009038,0.011584,0.016511,0.026119,0.044973", \
"0.009230,0.010247,0.011979,0.014747,0.019673,0.029296,0.048162", \
"0.012009,0.013460,0.015945,0.019929,0.026016,0.035705,0.054553", \
"0.015203,0.017310,0.020901,0.026636,0.035358,0.048157,0.067488", \
"0.018012,0.021122,0.026381,0.034750,0.047352,0.065718,0.091965", \
"0.018435,0.022971,0.030694,0.042979,0.061439,0.088109,0.125787");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.010456,0.012216,0.015618,0.022197,0.035007,0.060082,0.109366", \
"0.012298,0.014029,0.017420,0.024044,0.036960,0.062169,0.111563", \
"0.015581,0.017247,0.020558,0.027097,0.039961,0.065189,0.114649", \
"0.021400,0.023594,0.027357,0.033756,0.046449,0.071557,0.120994", \
"0.029455,0.032590,0.037960,0.046635,0.060085,0.084859,0.134063", \
"0.040697,0.045172,0.052787,0.065171,0.084225,0.112423,0.160982", \
"0.056823,0.062973,0.073580,0.091053,0.118179,0.158352,0.216194");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002224,0.002763,0.003825,0.005919,0.010045,0.018172,0.034177", \
"0.002472,0.002877,0.003825,0.005919,0.010045,0.018172,0.034183", \
"0.003745,0.004134,0.004822,0.006255,0.010044,0.018172,0.034183", \
"0.005823,0.006344,0.007262,0.008810,0.011408,0.018226,0.034182", \
"0.009326,0.010047,0.011286,0.013346,0.016680,0.021868,0.034505", \
"0.015314,0.016315,0.018051,0.020894,0.025354,0.032256,0.042777", \
"0.025515,0.026999,0.029539,0.033606,0.039800,0.049144,0.063199");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.006317,0.007769,0.010623,0.016243,0.027300,0.049069,0.091936", \
"0.006312,0.007764,0.010620,0.016239,0.027297,0.049070,0.091949", \
"0.006546,0.007783,0.010609,0.016239,0.027299,0.049063,0.091948", \
"0.009220,0.010132,0.011861,0.016412,0.027297,0.049069,0.091948", \
"0.013718,0.014966,0.017146,0.020793,0.028512,0.049058,0.091940", \
"0.020631,0.022465,0.025606,0.030640,0.038494,0.052734,0.091928", \
"0.031204,0.033835,0.038439,0.045860,0.057049,0.073482,0.100929");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.005909,0.006626,0.007994,0.010600,0.015596,0.025280,0.044206", \
"0.008287,0.008998,0.010368,0.012983,0.017991,0.027685,0.046616", \
"0.011212,0.012063,0.013565,0.016167,0.021167,0.030861,0.049793", \
"0.015135,0.016335,0.018455,0.022004,0.027642,0.037301,0.056204", \
"0.020054,0.021755,0.024798,0.029863,0.037879,0.050048,0.069177", \
"0.025448,0.027927,0.032354,0.039686,0.051214,0.068609,0.094067", \
"0.029562,0.033201,0.039692,0.050449,0.067308,0.092491,0.128984");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.013782,0.015497,0.018846,0.025369,0.038130,0.063172,0.112437", \
"0.016469,0.018176,0.021527,0.028087,0.040922,0.066058,0.115385", \
"0.020148,0.021840,0.025163,0.031692,0.044513,0.069675,0.119055", \
"0.026799,0.028627,0.031976,0.038477,0.051255,0.076390,0.125789", \
"0.036818,0.039251,0.043631,0.051265,0.064402,0.089523,0.138905", \
"0.051175,0.054779,0.061025,0.071416,0.088287,0.115664,0.165122", \
"0.070936,0.076412,0.085875,0.101229,0.124938,0.161059,0.217253");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.003159,0.003698,0.004752,0.006830,0.010937,0.019051,0.035061", \
"0.003131,0.003659,0.004739,0.006830,0.010937,0.019050,0.035062", \
"0.004080,0.004457,0.005186,0.006925,0.010940,0.019050,0.035063", \
"0.006032,0.006564,0.007483,0.009024,0.011832,0.019065,0.035060", \
"0.009242,0.009990,0.011271,0.013400,0.016782,0.022092,0.035272", \
"0.014613,0.015720,0.017569,0.020567,0.025223,0.032277,0.042886", \
"0.023596,0.025312,0.028122,0.032554,0.039165,0.048851,0.063166");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.006320,0.007766,0.010620,0.016240,0.027297,0.049066,0.091947", \
"0.006322,0.007771,0.010621,0.016240,0.027298,0.049067,0.091941", \
"0.006335,0.007770,0.010622,0.016239,0.027296,0.049066,0.091942", \
"0.007099,0.008307,0.010825,0.016243,0.027296,0.049066,0.091944", \
"0.009675,0.011018,0.013516,0.018061,0.027666,0.049060,0.091946", \
"0.014657,0.016219,0.019050,0.024090,0.033190,0.050841,0.091926", \
"0.023679,0.025726,0.029339,0.035336,0.045453,0.063289,0.097015");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("-0.000003,-0.000003,-0.000003,-0.000003,-0.000004,-0.000006,-0.000008", \
"-0.000011,-0.000011,-0.000011,-0.000012,-0.000012,-0.000014,-0.000017", \
"-0.000021,-0.000021,-0.000022,-0.000022,-0.000023,-0.000024,-0.000027", \
"-0.000042,-0.000042,-0.000042,-0.000043,-0.000044,-0.000045,-0.000048", \
"-0.000083,-0.000083,-0.000084,-0.000084,-0.000085,-0.000087,-0.000090", \
"-0.000165,-0.000166,-0.000166,-0.000167,-0.000168,-0.000170,-0.000173", \
"0.153155,0.135966,0.105449,0.058971,0.002628,-0.000336,-0.000340");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("1.286163,1.298849,1.316320,1.321199,1.292627,1.356255,1.318492", \
"1.219546,1.236342,1.250757,1.269200,1.251967,1.252014,1.343836", \
"1.217147,1.224432,1.236314,1.250103,1.247035,1.213249,1.320955", \
"1.227973,1.226127,1.230643,1.226004,1.229390,1.222499,1.284130", \
"1.194864,1.220537,1.275584,1.266817,1.259255,1.186125,1.227644", \
"1.218596,1.221786,1.240147,1.292021,1.329281,1.282857,1.138295", \
"1.413512,1.410879,1.408342,1.412058,1.414799,1.442531,1.339207");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.033113,0.044460,0.053238,0.069998,0.086330,0.097803,0.110667", \
"-0.000028,0.008109,0.021467,0.039244,0.056586,0.074837,0.089767", \
"-0.000044,-0.000046,-0.000050,0.019699,0.041698,0.064631,0.079866", \
"0.005074,0.003378,-0.000080,-0.000088,0.028191,0.050842,0.072905", \
"0.053101,0.049854,0.044595,0.036406,0.023301,0.041704,0.064859", \
"0.142832,0.134666,0.126083,0.110336,0.089063,0.064871,0.062510", \
"0.327395,0.321282,0.303585,0.272333,0.232343,0.182344,0.133825");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("1.743313,1.758344,1.767001,1.769500,1.742374,1.696600,1.788254", \
"1.710155,1.712944,1.719046,1.732474,1.752029,1.753052,1.653959", \
"1.693763,1.710375,1.715165,1.713191,1.743095,1.712669,1.716369", \
"1.690499,1.698152,1.696755,1.694294,1.724849,1.681145,1.751972", \
"1.638082,1.672109,1.697161,1.678337,1.647316,1.647821,1.691324", \
"1.524073,1.539938,1.596470,1.649927,1.672015,1.648401,1.595001", \
"1.530413,1.540291,1.548278,1.587923,1.623403,1.639104,1.640903");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X4
Cell Description : Combinational cell (ISO_FENCE0_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 7309.983078;
leakage_power () {
when : "!A & !EN";
value : 2907.598500;
}
leakage_power () {
when : "!A & EN";
value : 6580.567920;
}
leakage_power () {
when : "A & !EN";
value : 6688.242250;
}
leakage_power () {
when : "A & EN";
value : 13063.523644;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.911403;
fall_capacitance : 1.889405;
rise_capacitance : 1.933401;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.326184,0.326030,0.325986,0.325966,0.325880,0.325864,0.325865");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.000156,-0.000156,-0.000157,-0.000158,-0.000160,-0.000163,-0.000171");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.241182;
fall_capacitance : 2.116433;
rise_capacitance : 2.365931;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("1.095493,1.096719,1.097895,1.099065,1.099079,1.102123,1.105098");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.027006,-0.026253,-0.025140,-0.023487,-0.021529,-0.019467,-0.017576");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 42.724600;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.004350,0.005280,0.006520,0.008952,0.013760,0.023335,0.042451", \
"0.006642,0.007633,0.008898,0.011372,0.016227,0.025836,0.044976", \
"0.008659,0.010112,0.011797,0.014534,0.019387,0.029009,0.048166", \
"0.011178,0.013260,0.015672,0.019611,0.025697,0.035421,0.054557", \
"0.013983,0.017012,0.020502,0.026172,0.034890,0.047820,0.067493", \
"0.016217,0.020671,0.025789,0.034059,0.046684,0.065231,0.091961", \
"0.015787,0.022289,0.029784,0.041931,0.060443,0.087392,0.125784");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.009512,0.011937,0.015195,0.021589,0.034212,0.059299,0.109342", \
"0.011384,0.013752,0.016996,0.023430,0.036160,0.061388,0.111548", \
"0.014714,0.016981,0.020145,0.026490,0.039163,0.064401,0.114627", \
"0.020121,0.023254,0.026917,0.033163,0.045663,0.070776,0.120974", \
"0.027592,0.032083,0.037307,0.045892,0.059313,0.084088,0.134053", \
"0.038048,0.044384,0.051813,0.064074,0.083148,0.111656,0.160978", \
"0.053224,0.061890,0.072192,0.089451,0.116606,0.157205,0.216177");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.001929,0.002664,0.003679,0.005710,0.009773,0.017899,0.034153", \
"0.002277,0.002797,0.003682,0.005710,0.009773,0.017895,0.034153", \
"0.003507,0.004059,0.004730,0.006088,0.009773,0.017899,0.034151", \
"0.005503,0.006243,0.007137,0.008658,0.011220,0.017970,0.034151", \
"0.008890,0.009901,0.011108,0.013147,0.016459,0.021694,0.034476", \
"0.014652,0.016093,0.017790,0.020594,0.025060,0.032026,0.042748", \
"0.024516,0.026645,0.029131,0.033165,0.039384,0.048808,0.063138");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.005514,0.007501,0.010227,0.015679,0.026570,0.048339,0.091868", \
"0.005501,0.007496,0.010229,0.015679,0.026568,0.048339,0.091875", \
"0.005941,0.007546,0.010215,0.015677,0.026569,0.048338,0.091868", \
"0.008672,0.009982,0.011593,0.015914,0.026568,0.048338,0.091862", \
"0.012963,0.014742,0.016859,0.020463,0.027909,0.048336,0.091868", \
"0.019508,0.022123,0.025186,0.030171,0.037997,0.052179,0.091855", \
"0.029614,0.033330,0.037815,0.045167,0.056376,0.072958,0.100859");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.005510,0.006503,0.007817,0.010353,0.015282,0.024975,0.044200", \
"0.007896,0.008876,0.010191,0.012736,0.017678,0.027379,0.046610", \
"0.010716,0.011923,0.013379,0.015922,0.020855,0.030555,0.049787", \
"0.014439,0.016138,0.018199,0.021695,0.027323,0.036996,0.056198", \
"0.019051,0.021487,0.024431,0.029419,0.037425,0.049711,0.069167", \
"0.023995,0.027541,0.031826,0.039051,0.050573,0.068129,0.094059", \
"0.027416,0.032625,0.038915,0.049519,0.066370,0.091811,0.128978");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.012825,0.015185,0.018388,0.024726,0.037300,0.062353,0.112373", \
"0.015524,0.017865,0.021069,0.027439,0.040091,0.065235,0.115332", \
"0.019212,0.021530,0.024709,0.031045,0.043681,0.068841,0.118995", \
"0.025726,0.028294,0.031518,0.037830,0.050421,0.075564,0.125726", \
"0.035364,0.038793,0.043032,0.050530,0.063565,0.088695,0.138844", \
"0.049013,0.054102,0.060180,0.070429,0.087256,0.114820,0.165053", \
"0.067577,0.075385,0.084599,0.099806,0.123522,0.159962,0.217189");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002866,0.003601,0.004609,0.006625,0.010669,0.018784,0.035045", \
"0.002856,0.003559,0.004594,0.006623,0.010669,0.018784,0.035046", \
"0.003854,0.004389,0.005075,0.006738,0.010672,0.018784,0.035045", \
"0.005719,0.006466,0.007355,0.008880,0.011626,0.018804,0.035046", \
"0.008777,0.009832,0.011086,0.013191,0.016573,0.021912,0.035256", \
"0.013913,0.015473,0.017286,0.020263,0.024918,0.032048,0.042871", \
"0.022498,0.024919,0.027686,0.032098,0.038737,0.048544,0.063131");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.005523,0.007499,0.010228,0.015678,0.026569,0.048334,0.091870", \
"0.005527,0.007500,0.010229,0.015679,0.026569,0.048338,0.091867", \
"0.005541,0.007505,0.010228,0.015678,0.026570,0.048334,0.091869", \
"0.006454,0.008082,0.010469,0.015689,0.026567,0.048337,0.091863", \
"0.008890,0.010763,0.013175,0.017622,0.026998,0.048336,0.091864", \
"0.013687,0.015917,0.018657,0.023592,0.032605,0.050216,0.091856", \
"0.022417,0.025335,0.028847,0.034755,0.044794,0.062699,0.096964");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("-0.000005,-0.000006,-0.000006,-0.000007,-0.000008,-0.000011,-0.000017", \
"-0.000022,-0.000022,-0.000022,-0.000023,-0.000025,-0.000027,-0.000033", \
"-0.000043,-0.000043,-0.000043,-0.000044,-0.000045,-0.000048,-0.000054", \
"-0.000084,-0.000084,-0.000085,-0.000086,-0.000087,-0.000090,-0.000096", \
"-0.000166,-0.000167,-0.000167,-0.000169,-0.000170,-0.000173,-0.000179", \
"0.012302,-0.000331,-0.000332,-0.000334,-0.000336,-0.000340,-0.000346", \
"0.437717,0.387046,0.325375,0.230772,0.114389,-0.000671,-0.000679");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("2.426034,2.456428,2.494025,2.517820,2.514428,2.480404,2.554417", \
"2.298889,2.333161,2.373997,2.398396,2.393048,2.476244,2.553249", \
"2.314731,2.302925,2.332074,2.340531,2.404652,2.341011,2.510309", \
"2.339725,2.332800,2.329624,2.347165,2.285475,2.408477,2.436942", \
"2.231448,2.294746,2.393148,2.406791,2.358454,2.372239,2.361661", \
"2.292945,2.313059,2.363793,2.440734,2.498573,2.393712,2.363073", \
"2.703039,2.690950,2.677438,2.681521,2.673959,2.688350,2.662496");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.172022,0.190005,0.217742,0.242722,0.269090,0.303562,0.328165", \
"0.078140,0.114489,0.142646,0.177936,0.223187,0.249497,0.287319", \
"0.044020,0.070325,0.095970,0.139971,0.189698,0.235958,0.270577", \
"0.112119,0.110208,0.103127,0.107529,0.156734,0.210323,0.252904", \
"0.209156,0.206324,0.194129,0.175821,0.153130,0.185788,0.235312", \
"0.395914,0.376446,0.358846,0.325614,0.285105,0.234716,0.232269", \
"0.767297,0.749419,0.709916,0.654923,0.570446,0.469914,0.369296");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("3.369561,3.386672,3.392754,3.432764,3.445920,3.459693,3.446596", \
"3.292391,3.311927,3.315666,3.346783,3.367660,3.314399,3.377217", \
"3.250850,3.267249,3.300521,3.302234,3.282011,3.310613,3.160277", \
"3.244354,3.259817,3.252524,3.270964,3.256299,3.247362,3.374352", \
"3.116691,3.182337,3.271407,3.257772,3.265467,3.282317,3.288098", \
"2.894647,2.944681,3.008966,3.132410,3.203496,3.294516,3.243491", \
"2.924173,2.929602,2.940390,3.011672,3.133127,3.332842,3.267870");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X1
Cell Description : Combinational cell (ISO_FENCE1N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1644.094351;
leakage_power () {
when : "!A & !EN";
value : 362.268250;
}
leakage_power () {
when : "!A & EN";
value : 2293.611600;
}
leakage_power () {
when : "A & !EN";
value : 111.982513;
}
leakage_power () {
when : "A & EN";
value : 3808.515040;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.598844;
fall_capacitance : 0.571269;
rise_capacitance : 0.626418;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.272588,0.273333,0.273531,0.273446,0.273596,0.273497,0.273397");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.007967,-0.007969,-0.007976,-0.007987,-0.008005,-0.008049,-0.008142");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.598662;
fall_capacitance : 0.584257;
rise_capacitance : 0.613067;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.253232,0.241744,0.239020,0.236937,0.235209,0.233983,0.232946");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.006984,-0.006988,-0.006994,-0.007005,-0.007026,-0.007070,-0.007157");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.539400;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.007292,0.008968,0.012163,0.018280,0.030010,0.052559,0.095920", \
"0.009416,0.011118,0.014348,0.020503,0.032264,0.054835,0.098206", \
"0.012208,0.014080,0.017289,0.023441,0.035212,0.057795,0.101179", \
"0.015956,0.018592,0.022865,0.029486,0.041203,0.063769,0.107141", \
"0.020391,0.024185,0.030269,0.039580,0.053403,0.075874,0.119164", \
"0.024727,0.030215,0.039022,0.052376,0.071900,0.100023,0.143531", \
"0.026857,0.034760,0.047443,0.066764,0.094937,0.134846,0.191154");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.008616,0.010703,0.014641,0.022120,0.036388,0.063769,0.116394", \
"0.011136,0.013226,0.017209,0.024769,0.039134,0.066598,0.119274", \
"0.014844,0.016870,0.020789,0.028321,0.042717,0.070221,0.122946", \
"0.021276,0.024041,0.028373,0.035756,0.050072,0.077562,0.130329", \
"0.030492,0.034607,0.041095,0.050746,0.065214,0.092529,0.145216", \
"0.043677,0.049746,0.059390,0.073780,0.094286,0.123124,0.175410", \
"0.062708,0.071438,0.085499,0.106841,0.137373,0.179538,0.236942");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004207,0.005593,0.008258,0.013386,0.023248,0.042228,0.078744", \
"0.004205,0.005592,0.008258,0.013386,0.023249,0.042228,0.078732", \
"0.005138,0.006068,0.008320,0.013384,0.023249,0.042227,0.078737", \
"0.007639,0.008678,0.010455,0.014053,0.023248,0.042224,0.078736", \
"0.011756,0.013134,0.015436,0.019161,0.025552,0.042235,0.078732", \
"0.018565,0.020497,0.023630,0.028598,0.036191,0.048318,0.078958", \
"0.029687,0.032501,0.037056,0.044065,0.054352,0.069646,0.093103");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.005387,0.007167,0.010592,0.017172,0.029839,0.054219,0.101129", \
"0.005387,0.007166,0.010588,0.017177,0.029836,0.054211,0.101107", \
"0.005764,0.007234,0.010586,0.017171,0.029845,0.054215,0.101120", \
"0.008596,0.009528,0.011572,0.017191,0.029845,0.054223,0.101125", \
"0.013468,0.014889,0.017172,0.020723,0.030279,0.054225,0.101108", \
"0.021121,0.023328,0.026774,0.031922,0.039212,0.055935,0.101122", \
"0.032924,0.036317,0.041707,0.049636,0.060700,0.075739,0.105991");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.008720,0.010385,0.013570,0.019676,0.031401,0.053947,0.097312", \
"0.011035,0.012728,0.015945,0.022084,0.033840,0.056402,0.099771", \
"0.013735,0.015470,0.018709,0.024877,0.036656,0.059241,0.102624", \
"0.018038,0.020210,0.023931,0.030365,0.042209,0.064839,0.108254", \
"0.023987,0.027074,0.032141,0.040142,0.053110,0.075944,0.119450", \
"0.030923,0.035631,0.043168,0.054603,0.071566,0.097502,0.141685", \
"0.036917,0.044231,0.055918,0.073136,0.097865,0.132830,0.184347");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.010632,0.012691,0.016608,0.024076,0.038365,0.065778,0.118453", \
"0.013256,0.015339,0.019292,0.026807,0.041146,0.068589,0.121283", \
"0.016954,0.019011,0.022945,0.030454,0.044806,0.072275,0.124998", \
"0.024201,0.026582,0.030531,0.037959,0.052255,0.079715,0.132430", \
"0.034954,0.038519,0.044314,0.053243,0.067472,0.094772,0.147411", \
"0.050375,0.055672,0.064298,0.077613,0.097127,0.125437,0.177715", \
"0.072618,0.080253,0.092927,0.112655,0.141704,0.182674,0.239302");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004207,0.005592,0.008259,0.013387,0.023248,0.042227,0.078740", \
"0.004207,0.005592,0.008258,0.013385,0.023251,0.042223,0.078744", \
"0.004474,0.005714,0.008260,0.013384,0.023249,0.042226,0.078738", \
"0.005735,0.006891,0.009107,0.013629,0.023246,0.042221,0.078737", \
"0.008487,0.009762,0.011963,0.016007,0.024225,0.042234,0.078731", \
"0.013454,0.015115,0.017813,0.022215,0.029798,0.044996,0.078879", \
"0.022233,0.024500,0.028076,0.033747,0.042506,0.056980,0.085527");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.006335,0.008121,0.011554,0.018166,0.030881,0.055315,0.102317", \
"0.006337,0.008119,0.011554,0.018166,0.030875,0.055304,0.102297", \
"0.006346,0.008116,0.011554,0.018166,0.030875,0.055306,0.102309", \
"0.008323,0.009395,0.011999,0.018168,0.030874,0.055303,0.102295", \
"0.012995,0.014477,0.016837,0.020764,0.031124,0.055302,0.102269", \
"0.020356,0.022645,0.026221,0.031498,0.038983,0.056666,0.102260", \
"0.031690,0.035297,0.040908,0.049054,0.060274,0.075520,0.106678");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.053272,0.054312,0.058342,0.058594,0.060293,0.062390,0.062186", \
"0.031661,0.038716,0.044577,0.050232,0.054737,0.059708,0.061284", \
"0.019444,0.027061,0.034927,0.042367,0.050340,0.054333,0.059406", \
"0.022490,0.019414,0.024288,0.032075,0.041272,0.050361,0.056132", \
"0.044029,0.037742,0.032728,0.025380,0.034200,0.042380,0.051307", \
"0.084933,0.077793,0.066317,0.053836,0.039608,0.040579,0.047294", \
"0.182990,0.166527,0.146464,0.122261,0.093881,0.067038,0.055229");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.625240,0.620991,0.625429,0.624769,0.639588,0.622504,0.633492", \
"0.588360,0.581503,0.608882,0.622989,0.616806,0.648768,0.568042", \
"0.576142,0.572749,0.590420,0.602406,0.620216,0.620992,0.650647", \
"0.575989,0.575159,0.581862,0.578796,0.594064,0.576788,0.601437", \
"0.550933,0.570604,0.591487,0.579468,0.584181,0.607326,0.552324", \
"0.576808,0.574596,0.575545,0.600229,0.607828,0.569271,0.598979", \
"0.661718,0.664695,0.654361,0.645794,0.613525,0.622845,0.574355");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.053325,0.055107,0.058850,0.060147,0.061624,0.061723,0.062422", \
"0.037638,0.044588,0.049573,0.053381,0.056494,0.059369,0.061462", \
"0.028184,0.032547,0.039293,0.045831,0.052334,0.056312,0.059540", \
"0.023301,0.021412,0.027584,0.036515,0.044214,0.051518,0.056767", \
"0.032839,0.031238,0.028638,0.025313,0.035421,0.043549,0.052046", \
"0.047269,0.045097,0.042005,0.037412,0.030964,0.035162,0.043989", \
"0.086036,0.081051,0.073715,0.063293,0.053032,0.042961,0.040032");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.815049,0.815538,0.806085,0.801097,0.835942,0.721355,0.790880", \
"0.788795,0.783155,0.805266,0.810972,0.795509,0.820885,0.792687", \
"0.765835,0.779874,0.784592,0.805040,0.743121,0.736683,0.768335", \
"0.767705,0.773510,0.781775,0.783995,0.793777,0.794442,0.758925", \
"0.768131,0.777002,0.796752,0.782527,0.795600,0.735393,0.768523", \
"0.776171,0.785421,0.787769,0.790542,0.806851,0.742600,0.752363", \
"0.870231,0.870779,0.869342,0.852894,0.881694,0.845463,0.802728");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X2
Cell Description : Combinational cell (ISO_FENCE1N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 3383.762799;
leakage_power () {
when : "!A & !EN";
value : 745.077400;
}
leakage_power () {
when : "!A & EN";
value : 4721.376500;
}
leakage_power () {
when : "A & !EN";
value : 228.528304;
}
leakage_power () {
when : "A & EN";
value : 7840.068990;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.042053;
fall_capacitance : 0.986676;
rise_capacitance : 1.097429;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.565660,0.566566,0.566684,0.566593,0.566574,0.566575,0.566390");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.016156,-0.016159,-0.016167,-0.016188,-0.016235,-0.016322,-0.016502");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.083279;
fall_capacitance : 1.055183;
rise_capacitance : 1.111375;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.528604,0.502737,0.496836,0.492571,0.488977,0.485985,0.483605");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.014366,-0.014375,-0.014386,-0.014408,-0.014453,-0.014543,-0.014722");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 38.604700;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.006066,0.008156,0.011105,0.016957,0.028621,0.051917,0.098481", \
"0.008163,0.010283,0.013270,0.019166,0.030866,0.054184,0.100768", \
"0.010624,0.013184,0.016208,0.022099,0.033813,0.057144,0.103738", \
"0.013684,0.017303,0.021493,0.028151,0.039795,0.063109,0.109699", \
"0.017094,0.022313,0.028292,0.037681,0.051900,0.075211,0.121708", \
"0.019917,0.027479,0.036114,0.049606,0.069747,0.099267,0.146050", \
"0.019967,0.030779,0.043218,0.062733,0.091765,0.133710,0.193942");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.006916,0.009480,0.013050,0.020056,0.033940,0.061604,0.116860", \
"0.009464,0.011999,0.015601,0.022689,0.036679,0.064427,0.119749", \
"0.013128,0.015673,0.019195,0.026244,0.040252,0.068050,0.123422", \
"0.018652,0.022449,0.026720,0.033699,0.047606,0.075395,0.130800", \
"0.026548,0.032205,0.038573,0.048296,0.062780,0.090356,0.145686", \
"0.037840,0.046164,0.055593,0.070067,0.091111,0.120963,0.175873", \
"0.054415,0.066253,0.079911,0.101240,0.132537,0.176530,0.237331");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003158,0.004865,0.007317,0.012219,0.022020,0.041629,0.080848", \
"0.003217,0.004865,0.007317,0.012220,0.022021,0.041630,0.080842", \
"0.004465,0.005549,0.007472,0.012219,0.022022,0.041630,0.080847", \
"0.006733,0.008136,0.009848,0.013127,0.022022,0.041629,0.080843", \
"0.010508,0.012404,0.014651,0.018356,0.024683,0.041652,0.080840", \
"0.016723,0.019417,0.022551,0.027526,0.035309,0.047921,0.080988", \
"0.027063,0.030954,0.035461,0.042546,0.053152,0.069187,0.094488");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003952,0.006092,0.009169,0.015320,0.027625,0.052245,0.101500", \
"0.003950,0.006091,0.009170,0.015323,0.027631,0.052243,0.101493", \
"0.004839,0.006313,0.009167,0.015322,0.027634,0.052245,0.101481", \
"0.007660,0.008975,0.010614,0.015472,0.027631,0.052248,0.101489", \
"0.012038,0.014025,0.016254,0.019703,0.028372,0.052244,0.101481", \
"0.018850,0.021927,0.025357,0.030554,0.038050,0.054328,0.101498", \
"0.029581,0.034215,0.039545,0.047576,0.058917,0.074647,0.106307");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.007516,0.009585,0.012522,0.018364,0.030019,0.053309,0.099881", \
"0.009791,0.011900,0.014874,0.020757,0.032445,0.055756,0.102336", \
"0.012347,0.014611,0.017612,0.023523,0.035243,0.058578,0.105175", \
"0.016212,0.019104,0.022664,0.028963,0.040752,0.064144,0.110776", \
"0.021280,0.025486,0.030418,0.038394,0.051523,0.075181,0.121911", \
"0.026715,0.033190,0.040602,0.052121,0.069493,0.096559,0.144021", \
"0.030274,0.040417,0.051876,0.069357,0.094847,0.131475,0.186591");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.008938,0.011447,0.014984,0.021980,0.035875,0.063567,0.118876", \
"0.011549,0.014083,0.017657,0.024701,0.038647,0.066384,0.121715", \
"0.015279,0.017768,0.021313,0.028347,0.042305,0.070063,0.125416", \
"0.022005,0.025177,0.028925,0.035864,0.049755,0.077497,0.132861", \
"0.031670,0.036397,0.042005,0.050918,0.064991,0.092560,0.147846", \
"0.045489,0.052491,0.060815,0.074094,0.094039,0.123226,0.178123", \
"0.065387,0.075538,0.087728,0.107364,0.137019,0.179684,0.239661");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003158,0.004866,0.007317,0.012220,0.022024,0.041630,0.080847", \
"0.003161,0.004865,0.007317,0.012219,0.022024,0.041631,0.080850", \
"0.003584,0.005054,0.007337,0.012219,0.022024,0.041629,0.080846", \
"0.004817,0.006262,0.008311,0.012557,0.022023,0.041626,0.080842", \
"0.007363,0.009070,0.011170,0.015056,0.023150,0.041650,0.080838", \
"0.011961,0.014216,0.016853,0.021202,0.028797,0.044480,0.080955", \
"0.020214,0.023274,0.026808,0.032478,0.041400,0.056429,0.087235");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004882,0.007032,0.010120,0.016299,0.028650,0.053323,0.102636", \
"0.004882,0.007032,0.010121,0.016298,0.028648,0.053319,0.102631", \
"0.005056,0.007030,0.010120,0.016301,0.028647,0.053321,0.102638", \
"0.007351,0.008717,0.010843,0.016306,0.028649,0.053319,0.102634", \
"0.011501,0.013577,0.015911,0.019576,0.029082,0.053320,0.102641", \
"0.018013,0.021231,0.024796,0.030139,0.037810,0.054993,0.102615", \
"0.028033,0.033069,0.038662,0.046921,0.058513,0.074438,0.106983");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.094849,0.105036,0.109270,0.114235,0.116972,0.118738,0.121028", \
"0.045902,0.064596,0.081016,0.094524,0.106710,0.113614,0.117881", \
"0.019701,0.036625,0.055562,0.077116,0.094066,0.106572,0.114308", \
"0.044542,0.033814,0.034409,0.055035,0.075766,0.092952,0.107078", \
"0.089793,0.073981,0.060736,0.043339,0.059873,0.080198,0.096956", \
"0.185905,0.160485,0.135464,0.104647,0.074377,0.073680,0.088597", \
"0.396309,0.354801,0.307810,0.251373,0.189328,0.127111,0.105675");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.130672,1.157517,1.177223,1.175400,1.142962,1.129607,1.097963", \
"1.053551,1.085367,1.114145,1.118690,1.167558,1.181003,1.186635", \
"1.041219,1.042195,1.078538,1.078166,1.063512,1.124040,1.135495", \
"1.022526,1.058136,1.063718,1.064679,1.044865,1.024017,1.034216", \
"0.991315,1.009888,1.042972,1.058750,1.028257,1.099153,1.124227", \
"1.033967,1.049081,1.066375,1.088132,1.053087,1.067916,1.037135", \
"1.242873,1.232487,1.216425,1.192773,1.198444,1.138266,1.169001");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.103185,0.107588,0.113627,0.116093,0.118814,0.120787,0.120127", \
"0.058649,0.078608,0.090938,0.102070,0.109169,0.115233,0.117317", \
"0.037749,0.052769,0.067066,0.084200,0.098936,0.109247,0.114605", \
"0.044520,0.039413,0.045180,0.062635,0.082793,0.097124,0.108686", \
"0.060772,0.056347,0.050665,0.044539,0.060598,0.082122,0.098994", \
"0.092525,0.084040,0.078208,0.069581,0.055447,0.063588,0.084130", \
"0.181894,0.163936,0.147993,0.125877,0.105256,0.080191,0.076166");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.512523,1.540029,1.556237,1.565098,1.580112,1.493847,1.339484", \
"1.451577,1.480542,1.492044,1.519588,1.508208,1.488749,1.455432", \
"1.459914,1.453527,1.488181,1.499281,1.481776,1.445559,1.370726", \
"1.463083,1.470093,1.480724,1.491783,1.484697,1.547167,1.563319", \
"1.415086,1.452600,1.503612,1.490226,1.491458,1.405897,1.410054", \
"1.463469,1.465425,1.476519,1.514154,1.555634,1.533494,1.502725", \
"1.643403,1.649466,1.641957,1.654714,1.590063,1.561779,1.448795");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X4
Cell Description : Combinational cell (ISO_FENCE1N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 6767.531202;
leakage_power () {
when : "!A & !EN";
value : 1490.160500;
}
leakage_power () {
when : "!A & EN";
value : 9442.762500;
}
leakage_power () {
when : "A & !EN";
value : 457.064209;
}
leakage_power () {
when : "A & EN";
value : 15680.137600;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.915310;
fall_capacitance : 1.806645;
rise_capacitance : 2.023975;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("1.131300,1.133075,1.133398,1.133238,1.133098,1.133116,1.132806");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.032318,-0.032340,-0.032351,-0.032404,-0.032479,-0.032663,-0.033031");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.358113;
fall_capacitance : 2.307739;
rise_capacitance : 2.408488;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("1.056659,1.005444,0.993605,0.984906,0.977585,0.971848,0.967194");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.028731,-0.028749,-0.028771,-0.028816,-0.028906,-0.029085,-0.029444");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 77.209500;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.005749,0.008301,0.011251,0.017102,0.028765,0.052063,0.098635", \
"0.007845,0.010430,0.013417,0.019313,0.031012,0.054333,0.100922", \
"0.010182,0.013343,0.016355,0.022244,0.033955,0.057291,0.103889", \
"0.013052,0.017521,0.021676,0.028295,0.039940,0.063257,0.109851", \
"0.016164,0.022617,0.028545,0.037880,0.052053,0.075359,0.121866", \
"0.018560,0.027905,0.036473,0.049880,0.069964,0.099429,0.146205", \
"0.018019,0.031375,0.043714,0.063117,0.092054,0.133935,0.194114");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.006528,0.009673,0.013246,0.020261,0.034164,0.061867,0.117214", \
"0.009093,0.012192,0.015796,0.022894,0.036900,0.064698,0.120106", \
"0.012689,0.015859,0.019388,0.026450,0.040472,0.068321,0.123775", \
"0.017987,0.022691,0.026924,0.033902,0.047825,0.075661,0.131151", \
"0.025544,0.032551,0.038861,0.048536,0.062998,0.090622,0.146049", \
"0.036365,0.046638,0.055986,0.070390,0.091389,0.121226,0.176229", \
"0.052351,0.066895,0.080457,0.101684,0.132907,0.176860,0.237687");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002889,0.004968,0.007418,0.012320,0.022123,0.041725,0.080941", \
"0.002995,0.004966,0.007418,0.012320,0.022120,0.041727,0.080939", \
"0.004274,0.005617,0.007562,0.012320,0.022123,0.041727,0.080941", \
"0.006499,0.008211,0.009915,0.013204,0.022123,0.041729,0.080938", \
"0.010166,0.012499,0.014731,0.018427,0.024743,0.041750,0.080938", \
"0.016218,0.019541,0.022644,0.027597,0.035374,0.047985,0.081091", \
"0.026311,0.031134,0.035616,0.042608,0.053191,0.069247,0.094537");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003611,0.006224,0.009304,0.015469,0.027801,0.052459,0.101794", \
"0.003611,0.006224,0.009304,0.015466,0.027799,0.052459,0.101786", \
"0.004662,0.006421,0.009304,0.015470,0.027801,0.052455,0.101794", \
"0.007403,0.009032,0.010695,0.015598,0.027796,0.052461,0.101790", \
"0.011648,0.014107,0.016330,0.019772,0.028511,0.052457,0.101782", \
"0.018244,0.022051,0.025464,0.030634,0.038134,0.054501,0.101790", \
"0.028651,0.034394,0.039680,0.047672,0.058990,0.074730,0.106548");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.007191,0.009716,0.012652,0.018494,0.030152,0.053442,0.100018", \
"0.009456,0.012029,0.015004,0.020885,0.032574,0.055889,0.102473", \
"0.011963,0.014739,0.017740,0.023652,0.035373,0.058714,0.105312", \
"0.015693,0.019261,0.022804,0.029091,0.040880,0.064278,0.110914", \
"0.020509,0.025714,0.030607,0.038547,0.051658,0.075313,0.122053", \
"0.025505,0.033532,0.040878,0.052343,0.069668,0.096702,0.144169", \
"0.028366,0.040950,0.052318,0.069710,0.095117,0.131663,0.186749");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.008523,0.011588,0.015127,0.022127,0.036030,0.063741,0.119078", \
"0.011133,0.014228,0.017802,0.024850,0.038805,0.066555,0.121916", \
"0.014875,0.017912,0.021459,0.028496,0.042463,0.070236,0.125620", \
"0.021430,0.025347,0.029071,0.036014,0.049916,0.077673,0.133067", \
"0.030817,0.036658,0.042221,0.051092,0.065152,0.092741,0.148056", \
"0.044238,0.052881,0.061132,0.074348,0.094242,0.123408,0.178348", \
"0.063596,0.076107,0.088198,0.107746,0.137313,0.179920,0.239878");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002889,0.004967,0.007418,0.012319,0.022123,0.041729,0.080942", \
"0.002894,0.004967,0.007418,0.012320,0.022122,0.041729,0.080942", \
"0.003345,0.005145,0.007435,0.012320,0.022121,0.041726,0.080943", \
"0.004573,0.006344,0.008393,0.012651,0.022123,0.041725,0.080943", \
"0.007051,0.009155,0.011242,0.015128,0.023239,0.041749,0.080937", \
"0.011547,0.014327,0.016945,0.021278,0.028873,0.044565,0.081056", \
"0.019682,0.023426,0.026933,0.032563,0.041460,0.056480,0.087318");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004535,0.007152,0.010242,0.016428,0.028788,0.053482,0.102821", \
"0.004534,0.007151,0.010242,0.016427,0.028786,0.053480,0.102829", \
"0.004769,0.007149,0.010243,0.016427,0.028788,0.053481,0.102823", \
"0.007082,0.008776,0.010934,0.016431,0.028786,0.053480,0.102820", \
"0.011095,0.013657,0.015976,0.019644,0.029204,0.053481,0.102823", \
"0.017374,0.021349,0.024891,0.030214,0.037870,0.055117,0.102818", \
"0.027028,0.033263,0.038803,0.047028,0.058570,0.074496,0.107146");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.184174,0.208502,0.222383,0.229779,0.235243,0.239433,0.240082", \
"0.077600,0.131629,0.158360,0.188105,0.214674,0.227367,0.234803", \
"0.037525,0.081743,0.111185,0.152632,0.188507,0.213492,0.228099", \
"0.096930,0.068959,0.068424,0.110106,0.153274,0.187171,0.214282", \
"0.181894,0.151535,0.122330,0.087120,0.120451,0.159221,0.194647", \
"0.382935,0.317430,0.267728,0.207280,0.147677,0.145609,0.177105", \
"0.800249,0.705028,0.615738,0.499822,0.373976,0.257437,0.210925");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("2.330193,2.404022,2.426237,2.444815,2.453509,2.290085,2.289472", \
"2.167369,2.256889,2.307195,2.356646,2.371597,2.397398,2.332311", \
"2.148264,2.186303,2.209585,2.245130,2.310630,2.364272,2.229818", \
"2.128810,2.191652,2.167975,2.241853,2.220978,2.339212,2.039838", \
"2.011450,2.125256,2.230758,2.232581,2.200375,2.200812,2.209884", \
"2.142192,2.167673,2.222782,2.306025,2.284893,2.205897,2.037681", \
"2.564015,2.542673,2.525954,2.503975,2.421180,2.369358,2.307392");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.196302,0.219509,0.224697,0.232774,0.236533,0.240224,0.242733", \
"0.119560,0.155510,0.177592,0.199919,0.220434,0.228996,0.236450", \
"0.072979,0.111284,0.141166,0.170617,0.200230,0.218730,0.229131", \
"0.088215,0.077082,0.087009,0.128602,0.166354,0.197641,0.218200", \
"0.124075,0.114695,0.107073,0.087633,0.122526,0.165258,0.197763", \
"0.185396,0.172225,0.159123,0.136192,0.112295,0.129796,0.169710", \
"0.369644,0.331318,0.299338,0.255568,0.208077,0.159610,0.151556");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("3.115071,3.163328,3.191669,3.204963,3.184878,3.250694,3.231767", \
"3.012588,3.070103,3.076296,3.134093,3.130012,3.228185,3.262175", \
"2.960743,3.016837,3.026476,3.090695,3.110418,3.082915,2.891124", \
"2.996454,3.023077,3.034193,3.079203,3.058107,3.114582,3.116193", \
"2.911805,2.954986,3.052649,3.091326,3.051469,2.954095,2.923354", \
"2.976698,3.031846,3.076431,3.134057,3.147246,3.158678,3.210896", \
"3.362992,3.384726,3.386774,3.354516,3.405760,3.425970,3.070915");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X1
Cell Description : Combinational cell (ISO_FENCE1_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2470.820325;
leakage_power () {
when : "!A & !EN";
value : 2343.165500;
}
leakage_power () {
when : "!A & EN";
value : 1973.964150;
}
leakage_power () {
when : "A & !EN";
value : 1999.404200;
}
leakage_power () {
when : "A & EN";
value : 3566.747450;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.530662;
fall_capacitance : 0.520933;
rise_capacitance : 0.540390;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.080026,0.079836,0.079780,0.079741,0.079718,0.079706,0.079687");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.006027,-0.006031,-0.006036,-0.006046,-0.006067,-0.006107,-0.006189");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.622915;
fall_capacitance : 0.596395;
rise_capacitance : 0.649435;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.265700,0.265975,0.266323,0.266414,0.266963,0.267585,0.268203");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.012677,-0.012481,-0.012196,-0.011767,-0.011281,-0.010809,-0.010423");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 19.035300;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.026636,0.028660,0.032009,0.037545,0.047044,0.064334,0.097267", \
"0.028440,0.030462,0.033807,0.039341,0.048841,0.066132,0.099072", \
"0.031552,0.033571,0.036911,0.042444,0.051948,0.069246,0.102187", \
"0.038268,0.040281,0.043617,0.049155,0.058666,0.075974,0.108923", \
"0.050664,0.052819,0.056303,0.061982,0.071569,0.088895,0.121842", \
"0.068341,0.070910,0.074922,0.081101,0.091060,0.108698,0.141727", \
"0.092895,0.096102,0.101043,0.108362,0.119094,0.137064,0.170178");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.016060,0.018201,0.022236,0.029898,0.044548,0.072716,0.127051", \
"0.018445,0.020577,0.024601,0.032260,0.046927,0.075102,0.129441", \
"0.021555,0.023676,0.027677,0.035332,0.050007,0.078210,0.132568", \
"0.026944,0.029070,0.033054,0.040673,0.055338,0.083560,0.137937", \
"0.034303,0.036471,0.040438,0.048031,0.062688,0.090878,0.145274", \
"0.043635,0.046152,0.050253,0.057721,0.072218,0.100408,0.154791", \
"0.053607,0.056937,0.061990,0.069725,0.083792,0.111676,0.166003");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.004835,0.005826,0.007668,0.011161,0.018036,0.031963,0.059746", \
"0.004833,0.005831,0.007672,0.011163,0.018036,0.031960,0.059746", \
"0.004833,0.005835,0.007676,0.011166,0.018038,0.031961,0.059747", \
"0.004859,0.005859,0.007698,0.011180,0.018043,0.031962,0.059747", \
"0.005569,0.006487,0.008197,0.011504,0.018188,0.031999,0.059748", \
"0.007276,0.008147,0.009705,0.012722,0.019074,0.032562,0.059867", \
"0.009927,0.010908,0.012547,0.015346,0.020862,0.033307,0.060404");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.004545,0.006249,0.009653,0.016340,0.029295,0.054339,0.102750", \
"0.004547,0.006250,0.009655,0.016338,0.029298,0.054334,0.102736", \
"0.004554,0.006254,0.009657,0.016340,0.029301,0.054327,0.102717", \
"0.004727,0.006361,0.009688,0.016342,0.029300,0.054339,0.102714", \
"0.005245,0.006652,0.009826,0.016440,0.029340,0.054327,0.102737", \
"0.006749,0.007784,0.010309,0.016505,0.029402,0.054432,0.102721", \
"0.009518,0.010580,0.012452,0.017234,0.029482,0.054474,0.102896");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.029838,0.031864,0.035210,0.040746,0.050245,0.067537,0.100471", \
"0.032530,0.034553,0.037900,0.043436,0.052935,0.070228,0.103159", \
"0.036169,0.038191,0.041535,0.047070,0.056571,0.073863,0.106799", \
"0.042983,0.044998,0.048337,0.053874,0.063380,0.080676,0.113619", \
"0.055480,0.057560,0.060970,0.066562,0.076103,0.093412,0.126355", \
"0.074820,0.077163,0.080942,0.086973,0.096906,0.114459,0.147417", \
"0.103013,0.105830,0.110264,0.117128,0.127725,0.145782,0.178927");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.017840,0.019999,0.024050,0.031740,0.046436,0.074656,0.129020", \
"0.020212,0.022366,0.026410,0.034099,0.048795,0.077021,0.131396", \
"0.023389,0.025531,0.029561,0.037238,0.051938,0.080176,0.134564", \
"0.029342,0.031478,0.035486,0.043137,0.057831,0.086081,0.140489", \
"0.037816,0.039988,0.043982,0.051606,0.066283,0.094516,0.148940", \
"0.048802,0.051243,0.055317,0.062830,0.077391,0.105630,0.160028", \
"0.061242,0.064409,0.069237,0.076880,0.091028,0.119042,0.173424");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.004832,0.005828,0.007670,0.011161,0.018036,0.031962,0.059747", \
"0.004829,0.005830,0.007670,0.011162,0.018036,0.031962,0.059745", \
"0.004836,0.005828,0.007671,0.011163,0.018037,0.031962,0.059747", \
"0.004842,0.005835,0.007679,0.011167,0.018038,0.031963,0.059746", \
"0.005150,0.006107,0.007880,0.011288,0.018092,0.031977,0.059749", \
"0.006163,0.007140,0.008914,0.012240,0.018793,0.032294,0.059782", \
"0.008053,0.009064,0.010869,0.014105,0.020299,0.033139,0.060163");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.004656,0.006347,0.009733,0.016404,0.029357,0.054399,0.102773", \
"0.004655,0.006346,0.009734,0.016404,0.029357,0.054395,0.102780", \
"0.004656,0.006345,0.009734,0.016404,0.029350,0.054388,0.102788", \
"0.004755,0.006398,0.009750,0.016406,0.029352,0.054390,0.102790", \
"0.005183,0.006647,0.009861,0.016476,0.029383,0.054386,0.102782", \
"0.006450,0.007543,0.010223,0.016534,0.029444,0.054470,0.102789", \
"0.008903,0.009945,0.011911,0.017031,0.029492,0.054510,0.102925");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.178280,1.229548,1.294594,1.351893,1.380135,1.393315,1.399981", \
"1.147627,1.200741,1.264480,1.319553,1.352175,1.364065,1.369635", \
"1.138028,1.187168,1.252865,1.308284,1.338175,1.353111,1.359095", \
"1.129605,1.186229,1.246991,1.303007,1.333533,1.349358,1.356047", \
"1.124420,1.177846,1.247773,1.308618,1.342782,1.359802,1.368501", \
"1.073268,1.131442,1.214049,1.297702,1.371200,1.398975,1.407122", \
"1.101374,1.149606,1.223658,1.321933,1.397654,1.451640,1.509814");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.505386,0.506867,0.515075,0.523612,0.544257,0.547106,0.492801", \
"0.488746,0.494631,0.501343,0.501446,0.518163,0.522214,0.505983", \
"0.460617,0.473435,0.476305,0.486854,0.493751,0.502472,0.483698", \
"0.450547,0.450625,0.443573,0.470875,0.448400,0.424274,0.481271", \
"0.460407,0.461375,0.452527,0.442451,0.467252,0.457482,0.462079", \
"0.494555,0.509471,0.505055,0.488699,0.481541,0.469415,0.464663", \
"0.583235,0.595383,0.611810,0.598801,0.586125,0.556807,0.599172");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.399246,1.452839,1.516674,1.571733,1.600712,1.612197,1.619157", \
"1.378832,1.429268,1.496248,1.553013,1.581777,1.592312,1.598037", \
"1.371580,1.420898,1.486378,1.544201,1.574511,1.585236,1.591967", \
"1.363379,1.417019,1.478965,1.534941,1.566774,1.577923,1.585181", \
"1.346781,1.401025,1.467940,1.524105,1.557357,1.571832,1.579230", \
"1.248120,1.308049,1.387458,1.481305,1.548875,1.567214,1.575651", \
"1.169248,1.223838,1.309593,1.408790,1.483243,1.544270,1.591577");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.607749,0.611710,0.627351,0.649513,0.662221,0.651300,0.677622", \
"0.592750,0.598147,0.613849,0.629255,0.634714,0.631257,0.580679", \
"0.579738,0.573999,0.595228,0.600349,0.629613,0.624331,0.578337", \
"0.558990,0.559314,0.580236,0.573085,0.588733,0.619889,0.578950", \
"0.564105,0.571360,0.570067,0.575599,0.551670,0.603549,0.640224", \
"0.598958,0.618129,0.616378,0.611044,0.571501,0.596343,0.610927", \
"0.685785,0.692360,0.705180,0.707846,0.711633,0.648413,0.715249");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X2
Cell Description : Combinational cell (ISO_FENCE1_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 3167.469575;
leakage_power () {
when : "!A & !EN";
value : 4027.268500;
}
leakage_power () {
when : "!A & EN";
value : 2341.455500;
}
leakage_power () {
when : "A & !EN";
value : 2366.906950;
}
leakage_power () {
when : "A & EN";
value : 3934.247350;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.523829;
fall_capacitance : 0.516321;
rise_capacitance : 0.531336;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.080059,0.079857,0.079824,0.079763,0.079740,0.079725,0.079708");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.012202,-0.012210,-0.012220,-0.012241,-0.012282,-0.012364,-0.012528");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.621014;
fall_capacitance : 0.592148;
rise_capacitance : 0.649880;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.265847,0.266070,0.266367,0.266494,0.266777,0.267597,0.268209");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.018853,-0.018662,-0.018379,-0.017965,-0.017496,-0.017065,-0.016761");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.596600;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.031006,0.034218,0.037851,0.043819,0.053936,0.072275,0.107827", \
"0.032854,0.036063,0.039691,0.045658,0.055775,0.074115,0.109671", \
"0.035918,0.039123,0.042746,0.048711,0.058829,0.077171,0.112729", \
"0.042581,0.045777,0.049392,0.055348,0.065468,0.083816,0.119382", \
"0.055990,0.059255,0.062908,0.068894,0.079036,0.097395,0.132957", \
"0.076263,0.080169,0.084373,0.090929,0.101492,0.120132,0.155665", \
"0.104391,0.109234,0.114398,0.122191,0.133876,0.153005,0.188727");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.017023,0.019892,0.023649,0.030913,0.045230,0.073679,0.130411", \
"0.019445,0.022312,0.026061,0.033320,0.047642,0.076099,0.132835", \
"0.022604,0.025457,0.029186,0.036430,0.050753,0.079221,0.135975", \
"0.028616,0.031482,0.035190,0.042397,0.056700,0.085177,0.141966", \
"0.037185,0.040302,0.044050,0.051212,0.065465,0.093907,0.150696", \
"0.048000,0.051880,0.056002,0.063119,0.077195,0.105578,0.162315", \
"0.060082,0.065199,0.070497,0.078392,0.092124,0.120150,0.176770");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.005270,0.006613,0.008376,0.011754,0.018511,0.032663,0.062334", \
"0.005268,0.006616,0.008378,0.011755,0.018511,0.032663,0.062335", \
"0.005270,0.006619,0.008381,0.011759,0.018513,0.032664,0.062335", \
"0.005275,0.006627,0.008392,0.011770,0.018518,0.032665,0.062335", \
"0.005755,0.006969,0.008631,0.011916,0.018592,0.032688,0.062339", \
"0.007840,0.009003,0.010489,0.013407,0.019625,0.033185,0.062398", \
"0.011081,0.012365,0.013920,0.016652,0.022018,0.034471,0.063124");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.003737,0.005692,0.008662,0.014867,0.027470,0.052729,0.103235", \
"0.003740,0.005693,0.008664,0.014868,0.027472,0.052725,0.103236", \
"0.003742,0.005698,0.008665,0.014868,0.027472,0.052731,0.103229", \
"0.003975,0.005804,0.008702,0.014875,0.027472,0.052720,0.103240", \
"0.004941,0.006395,0.008998,0.014998,0.027506,0.052716,0.103236", \
"0.006787,0.008054,0.009982,0.015255,0.027603,0.052823,0.103236", \
"0.009736,0.011277,0.012986,0.016749,0.027820,0.052885,0.103401");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.034173,0.037385,0.041015,0.046984,0.057100,0.075440,0.110989", \
"0.036895,0.040105,0.043735,0.049704,0.059820,0.078159,0.113710", \
"0.040516,0.043724,0.047353,0.053319,0.063436,0.081775,0.117328", \
"0.047314,0.050519,0.054144,0.060108,0.070229,0.088570,0.124127", \
"0.060298,0.063506,0.067138,0.073110,0.083241,0.101593,0.137159", \
"0.081485,0.085049,0.089031,0.095418,0.105873,0.124424,0.159977", \
"0.113013,0.117244,0.121845,0.129040,0.140312,0.159483,0.195282");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.018821,0.021729,0.025505,0.032792,0.047143,0.075638,0.132420", \
"0.021202,0.024108,0.027882,0.035166,0.049519,0.078008,0.134798", \
"0.024385,0.027284,0.031046,0.038317,0.052665,0.081168,0.137967", \
"0.030699,0.033588,0.037331,0.044572,0.058908,0.087415,0.144232", \
"0.040260,0.043353,0.047120,0.054322,0.068607,0.097082,0.153907", \
"0.052566,0.056338,0.060399,0.067540,0.081662,0.110087,0.166867", \
"0.066965,0.071885,0.076986,0.084711,0.098381,0.126504,0.183190");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.005269,0.006615,0.008378,0.011754,0.018511,0.032664,0.062335", \
"0.005268,0.006616,0.008379,0.011754,0.018511,0.032664,0.062336", \
"0.005270,0.006615,0.008378,0.011755,0.018511,0.032664,0.062334", \
"0.005271,0.006618,0.008382,0.011760,0.018513,0.032664,0.062335", \
"0.005428,0.006727,0.008466,0.011813,0.018540,0.032672,0.062335", \
"0.006585,0.007881,0.009567,0.012785,0.019239,0.032953,0.062366", \
"0.008749,0.010052,0.011736,0.014871,0.021031,0.034115,0.062845");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.003866,0.005801,0.008750,0.014937,0.027528,0.052773,0.103292", \
"0.003864,0.005800,0.008752,0.014937,0.027526,0.052773,0.103286", \
"0.003869,0.005803,0.008753,0.014936,0.027529,0.052772,0.103286", \
"0.003976,0.005853,0.008772,0.014940,0.027530,0.052773,0.103282", \
"0.004820,0.006344,0.009008,0.015032,0.027552,0.052774,0.103286", \
"0.006503,0.007780,0.009825,0.015250,0.027641,0.052856,0.103292", \
"0.009181,0.010688,0.012419,0.016426,0.027809,0.052923,0.103420");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.396886,1.513139,1.618061,1.730896,1.804538,1.842183,1.858728", \
"1.366117,1.480713,1.590679,1.702128,1.780229,1.814343,1.827674", \
"1.358654,1.474929,1.578142,1.686127,1.765010,1.800311,1.814830", \
"1.355346,1.467250,1.565601,1.676775,1.753750,1.791313,1.812110", \
"1.364138,1.463820,1.569568,1.670423,1.752670,1.795023,1.819782", \
"1.325649,1.426214,1.534591,1.664672,1.774841,1.826201,1.850754", \
"1.368330,1.437095,1.522761,1.653947,1.792133,1.881598,1.943481");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.873202,0.899921,0.911286,0.954948,0.896349,0.945269,0.867851", \
"0.839693,0.894436,0.904669,0.909853,0.931815,0.960636,0.965955", \
"0.843592,0.873706,0.880504,0.922194,0.915533,0.864413,0.948304", \
"0.824764,0.842040,0.859620,0.872567,0.901082,0.868689,0.915245", \
"0.825719,0.838049,0.847358,0.856876,0.855282,0.884520,0.794350", \
"0.817247,0.868180,0.899978,0.901507,0.871177,0.847939,0.930930", \
"0.868571,0.947284,0.998095,1.005997,0.994426,0.960637,0.910203");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.615705,1.733072,1.835407,1.949411,2.022313,2.061332,2.075836", \
"1.600327,1.714394,1.817143,1.930874,2.006624,2.041426,2.058442", \
"1.589756,1.705599,1.810210,1.915352,1.994079,2.035023,2.048345", \
"1.582370,1.692079,1.800493,1.907745,1.984454,2.022100,2.040527", \
"1.571990,1.682769,1.787596,1.890487,1.974116,2.014159,2.035206", \
"1.495241,1.604492,1.719415,1.857819,1.958069,2.003714,2.022592", \
"1.432030,1.519607,1.620360,1.760100,1.886527,1.978342,2.033705");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.957968,1.006087,1.021855,1.067235,1.049799,1.085177,0.890298", \
"0.946641,0.991357,1.010179,1.025956,1.056535,1.092066,0.974172", \
"0.923160,0.979496,0.987495,1.022512,1.049292,1.014030,0.951495", \
"0.919116,0.963266,0.964101,1.003207,0.998475,0.966596,0.934772", \
"0.922722,0.949412,0.968473,0.986110,0.996724,1.007302,1.033483", \
"0.942971,0.999486,1.006976,1.018460,0.989242,0.978338,0.868546", \
"0.990909,1.042206,1.104985,1.111361,1.089321,1.104464,0.944162");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X4
Cell Description : Combinational cell (ISO_FENCE1_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 6086.072875;
leakage_power () {
when : "!A & !EN";
value : 7954.597000;
}
leakage_power () {
when : "!A & EN";
value : 4458.036500;
}
leakage_power () {
when : "A & !EN";
value : 4506.857000;
}
leakage_power () {
when : "A & EN";
value : 7424.801000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.925720;
fall_capacitance : 0.918488;
rise_capacitance : 0.932953;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.149147,0.148715,0.148557,0.148443,0.148408,0.148362,0.148344");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.024380,-0.024396,-0.024417,-0.024458,-0.024540,-0.024703,-0.025031");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.065629;
fall_capacitance : 1.013001;
rise_capacitance : 1.118258;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.498302,0.498504,0.498971,0.499503,0.499663,0.500764,0.502296");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.036611,-0.036283,-0.035792,-0.035080,-0.034251,-0.033472,-0.032954");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.029283,0.033104,0.036613,0.042435,0.052405,0.070625,0.106083", \
"0.031129,0.034946,0.038450,0.044271,0.054241,0.072463,0.107920", \
"0.034203,0.038017,0.041514,0.047331,0.057304,0.075527,0.110987", \
"0.040883,0.044679,0.048169,0.053983,0.063956,0.082188,0.117655", \
"0.054121,0.058043,0.061576,0.067421,0.077423,0.095666,0.131133", \
"0.073786,0.078449,0.082496,0.088893,0.099289,0.117801,0.153217", \
"0.101032,0.106803,0.111776,0.119348,0.130742,0.149682,0.185191");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.016144,0.019635,0.023382,0.030638,0.044934,0.073321,0.129958", \
"0.018554,0.022041,0.025780,0.033032,0.047332,0.075731,0.132367", \
"0.021707,0.025171,0.028892,0.036128,0.050430,0.078855,0.135508", \
"0.027609,0.031100,0.034792,0.041990,0.056269,0.084699,0.141382", \
"0.035870,0.039668,0.043378,0.050527,0.064763,0.093146,0.149828", \
"0.046199,0.050925,0.054957,0.062029,0.076070,0.104400,0.161038", \
"0.057572,0.063801,0.068967,0.076730,0.090393,0.118371,0.174884");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004994,0.006594,0.008334,0.011699,0.018472,0.032675,0.062346", \
"0.004993,0.006594,0.008334,0.011700,0.018473,0.032676,0.062348", \
"0.004998,0.006596,0.008337,0.011704,0.018474,0.032676,0.062347", \
"0.004999,0.006608,0.008352,0.011714,0.018479,0.032677,0.062348", \
"0.005624,0.007034,0.008646,0.011892,0.018567,0.032703,0.062349", \
"0.007767,0.009108,0.010543,0.013410,0.019613,0.033227,0.062414", \
"0.011090,0.012566,0.014048,0.016686,0.021979,0.034443,0.063138");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003466,0.005843,0.008831,0.015038,0.027621,0.052823,0.103241", \
"0.003467,0.005843,0.008832,0.015039,0.027619,0.052822,0.103243", \
"0.003472,0.005849,0.008834,0.015038,0.027619,0.052818,0.103233", \
"0.003755,0.005961,0.008875,0.015044,0.027618,0.052821,0.103237", \
"0.004781,0.006523,0.009157,0.015176,0.027660,0.052824,0.103239", \
"0.006665,0.008172,0.010109,0.015419,0.027759,0.052933,0.103244", \
"0.009643,0.011479,0.013134,0.016886,0.027983,0.053002,0.103413");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.032492,0.036311,0.039817,0.045640,0.055610,0.073829,0.109285", \
"0.035210,0.039027,0.042531,0.048354,0.058324,0.076544,0.112003", \
"0.038827,0.042641,0.046145,0.051965,0.061936,0.080157,0.115613", \
"0.045616,0.049427,0.052929,0.058748,0.068717,0.086943,0.122403", \
"0.058511,0.062346,0.065850,0.071680,0.081667,0.099902,0.135372", \
"0.079228,0.083497,0.087340,0.093575,0.103928,0.122363,0.157816", \
"0.109916,0.114969,0.119409,0.126432,0.137585,0.156625,0.192287");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.017942,0.021479,0.025247,0.032526,0.046859,0.075301,0.131985", \
"0.020317,0.023852,0.027616,0.034894,0.049228,0.077669,0.134354", \
"0.023496,0.027020,0.030773,0.038037,0.052365,0.080822,0.137519", \
"0.029762,0.033279,0.037008,0.044245,0.058561,0.087019,0.143741", \
"0.039067,0.042832,0.046568,0.053758,0.068022,0.096454,0.153176", \
"0.050964,0.055550,0.059525,0.066639,0.080739,0.109114,0.165801", \
"0.064657,0.070633,0.075603,0.083199,0.096933,0.125033,0.181602");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004993,0.006595,0.008333,0.011699,0.018473,0.032675,0.062348", \
"0.004993,0.006595,0.008334,0.011700,0.018473,0.032675,0.062348", \
"0.004996,0.006594,0.008335,0.011701,0.018473,0.032676,0.062348", \
"0.004995,0.006598,0.008341,0.011705,0.018475,0.032676,0.062348", \
"0.005213,0.006739,0.008442,0.011769,0.018506,0.032685,0.062348", \
"0.006405,0.007931,0.009582,0.012775,0.019233,0.032981,0.062379", \
"0.008663,0.010163,0.011792,0.014882,0.021005,0.034103,0.062856");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003600,0.005950,0.008920,0.015108,0.027676,0.052869,0.103287", \
"0.003598,0.005950,0.008921,0.015109,0.027675,0.052873,0.103289", \
"0.003598,0.005951,0.008922,0.015109,0.027676,0.052869,0.103282", \
"0.003740,0.006004,0.008943,0.015113,0.027679,0.052877,0.103287", \
"0.004636,0.006468,0.009166,0.015206,0.027707,0.052881,0.103296", \
"0.006338,0.007874,0.009939,0.015411,0.027795,0.052965,0.103309", \
"0.009026,0.010832,0.012518,0.016547,0.027966,0.053030,0.103431");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.517848,2.776787,2.951303,3.146467,3.274110,3.337905,3.367344", \
"2.462785,2.724711,2.904835,3.092062,3.226319,3.289477,3.320298", \
"2.453764,2.701479,2.868697,3.064397,3.200336,3.257492,3.292465", \
"2.434732,2.685764,2.850024,3.043226,3.179383,3.248978,3.284646", \
"2.449185,2.689071,2.859111,3.041957,3.173868,3.256912,3.292598", \
"2.402476,2.604884,2.786556,3.021911,3.215850,3.311391,3.357443", \
"2.521650,2.649557,2.792016,3.015177,3.247108,3.412279,3.527505");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.697093,1.803697,1.858937,1.905297,1.963142,1.921678,1.944156", \
"1.675636,1.788174,1.832593,1.832034,1.838721,1.952301,1.972591", \
"1.639009,1.730244,1.784642,1.806476,1.881052,1.920073,1.921148", \
"1.604992,1.701949,1.713387,1.744329,1.823732,1.721470,1.886686", \
"1.603910,1.691855,1.714993,1.688459,1.770924,1.802860,1.684116", \
"1.621996,1.760021,1.770580,1.758814,1.793374,1.747325,1.648259", \
"1.728612,1.880680,1.979871,1.947743,2.005441,1.963081,2.023516");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.922910,3.184899,3.366154,3.555752,3.691727,3.753027,3.781803", \
"2.889833,3.151153,3.332249,3.522136,3.656511,3.720921,3.749129", \
"2.888696,3.137499,3.314999,3.504688,3.639308,3.696600,3.728823", \
"2.860419,3.122637,3.295134,3.486194,3.621037,3.687021,3.718191", \
"2.852041,3.095754,3.273066,3.450103,3.591732,3.669291,3.698187", \
"2.704639,2.944363,3.141516,3.380406,3.563803,3.648309,3.686784", \
"2.608265,2.787510,2.960734,3.203946,3.426409,3.601683,3.705869");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.908235,1.981325,2.050979,2.088803,2.166788,2.152686,2.042148", \
"1.872505,1.949294,2.010963,2.031069,2.125596,2.115294,1.972935", \
"1.841250,1.923335,1.983358,1.998527,2.030772,2.137565,2.017897", \
"1.829224,1.906563,1.927578,1.969023,2.045512,2.101394,2.013385", \
"1.811900,1.902684,1.920965,1.926141,1.944982,2.017072,2.125433", \
"1.841920,1.978848,2.002770,1.996327,1.978690,2.052636,2.036803", \
"1.901605,2.077727,2.163805,2.164110,2.188751,2.056041,2.127976");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X1
Cell Description : Level-shifter cell (LS_HLEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_HLEN_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 904419.737613;
leakage_power () {
when : "!A & !ISOLN";
value : 903136.349600;
}
leakage_power () {
when : "!A & ISOLN";
value : 905045.377900;
}
leakage_power () {
when : "A & !ISOLN";
value : 903094.138353;
}
leakage_power () {
when : "A & ISOLN";
value : 906403.084600;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.499382;
fall_capacitance : 0.480283;
rise_capacitance : 0.518480;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.218540,0.216288,0.221046,0.217103,0.219322,0.221258,0.221680");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.008896,-0.006111,-0.006124,-0.006143,-0.006184,-0.006267,-0.006436");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.586935;
fall_capacitance : 0.583705;
rise_capacitance : 0.590165;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.196504,0.184351,0.189691,0.181059,0.181844,0.182913,0.186042");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.004979,-0.002196,-0.002206,-0.002224,-0.002260,-0.002333,-0.002480");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.847300;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.028940,0.030890,0.034036,0.039181,0.047936,0.063501,0.091866", \
"0.031879,0.033824,0.036967,0.042115,0.050871,0.066437,0.094810", \
"0.036216,0.038158,0.041302,0.046452,0.055213,0.070783,0.099156", \
"0.045408,0.047346,0.050491,0.055647,0.064418,0.079997,0.108372", \
"0.064322,0.066268,0.069422,0.074590,0.083373,0.098965,0.127360", \
"0.099209,0.101437,0.104895,0.110266,0.119107,0.134697,0.163055", \
"0.157330,0.160209,0.164477,0.170697,0.179828,0.195640,0.224072");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.022102,0.024969,0.030050,0.039160,0.055665,0.085731,0.140787", \
"0.024388,0.027242,0.032314,0.041422,0.057937,0.088036,0.143087", \
"0.027405,0.030244,0.035300,0.044403,0.060941,0.091048,0.146122", \
"0.032859,0.035703,0.040746,0.049836,0.066368,0.096494,0.151582", \
"0.040075,0.042956,0.048072,0.057295,0.073829,0.103975,0.159040", \
"0.048991,0.051886,0.056962,0.065992,0.082466,0.112819,0.167912", \
"0.057462,0.060755,0.065950,0.074867,0.090643,0.120463,0.175706");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.005179,0.006234,0.008157,0.011728,0.018543,0.031550,0.055823", \
"0.005181,0.006238,0.008156,0.011731,0.018542,0.031552,0.055827", \
"0.005186,0.006241,0.008160,0.011733,0.018543,0.031551,0.055820", \
"0.005202,0.006258,0.008172,0.011739,0.018544,0.031549,0.055819", \
"0.005280,0.006316,0.008215,0.011760,0.018553,0.031549,0.055825", \
"0.006595,0.007505,0.009185,0.012434,0.018865,0.031615,0.055820", \
"0.009423,0.010280,0.011786,0.014639,0.020439,0.032430,0.056113");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.007115,0.009411,0.013703,0.021716,0.036503,0.063622,0.113402", \
"0.007116,0.009411,0.013704,0.021715,0.036502,0.063627,0.113421", \
"0.007123,0.009416,0.013705,0.021720,0.036507,0.063615,0.113373", \
"0.007234,0.009475,0.013728,0.021721,0.036503,0.063612,0.113374", \
"0.007466,0.009721,0.014023,0.021961,0.036556,0.063621,0.113337", \
"0.008044,0.010035,0.014088,0.022005,0.036883,0.063903,0.113328", \
"0.010282,0.011677,0.014863,0.022164,0.036775,0.063991,0.113786");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.032981,0.034980,0.038197,0.043432,0.052279,0.067931,0.096372", \
"0.036145,0.038137,0.041357,0.046593,0.055442,0.071091,0.099534", \
"0.040581,0.042577,0.045795,0.051031,0.059883,0.075534,0.103980", \
"0.049809,0.051801,0.055014,0.060253,0.069110,0.084765,0.113215", \
"0.068688,0.070676,0.073889,0.079133,0.088001,0.103670,0.132133", \
"0.105322,0.107490,0.110881,0.116160,0.124974,0.140648,0.169099", \
"0.167074,0.169820,0.173913,0.179968,0.188994,0.204650,0.233132");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.023423,0.026289,0.031371,0.040485,0.056997,0.087070,0.142059", \
"0.025830,0.028692,0.033771,0.042888,0.059410,0.089493,0.144502", \
"0.028562,0.031411,0.036484,0.045595,0.062129,0.092217,0.147260", \
"0.033608,0.036454,0.041509,0.050615,0.067147,0.097265,0.152340", \
"0.041488,0.044364,0.049452,0.058607,0.075143,0.105297,0.160364", \
"0.052174,0.055091,0.060190,0.069272,0.085801,0.116034,0.171128", \
"0.063927,0.067131,0.072434,0.081470,0.097610,0.127672,0.182804");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.005391,0.006442,0.008354,0.011916,0.018704,0.031679,0.055944", \
"0.005390,0.006443,0.008354,0.011914,0.018704,0.031682,0.055936", \
"0.005393,0.006443,0.008357,0.011916,0.018706,0.031679,0.055933", \
"0.005398,0.006457,0.008365,0.011919,0.018707,0.031679,0.055932", \
"0.005428,0.006483,0.008386,0.011931,0.018714,0.031682,0.055934", \
"0.006291,0.007230,0.008956,0.012282,0.018855,0.031714,0.055936", \
"0.008808,0.009656,0.011206,0.014173,0.020157,0.032351,0.056135");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.007119,0.009408,0.013702,0.021715,0.036506,0.063631,0.113366", \
"0.007117,0.009411,0.013701,0.021720,0.036508,0.063632,0.113365", \
"0.007121,0.009411,0.013703,0.021717,0.036505,0.063614,0.113385", \
"0.007176,0.009444,0.013717,0.021719,0.036500,0.063618,0.113383", \
"0.007371,0.009620,0.013876,0.021821,0.036516,0.063627,0.113355", \
"0.007827,0.009906,0.013999,0.021894,0.036699,0.063724,0.113329", \
"0.009543,0.011151,0.014624,0.022076,0.036675,0.063828,0.113510");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.888409,0.909816,0.934400,0.950480,0.957705,0.962264,0.964939", \
"0.860025,0.880622,0.903630,0.918192,0.926830,0.932256,0.933982", \
"0.842299,0.861234,0.884139,0.901055,0.910137,0.914783,0.915679", \
"0.825307,0.849258,0.870837,0.885967,0.896280,0.901556,0.901792", \
"0.810835,0.835154,0.858229,0.874776,0.884653,0.891205,0.894003", \
"0.781397,0.812070,0.846815,0.866174,0.877982,0.884811,0.887494", \
"0.727976,0.762114,0.801521,0.839002,0.863396,0.886286,0.893289");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.404957,0.409571,0.419322,0.418917,0.430389,0.391178,0.373367", \
"0.398939,0.405046,0.414487,0.416163,0.402802,0.425143,0.399983", \
"0.393642,0.395051,0.404101,0.395591,0.413350,0.416574,0.417279", \
"0.369844,0.377267,0.388162,0.386066,0.394912,0.388416,0.386869", \
"0.368236,0.368342,0.376616,0.378559,0.379812,0.368995,0.366530", \
"0.372565,0.376448,0.381061,0.375055,0.351438,0.378308,0.386256", \
"0.386673,0.387362,0.392324,0.391197,0.380926,0.378927,0.347666");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.037776,1.062609,1.090325,1.110606,1.124667,1.134001,1.140182", \
"1.018933,1.041133,1.070150,1.090651,1.103510,1.111483,1.120006", \
"1.005262,1.032514,1.055703,1.077955,1.090749,1.100362,1.106185", \
"0.996526,1.018771,1.045440,1.066346,1.080140,1.089408,1.095579", \
"0.984798,1.006500,1.033561,1.054496,1.069958,1.079547,1.085769", \
"0.969994,0.995294,1.023376,1.047023,1.057191,1.070604,1.077922", \
"0.917354,0.946874,0.984994,1.024432,1.047834,1.066008,1.079096");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.407910,0.405837,0.415673,0.430130,0.419085,0.439283,0.428251", \
"0.401391,0.402552,0.414741,0.411938,0.415024,0.433354,0.421121", \
"0.393856,0.399881,0.409303,0.414983,0.419811,0.427296,0.380273", \
"0.382951,0.388767,0.397861,0.396682,0.409855,0.417635,0.385282", \
"0.377140,0.382613,0.384883,0.381939,0.397958,0.368212,0.403045", \
"0.380981,0.384950,0.388734,0.379904,0.370216,0.354249,0.394323", \
"0.383961,0.390423,0.386877,0.381679,0.390929,0.354561,0.371087");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X2
Cell Description : Level-shifter cell (LS_HLEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_HLEN_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 904848.088602;
leakage_power () {
when : "!A & !ISOLN";
value : 903660.128000;
}
leakage_power () {
when : "!A & ISOLN";
value : 905569.143500;
}
leakage_power () {
when : "A & !ISOLN";
value : 903617.903907;
}
leakage_power () {
when : "A & ISOLN";
value : 906545.179000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.547019;
fall_capacitance : 0.529428;
rise_capacitance : 0.564610;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.218713,0.216272,0.220873,0.216926,0.219198,0.221221,0.221781");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.017695,-0.014920,-0.014938,-0.014972,-0.015043,-0.015184,-0.015471");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.628056;
fall_capacitance : 0.626430;
rise_capacitance : 0.629681;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.196613,0.184293,0.189492,0.180931,0.181783,0.182879,0.185998");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.013780,-0.011003,-0.011019,-0.011052,-0.011118,-0.011250,-0.011513");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.915400;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.033138,0.035408,0.038551,0.043776,0.052780,0.069421,0.102015", \
"0.036149,0.038414,0.041558,0.046785,0.055792,0.072434,0.105027", \
"0.040459,0.042723,0.045862,0.051085,0.060094,0.076738,0.109335", \
"0.049600,0.051857,0.054982,0.060206,0.069219,0.085871,0.118471", \
"0.068499,0.070747,0.073874,0.079096,0.088119,0.104784,0.137399", \
"0.105190,0.107666,0.111012,0.116396,0.125453,0.142120,0.174724", \
"0.166995,0.170250,0.174459,0.180898,0.190649,0.207726,0.240289");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.022518,0.025271,0.029519,0.037535,0.053097,0.083871,0.145196", \
"0.024839,0.027588,0.031827,0.039836,0.055399,0.086187,0.147500", \
"0.027893,0.030628,0.034851,0.042842,0.058405,0.089213,0.150548", \
"0.033840,0.036573,0.040788,0.048762,0.064314,0.095140,0.156518", \
"0.042492,0.045280,0.049562,0.057641,0.073204,0.104003,0.165401", \
"0.053596,0.056539,0.060841,0.068813,0.084310,0.115308,0.176683", \
"0.064983,0.068686,0.073529,0.081584,0.096503,0.127032,0.188524");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.005445,0.006421,0.007983,0.011060,0.017353,0.030648,0.058294", \
"0.005444,0.006417,0.007986,0.011059,0.017353,0.030647,0.058297", \
"0.005448,0.006422,0.007989,0.011063,0.017354,0.030647,0.058295", \
"0.005453,0.006434,0.007998,0.011072,0.017357,0.030648,0.058299", \
"0.005488,0.006464,0.008028,0.011091,0.017365,0.030651,0.058299", \
"0.006671,0.007477,0.008822,0.011586,0.017585,0.030706,0.058298", \
"0.010049,0.010799,0.012019,0.014449,0.019716,0.031755,0.058519");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.005617,0.007523,0.010774,0.017475,0.031205,0.058853,0.114196", \
"0.005619,0.007522,0.010775,0.017474,0.031200,0.058852,0.114182", \
"0.005623,0.007528,0.010780,0.017477,0.031202,0.058847,0.114182", \
"0.005698,0.007583,0.010809,0.017486,0.031204,0.058861,0.114194", \
"0.006111,0.007926,0.011125,0.017736,0.031253,0.058857,0.114186", \
"0.007270,0.008695,0.011518,0.017900,0.031560,0.059117,0.114181", \
"0.010369,0.011404,0.013351,0.018606,0.031625,0.059212,0.114619");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.037056,0.039375,0.042582,0.047897,0.057011,0.073752,0.106433", \
"0.040270,0.042592,0.045798,0.051114,0.060229,0.076972,0.109649", \
"0.044722,0.047039,0.050243,0.055559,0.064675,0.081421,0.114102", \
"0.053932,0.056243,0.059442,0.064755,0.073873,0.090624,0.123306", \
"0.072788,0.075091,0.078279,0.083589,0.092715,0.109474,0.142169", \
"0.110428,0.112845,0.116112,0.121478,0.130556,0.147330,0.180036", \
"0.175386,0.178515,0.182583,0.188853,0.198424,0.215406,0.248034");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.023840,0.026590,0.030841,0.038859,0.054423,0.085194,0.146523", \
"0.026264,0.029014,0.033261,0.041278,0.056847,0.087630,0.148938", \
"0.029029,0.031773,0.036008,0.044014,0.059584,0.090386,0.151712", \
"0.034271,0.037010,0.041233,0.049223,0.064788,0.095606,0.156966", \
"0.042936,0.045722,0.049996,0.058035,0.073601,0.104423,0.165805", \
"0.055178,0.058104,0.062443,0.070468,0.086017,0.116910,0.178282", \
"0.069529,0.073002,0.077708,0.085855,0.101116,0.131712,0.193139");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.005661,0.006636,0.008198,0.011264,0.017539,0.030795,0.058415", \
"0.005665,0.006636,0.008199,0.011263,0.017537,0.030797,0.058415", \
"0.005663,0.006636,0.008199,0.011265,0.017538,0.030795,0.058413", \
"0.005664,0.006640,0.008207,0.011271,0.017541,0.030796,0.058413", \
"0.005679,0.006658,0.008225,0.011285,0.017546,0.030798,0.058412", \
"0.006355,0.007189,0.008599,0.011506,0.017650,0.030828,0.058419", \
"0.009442,0.010190,0.011436,0.013949,0.019377,0.031619,0.058555");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.005617,0.007522,0.010773,0.017477,0.031202,0.058854,0.114212", \
"0.005616,0.007522,0.010775,0.017477,0.031199,0.058858,0.114190", \
"0.005620,0.007524,0.010778,0.017477,0.031202,0.058843,0.114187", \
"0.005664,0.007554,0.010791,0.017484,0.031198,0.058852,0.114193", \
"0.005946,0.007798,0.010996,0.017615,0.031227,0.058844,0.114191", \
"0.006691,0.008345,0.011333,0.017783,0.031400,0.058961,0.114180", \
"0.008909,0.010182,0.012568,0.018330,0.031514,0.059065,0.114384");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.074737,1.124771,1.178588,1.228718,1.259333,1.275417,1.283137", \
"1.047961,1.096726,1.147735,1.200108,1.234639,1.248822,1.255958", \
"1.031956,1.078988,1.130269,1.181093,1.214483,1.232015,1.238657", \
"1.017649,1.063517,1.113311,1.161568,1.197069,1.215332,1.222286", \
"1.004207,1.048020,1.093110,1.144021,1.181295,1.201637,1.213174", \
"0.981732,1.024264,1.077245,1.130056,1.165547,1.188628,1.203162", \
"0.916769,0.956765,1.014675,1.087788,1.147533,1.186020,1.202364");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.637960,0.664700,0.665848,0.686360,0.695611,0.672363,0.727083", \
"0.632225,0.657319,0.665783,0.685922,0.681326,0.658569,0.641601", \
"0.626198,0.650960,0.654928,0.674089,0.660793,0.684490,0.632329", \
"0.621145,0.618130,0.648774,0.658900,0.654219,0.633923,0.687957", \
"0.596307,0.610227,0.623274,0.641900,0.651600,0.632725,0.692487", \
"0.607135,0.612153,0.610362,0.621865,0.634959,0.617270,0.607961", \
"0.595931,0.626135,0.631206,0.631673,0.627763,0.636129,0.619997");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.216863,1.266715,1.322285,1.380538,1.420933,1.441805,1.453321", \
"1.198121,1.253768,1.306528,1.362714,1.399818,1.423877,1.438637", \
"1.192804,1.237752,1.293687,1.349068,1.388512,1.411853,1.424743", \
"1.175709,1.225064,1.278932,1.333551,1.375980,1.399784,1.415004", \
"1.164483,1.212715,1.267176,1.320024,1.360168,1.387502,1.402826", \
"1.151861,1.201353,1.252273,1.307902,1.347569,1.376136,1.395239", \
"1.094955,1.144794,1.203793,1.274856,1.332356,1.365113,1.387748");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.646908,0.667328,0.684624,0.684425,0.713312,0.685066,0.690246", \
"0.645915,0.657621,0.663666,0.677753,0.698167,0.670141,0.602316", \
"0.632490,0.656924,0.657385,0.691255,0.679740,0.706305,0.604467", \
"0.630648,0.640125,0.660622,0.663188,0.651398,0.679514,0.698895", \
"0.622049,0.633252,0.634416,0.645335,0.650168,0.679187,0.694498", \
"0.613567,0.611601,0.634436,0.646175,0.648086,0.624521,0.575827", \
"0.594479,0.613251,0.636484,0.640146,0.643526,0.656576,0.642771");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X4
Cell Description : Level-shifter cell (LS_HLEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_HLEN_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 907106.661526;
leakage_power () {
when : "!A & !ISOLN";
value : 904812.000000;
}
leakage_power () {
when : "!A & ISOLN";
value : 908517.779500;
}
leakage_power () {
when : "A & !ISOLN";
value : 904729.172103;
}
leakage_power () {
when : "A & ISOLN";
value : 910367.694500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.915436;
fall_capacitance : 0.876137;
rise_capacitance : 0.954735;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.423404,0.421213,0.426112,0.422570,0.424876,0.427178,0.427361");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.041047,-0.038284,-0.038322,-0.038387,-0.038526,-0.038814,-0.039375");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.054307;
fall_capacitance : 1.048771;
rise_capacitance : 1.059842;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.382661,0.359973,0.363131,0.353278,0.353160,0.353149,0.355311");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("-0.033626,-0.030862,-0.030895,-0.030960,-0.031092,-0.031354,-0.031879");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.030794,0.033785,0.036804,0.041881,0.050738,0.067273,0.099780", \
"0.033797,0.036781,0.039800,0.044878,0.053738,0.070274,0.102776", \
"0.038116,0.041092,0.044106,0.049181,0.058044,0.074585,0.107088", \
"0.047283,0.050250,0.053256,0.058330,0.067201,0.083746,0.116263", \
"0.066192,0.069157,0.072155,0.077232,0.086113,0.102676,0.135212", \
"0.102296,0.105617,0.108857,0.114141,0.123049,0.139600,0.172124", \
"0.162735,0.167092,0.171156,0.177419,0.187004,0.203955,0.236410");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.021073,0.024792,0.029027,0.037045,0.052625,0.083443,0.144840", \
"0.023386,0.027100,0.031325,0.039334,0.054921,0.085751,0.147152", \
"0.026439,0.030131,0.034338,0.042331,0.057917,0.088769,0.150197", \
"0.032278,0.035971,0.040169,0.048142,0.063721,0.094588,0.156054", \
"0.040527,0.044290,0.048541,0.056624,0.072225,0.103068,0.164533", \
"0.050990,0.054962,0.059197,0.067156,0.082690,0.113732,0.175171", \
"0.061152,0.066186,0.070913,0.078889,0.093800,0.124375,0.185968");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005037,0.006324,0.007878,0.010955,0.017287,0.030641,0.058276", \
"0.005037,0.006325,0.007879,0.010955,0.017286,0.030641,0.058276", \
"0.005038,0.006329,0.007882,0.010958,0.017287,0.030641,0.058278", \
"0.005044,0.006338,0.007894,0.010966,0.017290,0.030641,0.058277", \
"0.005088,0.006377,0.007924,0.010986,0.017299,0.030642,0.058276", \
"0.006489,0.007543,0.008867,0.011596,0.017564,0.030704,0.058280", \
"0.009937,0.010915,0.012092,0.014470,0.019706,0.031769,0.058529");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005117,0.007687,0.010963,0.017697,0.031453,0.059131,0.114517", \
"0.005114,0.007685,0.010963,0.017698,0.031449,0.059124,0.114507", \
"0.005119,0.007692,0.010967,0.017700,0.031450,0.059132,0.114507", \
"0.005228,0.007750,0.010998,0.017709,0.031452,0.059127,0.114506", \
"0.005648,0.008089,0.011320,0.017982,0.031521,0.059125,0.114505", \
"0.006956,0.008821,0.011680,0.018118,0.031824,0.059431,0.114514", \
"0.010181,0.011527,0.013465,0.018786,0.031879,0.059507,0.114999");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.034680,0.037736,0.040814,0.045979,0.054943,0.071575,0.104160", \
"0.037888,0.040943,0.044023,0.049188,0.058153,0.074785,0.107372", \
"0.042338,0.045388,0.048461,0.053627,0.062594,0.079230,0.111819", \
"0.051570,0.054609,0.057680,0.062843,0.071813,0.088454,0.121046", \
"0.070428,0.073464,0.076535,0.081696,0.090675,0.107324,0.139927", \
"0.107736,0.110963,0.114129,0.119359,0.128311,0.144971,0.177557", \
"0.171428,0.175609,0.179523,0.185625,0.195045,0.211858,0.244381");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.022393,0.026112,0.030348,0.038367,0.053951,0.084769,0.146166", \
"0.024805,0.028524,0.032756,0.040774,0.056365,0.087190,0.148587", \
"0.027553,0.031257,0.035478,0.043487,0.059078,0.089915,0.151332", \
"0.032726,0.036424,0.040630,0.048621,0.064211,0.095077,0.156515", \
"0.041110,0.044887,0.049137,0.057181,0.072775,0.103634,0.165101", \
"0.052823,0.056789,0.061098,0.069122,0.084696,0.115641,0.177110", \
"0.066086,0.070831,0.075451,0.083597,0.098974,0.129724,0.191249");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005251,0.006539,0.008090,0.011156,0.017466,0.030784,0.058392", \
"0.005251,0.006541,0.008090,0.011157,0.017465,0.030785,0.058391", \
"0.005254,0.006541,0.008093,0.011158,0.017466,0.030786,0.058392", \
"0.005253,0.006546,0.008099,0.011164,0.017469,0.030786,0.058392", \
"0.005272,0.006568,0.008120,0.011177,0.017475,0.030786,0.058392", \
"0.006139,0.007223,0.008597,0.011456,0.017597,0.030817,0.058395", \
"0.009260,0.010246,0.011459,0.013932,0.019349,0.031635,0.058558");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005117,0.007686,0.010963,0.017696,0.031450,0.059132,0.114512", \
"0.005117,0.007687,0.010962,0.017697,0.031450,0.059132,0.114508", \
"0.005116,0.007689,0.010964,0.017698,0.031453,0.059130,0.114507", \
"0.005176,0.007720,0.010984,0.017703,0.031453,0.059122,0.114505", \
"0.005472,0.007961,0.011186,0.017848,0.031484,0.059125,0.114513", \
"0.006280,0.008484,0.011503,0.018000,0.031656,0.059260,0.114506", \
"0.008656,0.010300,0.012703,0.018519,0.031769,0.059349,0.114737");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.982862,2.109544,2.194800,2.283370,2.342798,2.370519,2.383614", \
"1.927068,2.055039,2.143659,2.230257,2.288247,2.318829,2.331110", \
"1.895837,2.023367,2.112216,2.193813,2.255880,2.283294,2.294598", \
"1.869469,1.987685,2.071808,2.162847,2.221584,2.252407,2.269740", \
"1.848381,1.956899,2.039726,2.123303,2.189741,2.226517,2.248635", \
"1.785055,1.917185,2.003882,2.094363,2.162074,2.205739,2.231571", \
"1.673462,1.790257,1.886549,2.010806,2.120918,2.196698,2.228432");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.252769,1.309320,1.325893,1.364667,1.382233,1.427518,1.421512", \
"1.241972,1.299535,1.324217,1.362547,1.368620,1.399880,1.254158", \
"1.228251,1.271096,1.301296,1.337802,1.359880,1.276488,1.235426", \
"1.206567,1.238266,1.277341,1.260758,1.298500,1.352696,1.216785", \
"1.187346,1.215734,1.241158,1.245622,1.279344,1.273555,1.095809", \
"1.171392,1.227173,1.221061,1.256900,1.235006,1.198481,1.251416", \
"1.151140,1.232405,1.263298,1.265693,1.237843,1.238831,1.051848");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.258112,2.383898,2.484871,2.577904,2.643870,2.687518,2.709127", \
"2.220911,2.345942,2.446776,2.542800,2.611830,2.651478,2.675393", \
"2.197459,2.323912,2.417662,2.521329,2.589370,2.627399,2.654980", \
"2.186856,2.311688,2.399768,2.490496,2.564668,2.605552,2.634719", \
"2.166126,2.277790,2.367312,2.461810,2.535549,2.584222,2.615572", \
"2.128329,2.248662,2.343210,2.434593,2.509530,2.559490,2.595040", \
"2.029536,2.144774,2.243525,2.370050,2.474403,2.543136,2.586862");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.262575,1.307982,1.347655,1.349177,1.418186,1.305584,1.349572", \
"1.248090,1.305888,1.313432,1.347433,1.388271,1.426237,1.178278", \
"1.223894,1.257694,1.308961,1.349295,1.359800,1.287480,1.182955", \
"1.223395,1.271931,1.285290,1.312039,1.309646,1.233808,1.211128", \
"1.190425,1.218009,1.264105,1.299040,1.319744,1.321290,1.376580", \
"1.185679,1.239333,1.253508,1.275917,1.291541,1.183186,1.172981", \
"1.152520,1.235821,1.253195,1.255063,1.247729,1.247815,1.064557");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X1
Cell Description : Level-shifter cell (LS_HL_X1) with drive strength X1
*******************************************************************************************/
cell (LS_HL_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 903694.288400;
leakage_power () {
when : "!A";
value : 903144.275200;
}
leakage_power () {
when : "A";
value : 904244.301600;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.550247;
fall_capacitance : 0.536688;
rise_capacitance : 0.563806;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.923600;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.025245,0.027105,0.030130,0.035151,0.043837,0.059454,0.088009", \
"0.028317,0.030176,0.033201,0.038222,0.046913,0.062534,0.091089", \
"0.032827,0.034680,0.037706,0.042733,0.051427,0.067053,0.095608", \
"0.042174,0.044024,0.047052,0.052084,0.060787,0.076425,0.104990", \
"0.061044,0.062913,0.065959,0.071009,0.079726,0.095370,0.123937", \
"0.094587,0.096787,0.100177,0.105477,0.114280,0.129911,0.158437", \
"0.150376,0.153209,0.157391,0.163499,0.172529,0.188247,0.216859");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.016995,0.019804,0.024845,0.033940,0.050474,0.080701,0.136084", \
"0.019429,0.022220,0.027257,0.036382,0.052945,0.083227,0.138563", \
"0.022550,0.025326,0.030354,0.039475,0.056083,0.086351,0.141734", \
"0.027385,0.030171,0.035179,0.044290,0.060898,0.091194,0.146606", \
"0.033786,0.036544,0.041548,0.050676,0.067290,0.097607,0.153032", \
"0.041701,0.044445,0.049322,0.058347,0.074870,0.105232,0.160689", \
"0.048972,0.052094,0.057064,0.065803,0.081652,0.111817,0.167265");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.004734,0.005790,0.007728,0.011369,0.018327,0.031519,0.056000", \
"0.004736,0.005791,0.007729,0.011369,0.018330,0.031524,0.056005", \
"0.004741,0.005799,0.007733,0.011371,0.018328,0.031520,0.055997", \
"0.004756,0.005810,0.007743,0.011375,0.018330,0.031525,0.056005", \
"0.004913,0.005922,0.007814,0.011413,0.018342,0.031524,0.055998", \
"0.006378,0.007247,0.008915,0.012190,0.018719,0.031590,0.055988", \
"0.009226,0.010048,0.011511,0.014324,0.020150,0.032341,0.056309");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.006453,0.008845,0.013262,0.021360,0.036234,0.063533,0.113642", \
"0.006456,0.008849,0.013259,0.021369,0.036234,0.063562,0.113592", \
"0.006456,0.008846,0.013261,0.021359,0.036242,0.063513,0.113596", \
"0.006565,0.008916,0.013273,0.021362,0.036240,0.063511,0.113591", \
"0.006672,0.008998,0.013390,0.021486,0.036279,0.063518,0.113546", \
"0.007240,0.009262,0.013453,0.021513,0.036414,0.063619,0.113570", \
"0.009517,0.010855,0.014113,0.021641,0.036425,0.063730,0.113753");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.795401,0.814802,0.832331,0.845940,0.852729,0.853827,0.856284", \
"0.770577,0.789361,0.806682,0.818626,0.827488,0.830825,0.831715", \
"0.760724,0.777094,0.796724,0.810556,0.817382,0.819990,0.820975", \
"0.750066,0.768479,0.787034,0.798537,0.806513,0.813302,0.815499", \
"0.740357,0.758602,0.776093,0.789067,0.800185,0.805955,0.807444", \
"0.703101,0.730039,0.762962,0.785552,0.793037,0.799714,0.802837", \
"0.656446,0.683255,0.718973,0.754411,0.775113,0.803080,0.809587");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.332777,0.350787,0.349947,0.349926,0.345048,0.366572,0.364114", \
"0.322969,0.328632,0.337238,0.346623,0.355572,0.355834,0.339340", \
"0.318778,0.315124,0.330546,0.334229,0.339658,0.311499,0.301538", \
"0.309196,0.303874,0.312113,0.316008,0.330037,0.308252,0.306890", \
"0.302845,0.303645,0.305793,0.305749,0.309479,0.304340,0.302347", \
"0.306588,0.310990,0.302442,0.303771,0.298118,0.272063,0.311412", \
"0.323235,0.319435,0.315998,0.313265,0.313226,0.310586,0.251886");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X2
Cell Description : Level-shifter cell (LS_HL_X2) with drive strength X2
*******************************************************************************************/
cell (LS_HL_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 904027.217600;
leakage_power () {
when : "!A";
value : 903668.040000;
}
leakage_power () {
when : "A";
value : 904386.395200;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.550905;
fall_capacitance : 0.538865;
rise_capacitance : 0.562944;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.991700;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.030056,0.032197,0.035190,0.040231,0.049064,0.065635,0.098290", \
"0.033166,0.035304,0.038295,0.043336,0.052171,0.068745,0.101404", \
"0.037640,0.039771,0.042757,0.047799,0.056637,0.073212,0.105868", \
"0.046929,0.049057,0.052040,0.057079,0.065926,0.082509,0.115174", \
"0.065937,0.068060,0.071036,0.076078,0.084934,0.101533,0.134212", \
"0.101941,0.104328,0.107573,0.112842,0.121734,0.138313,0.170963", \
"0.162100,0.165235,0.169315,0.175580,0.185124,0.202092,0.234679");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.017155,0.019682,0.023739,0.031624,0.047135,0.077936,0.139365", \
"0.019659,0.022171,0.026210,0.034092,0.049623,0.080442,0.141884", \
"0.022908,0.025399,0.029420,0.037295,0.052837,0.083687,0.145164", \
"0.028627,0.031121,0.035121,0.042965,0.058500,0.089376,0.150886", \
"0.036564,0.039065,0.043054,0.050892,0.066423,0.097272,0.158805", \
"0.046785,0.049455,0.053436,0.061135,0.076515,0.107399,0.168894", \
"0.057265,0.060718,0.065241,0.072911,0.087702,0.118257,0.179714");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.005178,0.006136,0.007691,0.010782,0.017166,0.030641,0.058453", \
"0.005179,0.006136,0.007692,0.010781,0.017166,0.030639,0.058454", \
"0.005181,0.006143,0.007696,0.010784,0.017167,0.030639,0.058454", \
"0.005190,0.006149,0.007706,0.010792,0.017169,0.030642,0.058458", \
"0.005238,0.006193,0.007740,0.010813,0.017177,0.030643,0.058454", \
"0.006662,0.007441,0.008750,0.011479,0.017467,0.030702,0.058460", \
"0.010097,0.010817,0.011980,0.014348,0.019592,0.031743,0.058703");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.004858,0.006837,0.010238,0.017141,0.030998,0.058724,0.114224", \
"0.004859,0.006839,0.010239,0.017142,0.030998,0.058726,0.114219", \
"0.004863,0.006840,0.010240,0.017142,0.031003,0.058728,0.114232", \
"0.004999,0.006921,0.010264,0.017141,0.031000,0.058726,0.114226", \
"0.005379,0.007166,0.010434,0.017279,0.031046,0.058741,0.114204", \
"0.006633,0.007977,0.010802,0.017377,0.031179,0.058865,0.114218", \
"0.009728,0.010703,0.012586,0.017962,0.031294,0.058966,0.114429");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.997409,1.043403,1.088534,1.135398,1.162394,1.177894,1.181703", \
"0.974104,1.019040,1.068978,1.112814,1.140243,1.154692,1.159108", \
"0.963984,1.008572,1.056508,1.099279,1.126522,1.142683,1.149509", \
"0.955436,0.997494,1.043796,1.085168,1.113900,1.132870,1.137386", \
"0.944535,0.983202,1.025624,1.071090,1.100771,1.122702,1.132519", \
"0.915033,0.962140,1.012542,1.057152,1.092100,1.110429,1.122813", \
"0.854768,0.897858,0.945555,1.014408,1.066765,1.107064,1.124432");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.622332,0.637706,0.640996,0.656183,0.646094,0.669038,0.555705", \
"0.596157,0.606493,0.638631,0.649157,0.624071,0.648635,0.609306", \
"0.603073,0.611416,0.622683,0.632980,0.640501,0.666295,0.592951", \
"0.576662,0.576969,0.604095,0.618004,0.595733,0.623600,0.588115", \
"0.582830,0.588711,0.592901,0.599249,0.620085,0.540617,0.645839", \
"0.581237,0.572187,0.596848,0.585270,0.585710,0.560592,0.493576", \
"0.553308,0.580993,0.593988,0.591542,0.603093,0.566124,0.604616");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X4
Cell Description : Level-shifter cell (LS_HL_X4) with drive strength X4
*******************************************************************************************/
cell (LS_HL_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 904772.507250;
leakage_power () {
when : "!A";
value : 904712.464000;
}
leakage_power () {
when : "A";
value : 904832.550500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.600057;
fall_capacitance : 0.588491;
rise_capacitance : 0.611623;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.038280,0.041672,0.045060,0.050651,0.060103,0.077159,0.110107", \
"0.041459,0.044848,0.048236,0.053827,0.063281,0.080337,0.113281", \
"0.045898,0.049284,0.052668,0.058256,0.067712,0.084772,0.117719", \
"0.055107,0.058492,0.061872,0.067459,0.076913,0.093978,0.126928", \
"0.073999,0.077350,0.080721,0.086304,0.095766,0.112842,0.145827", \
"0.111808,0.115266,0.118677,0.124286,0.133682,0.150762,0.183719", \
"0.177454,0.181929,0.186134,0.192651,0.202554,0.219879,0.252624");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.020374,0.023930,0.028053,0.035978,0.051507,0.082289,0.143660", \
"0.022900,0.026449,0.030562,0.038482,0.054020,0.084813,0.146198", \
"0.026179,0.029707,0.033804,0.041711,0.057253,0.088073,0.149472", \
"0.032561,0.036069,0.040150,0.048035,0.063568,0.094404,0.155844", \
"0.042264,0.045833,0.049916,0.057788,0.073263,0.104068,0.165524", \
"0.055145,0.059060,0.063177,0.070930,0.086252,0.117039,0.178412", \
"0.070075,0.075094,0.079815,0.087642,0.102276,0.132675,0.193972");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.006887,0.008185,0.009744,0.012803,0.019019,0.032146,0.059764", \
"0.006890,0.008185,0.009745,0.012803,0.019017,0.032147,0.059765", \
"0.006888,0.008187,0.009747,0.012805,0.019018,0.032147,0.059762", \
"0.006893,0.008192,0.009753,0.012810,0.019021,0.032147,0.059764", \
"0.006904,0.008212,0.009775,0.012827,0.019029,0.032149,0.059763", \
"0.007677,0.008745,0.010147,0.013056,0.019145,0.032186,0.059766", \
"0.011751,0.012650,0.013788,0.016147,0.021355,0.033211,0.059933");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005027,0.007579,0.010895,0.017702,0.031509,0.059184,0.114561", \
"0.005028,0.007580,0.010895,0.017702,0.031504,0.059190,0.114561", \
"0.005031,0.007586,0.010897,0.017703,0.031506,0.059179,0.114576", \
"0.005105,0.007619,0.010913,0.017708,0.031505,0.059186,0.114566", \
"0.005733,0.007994,0.011152,0.017822,0.031519,0.059185,0.114573", \
"0.007408,0.009080,0.011756,0.018078,0.031708,0.059290,0.114564", \
"0.010978,0.012241,0.014037,0.019045,0.031947,0.059454,0.114759");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.517317,1.611855,1.693104,1.782094,1.856087,1.896161,1.914537", \
"1.495974,1.587282,1.674341,1.760072,1.833048,1.870384,1.891240", \
"1.480084,1.573900,1.660757,1.745552,1.819376,1.857231,1.879997", \
"1.470496,1.559286,1.640070,1.728958,1.800589,1.842218,1.865158", \
"1.452626,1.542015,1.618990,1.704246,1.776971,1.827017,1.852390", \
"1.429953,1.523205,1.599364,1.681994,1.752893,1.803661,1.836948", \
"1.369864,1.454357,1.527175,1.636833,1.733539,1.791649,1.820568");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.196515,1.239994,1.262634,1.299251,1.298110,1.195206,1.108200", \
"1.192315,1.235291,1.251553,1.286892,1.278281,1.330264,1.219049", \
"1.171105,1.217365,1.223055,1.255353,1.254465,1.186295,1.194555", \
"1.133974,1.197278,1.220432,1.246200,1.251224,1.309701,1.324401", \
"1.117200,1.157949,1.185234,1.213164,1.177629,1.144607,1.263685", \
"1.100416,1.153525,1.172366,1.204540,1.171382,1.107334,1.010791", \
"1.069634,1.139562,1.188301,1.198672,1.217923,1.178632,1.117791");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X1
Cell Description : Level-shifter cell (LS_LHEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_LHEN_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 3408.566475;
leakage_power () {
when : "!A & !ISOLN";
value : 1777.234600;
}
leakage_power () {
when : "!A & ISOLN";
value : 3618.273900;
}
leakage_power () {
when : "A & !ISOLN";
value : 2490.330100;
}
leakage_power () {
when : "A & ISOLN";
value : 5748.427300;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.467236;
fall_capacitance : 0.438387;
rise_capacitance : 0.496085;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.815162,0.806175,0.799567,0.790989,0.782764,0.777449,0.774252");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.452003,0.445075,0.438648,0.429653,0.418019,0.412065,0.410547");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.979000;
fall_capacitance : 0.971341;
rise_capacitance : 0.986659;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.321020,0.312127,0.309304,0.306817,0.304933,0.303459,0.302068");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("2.343486,2.353808,2.322171,2.303685,2.409307,2.664826,3.150423");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.514900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("10.509030,10.511720,10.516570,10.520010,10.530660,10.558810,10.626320", \
"10.508200,10.510890,10.515740,10.520280,10.528140,10.557260,10.624820", \
"10.514090,10.516780,10.521620,10.526030,10.534500,10.563140,10.630640", \
"10.517230,10.519920,10.524770,10.526410,10.537200,10.561040,10.633550", \
"10.355470,10.358170,10.363020,10.367790,10.380140,10.405270,10.472780", \
"9.981397,9.984087,9.988935,9.988936,9.988937,10.008050,10.075570", \
"9.708129,9.710819,9.715667,9.715668,9.715669,9.715670,9.740216");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("13.298110,13.300500,13.304660,13.307090,13.316260,13.342860,13.398250", \
"13.295740,13.298020,13.302100,13.304720,13.314510,13.325360,13.381040", \
"13.076470,13.078750,13.082840,13.085810,13.092210,13.115580,13.172600", \
"12.598630,12.600910,12.605000,12.607300,12.612790,12.642100,12.697420", \
"12.063050,12.065330,12.069410,12.071280,12.080880,12.100290,12.155990", \
"11.588170,11.590450,11.594530,11.682920,11.689720,11.710910,11.766610", \
"11.135370,11.137650,11.141730,11.208780,11.319630,11.346430,11.402140");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.005660,0.007306,0.010719,0.017863,0.032466,0.061534,0.118890", \
"0.005661,0.007306,0.010718,0.017863,0.032466,0.061531,0.118890", \
"0.005657,0.007305,0.010718,0.017863,0.032471,0.061531,0.118885", \
"0.005660,0.007307,0.010718,0.017861,0.032470,0.061530,0.118900", \
"0.005654,0.007306,0.010719,0.017863,0.032470,0.061534,0.118888", \
"0.005662,0.007308,0.010719,0.017861,0.032466,0.061534,0.118891", \
"0.005663,0.007307,0.010719,0.017861,0.032466,0.061534,0.118885");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.005274,0.006724,0.009671,0.015798,0.028378,0.053518,0.103154", \
"0.005275,0.006724,0.009670,0.015798,0.028377,0.053511,0.103151", \
"0.005273,0.006721,0.009671,0.015800,0.028377,0.053522,0.103155", \
"0.005273,0.006724,0.009670,0.015799,0.028379,0.053522,0.103136", \
"0.005273,0.006721,0.009672,0.015798,0.028380,0.053515,0.103149", \
"0.005278,0.006720,0.009672,0.015797,0.028378,0.053511,0.103152", \
"0.005274,0.006723,0.009669,0.015798,0.028380,0.053522,0.103142");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.021324,0.023937,0.028708,0.037680,0.055098,0.089323,0.156789", \
"0.023965,0.026571,0.031341,0.040321,0.057744,0.091976,0.159429", \
"0.027591,0.030190,0.034954,0.043941,0.061386,0.095632,0.163103", \
"0.034987,0.037584,0.042350,0.051345,0.068809,0.103088,0.170574", \
"0.047244,0.050018,0.054940,0.063995,0.081443,0.115718,0.183222", \
"0.064570,0.067815,0.073210,0.082533,0.100076,0.134363,0.201809", \
"0.088568,0.092598,0.099054,0.109140,0.126898,0.161145,0.228664");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.022740,0.025024,0.029111,0.036631,0.051055,0.079337,0.135049", \
"0.024804,0.027084,0.031170,0.038687,0.053115,0.081399,0.137111", \
"0.027665,0.029939,0.034015,0.041531,0.055962,0.084258,0.139967", \
"0.033513,0.035788,0.039860,0.047370,0.061805,0.090111,0.145838", \
"0.042526,0.044928,0.049098,0.056701,0.071156,0.099441,0.155167", \
"0.054117,0.056947,0.061426,0.068948,0.083385,0.111792,0.167505", \
"0.067191,0.070878,0.076482,0.084470,0.098634,0.126803,0.182590");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.005184,0.006918,0.010464,0.017740,0.032418,0.061503,0.118865", \
"0.005187,0.006922,0.010467,0.017741,0.032418,0.061496,0.118868", \
"0.005194,0.006932,0.010471,0.017741,0.032417,0.061493,0.118864", \
"0.005272,0.006982,0.010494,0.017749,0.032419,0.061497,0.118867", \
"0.006052,0.007609,0.010889,0.017876,0.032425,0.061495,0.118862", \
"0.007669,0.009090,0.012005,0.018491,0.032690,0.061548,0.118836", \
"0.010355,0.011853,0.014557,0.020148,0.033241,0.061802,0.118968");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.005246,0.006694,0.009652,0.015790,0.028377,0.053515,0.103151", \
"0.005242,0.006697,0.009655,0.015792,0.028376,0.053518,0.103155", \
"0.005244,0.006700,0.009655,0.015792,0.028375,0.053518,0.103153", \
"0.005328,0.006755,0.009684,0.015800,0.028379,0.053517,0.103150", \
"0.005997,0.007257,0.010033,0.016021,0.028430,0.053515,0.103143", \
"0.007660,0.008641,0.010836,0.016303,0.028641,0.053714,0.103138", \
"0.010555,0.011624,0.013449,0.017612,0.028836,0.053751,0.103477");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("343.592165,343.631570,343.659955,343.503461,343.304147,343.125142,343.137607", \
"343.458530,343.492152,343.521451,343.400572,343.114397,342.964804,342.977868", \
"343.508545,343.541354,343.570047,343.445893,343.178120,343.012544,343.026811", \
"343.323131,343.356449,343.385543,343.169720,342.978564,342.657358,342.831352", \
"337.431905,337.466436,337.498480,337.384413,337.237401,336.961723,336.974695", \
"324.145401,324.178111,324.206302,323.357108,323.169017,322.914887,322.924551", \
"313.581467,313.614381,313.643280,310.073758,310.477778,310.294841,310.322528");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("432.439277,432.477832,432.490584,432.309014,432.194828,432.069423,432.137303", \
"432.269766,432.296918,432.309571,432.170544,432.009400,431.437540,431.392129", \
"424.952550,424.978801,424.997155,424.860632,424.604892,424.410965,424.453199", \
"409.028842,409.050991,409.070145,408.911518,408.640219,408.668602,408.644301", \
"390.970201,390.993351,391.003103,390.842734,390.687309,390.380035,390.355432", \
"374.606183,374.636537,374.632486,377.327716,377.076000,376.820278,376.754474", \
"358.581130,358.586173,358.616531,360.596507,363.768025,363.727615,363.703113");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.256958,1.280663,1.296634,1.307166,1.314522,1.318524,1.322248", \
"1.225449,1.248273,1.264309,1.275290,1.283227,1.286256,1.289947", \
"1.209489,1.226851,1.241742,1.254960,1.264109,1.270092,1.271635", \
"1.191196,1.211906,1.227439,1.239014,1.250599,1.258215,1.263007", \
"1.171886,1.209372,1.230773,1.241381,1.253086,1.263078,1.270289", \
"1.114605,1.164650,1.211686,1.254561,1.285529,1.296518,1.305329", \
"1.121130,1.175182,1.243567,1.286180,1.328625,1.389822,1.398143");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.764350,0.795011,0.792564,0.815150,0.792212,0.802044,0.777290", \
"0.752146,0.773852,0.781771,0.795848,0.811351,0.751410,0.676317", \
"0.720818,0.760756,0.770599,0.785060,0.786992,0.756237,0.671995", \
"0.713996,0.736779,0.759230,0.764683,0.773354,0.726490,0.789255", \
"0.727226,0.731676,0.739641,0.725503,0.764640,0.760423,0.738400", \
"0.762634,0.780458,0.790720,0.768544,0.749565,0.733522,0.804168", \
"0.821672,0.849906,0.870481,0.885623,0.878888,0.839482,0.802316");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X2
Cell Description : Level-shifter cell (LS_LHEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_LHEN_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4114.303075;
leakage_power () {
when : "!A & !ISOLN";
value : 2743.282400;
}
leakage_power () {
when : "!A & ISOLN";
value : 4602.851500;
}
leakage_power () {
when : "A & !ISOLN";
value : 3396.218500;
}
leakage_power () {
when : "A & ISOLN";
value : 5714.859900;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.416567;
fall_capacitance : 0.405062;
rise_capacitance : 0.428072;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.743403,0.735671,0.729839,0.723523,0.716538,0.712733,0.707979");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.410977,0.406951,0.403115,0.396765,0.385803,0.379662,0.376588");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.847534;
fall_capacitance : 0.856695;
rise_capacitance : 0.838373;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.204962,0.196206,0.193619,0.191333,0.189699,0.188547,0.187502");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("2.236029,2.252397,2.204271,2.176259,2.322210,2.611422,3.086608");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.405900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("10.249760,10.251990,10.255430,10.260820,10.265010,10.274590,10.314610", \
"10.240880,10.243100,10.246540,10.251940,10.255520,10.271450,10.301940", \
"10.249250,10.251470,10.254920,10.260300,10.262070,10.275880,10.311020", \
"10.254200,10.256420,10.259860,10.265190,10.270750,10.278860,10.320170", \
"10.220710,10.222930,10.226370,10.231490,10.234690,10.251250,10.282860", \
"9.908820,9.911039,9.914480,9.942795,9.945225,9.959133,9.989210", \
"9.661074,9.663295,9.666737,9.666738,9.685718,9.724626,9.754208");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("12.870380,12.872780,12.876770,12.883740,12.891120,12.912680,12.970110", \
"12.830380,12.832780,12.836770,12.843690,12.851980,12.870580,12.929760", \
"12.585700,12.588100,12.592090,12.599150,12.607840,12.630610,12.685920", \
"12.097020,12.097021,12.103410,12.110260,12.119020,12.119021,12.184380", \
"11.532070,11.534460,11.538460,11.544980,11.553070,11.571500,11.631270", \
"11.068820,11.071210,11.075210,11.078520,11.205960,11.230710,11.278200", \
"10.598970,10.601370,10.605370,10.614350,10.744930,10.829390,10.884740");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.005027,0.006034,0.007823,0.011415,0.019004,0.035147,0.068213", \
"0.005026,0.006033,0.007826,0.011416,0.019004,0.035145,0.068215", \
"0.005028,0.006034,0.007823,0.011416,0.019005,0.035145,0.068213", \
"0.005030,0.006037,0.007823,0.011416,0.019004,0.035145,0.068216", \
"0.005027,0.006032,0.007823,0.011415,0.019005,0.035145,0.068219", \
"0.005027,0.006032,0.007823,0.011415,0.019004,0.035147,0.068215", \
"0.005029,0.006037,0.007823,0.011415,0.019004,0.035145,0.068219");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.005132,0.006617,0.009443,0.015379,0.027774,0.052921,0.103303", \
"0.005133,0.006617,0.009442,0.015379,0.027770,0.052918,0.103309", \
"0.005131,0.006617,0.009442,0.015380,0.027775,0.052919,0.103294", \
"0.005136,0.006616,0.009443,0.015381,0.027771,0.052921,0.103311", \
"0.005131,0.006616,0.009443,0.015379,0.027771,0.052919,0.103304", \
"0.005136,0.006618,0.009443,0.015379,0.027774,0.052920,0.103299", \
"0.005131,0.006616,0.009444,0.015379,0.027775,0.052922,0.103307");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.025129,0.027280,0.030636,0.036345,0.046576,0.066200,0.105211", \
"0.027658,0.029807,0.033162,0.038872,0.049105,0.068731,0.107736", \
"0.031213,0.033354,0.036703,0.042411,0.052647,0.072278,0.111292", \
"0.038725,0.040857,0.044197,0.049906,0.060149,0.079790,0.118817", \
"0.053133,0.055410,0.058878,0.064663,0.074938,0.094577,0.133602", \
"0.074507,0.077304,0.081450,0.087957,0.098725,0.118481,0.157405", \
"0.104922,0.108470,0.113657,0.121521,0.133404,0.153708,0.192643");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.022497,0.024898,0.028899,0.036314,0.050643,0.079026,0.135621", \
"0.024696,0.027097,0.031096,0.038508,0.052834,0.081217,0.137817", \
"0.027643,0.030039,0.034025,0.041424,0.055751,0.084141,0.140748", \
"0.033565,0.035947,0.039922,0.047307,0.061620,0.090012,0.146640", \
"0.042751,0.045270,0.049327,0.056762,0.071056,0.099416,0.156048", \
"0.054391,0.057389,0.061784,0.069252,0.083413,0.111823,0.168408", \
"0.067035,0.070938,0.076491,0.084742,0.098476,0.126527,0.183098");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.004665,0.005685,0.007511,0.011170,0.018850,0.035065,0.068158", \
"0.004662,0.005688,0.007512,0.011170,0.018851,0.035061,0.068159", \
"0.004667,0.005695,0.007517,0.011172,0.018852,0.035063,0.068158", \
"0.004689,0.005712,0.007534,0.011184,0.018855,0.035065,0.068161", \
"0.005385,0.006296,0.007948,0.011402,0.018931,0.035073,0.068159", \
"0.007409,0.008338,0.009912,0.013022,0.019859,0.035332,0.068165", \
"0.010516,0.011563,0.013257,0.016243,0.022268,0.036419,0.068543");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.005115,0.006603,0.009435,0.015374,0.027772,0.052922,0.103309", \
"0.005115,0.006605,0.009433,0.015378,0.027771,0.052921,0.103299", \
"0.005116,0.006603,0.009435,0.015378,0.027770,0.052923,0.103301", \
"0.005188,0.006657,0.009466,0.015387,0.027771,0.052921,0.103301", \
"0.005927,0.007199,0.009829,0.015608,0.027823,0.052918,0.103306", \
"0.007775,0.008772,0.010803,0.015965,0.028035,0.053095,0.103283", \
"0.010847,0.012017,0.013790,0.017576,0.028330,0.053156,0.103592");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("334.360232,334.417052,334.480137,334.524763,334.370152,334.072194,334.113470", \
"333.960424,334.019154,334.083243,334.128153,333.953184,333.852157,333.596193", \
"334.093150,334.152384,334.220091,334.263026,334.032467,333.864486,333.754842", \
"333.967559,334.032421,334.098419,334.138842,334.024767,333.680106,333.763122", \
"332.297192,332.355522,332.418807,332.455907,332.269919,332.188104,331.968507", \
"320.966850,321.025279,321.088364,321.888741,321.675135,321.510913,321.243976", \
"311.049203,311.113663,311.179662,309.858037,311.381315,312.042384,311.757036");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("359.865440,353.633036,344.953139,355.032838,415.132848,417.982013,418.183928", \
"386.995749,387.369787,409.873008,416.844183,417.070204,416.752571,416.874478", \
"409.083053,409.109706,409.119849,409.135229,408.954143,408.701507,408.757959", \
"392.786200,392.448423,392.828798,392.830067,392.637942,391.633045,392.038341", \
"373.775920,373.785167,373.806613,373.794917,373.601767,373.287165,373.324898", \
"357.795199,357.829456,357.847501,357.721677,361.469681,361.278237,361.085381", \
"341.232407,341.243355,341.263001,341.329823,345.180270,346.951671,346.872169");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("1.099362,1.151758,1.210071,1.259377,1.285954,1.295285,1.304605", \
"1.073463,1.127209,1.189081,1.233886,1.258706,1.271009,1.276063", \
"1.058714,1.113590,1.169099,1.217525,1.241358,1.256002,1.262900", \
"1.045542,1.095705,1.154407,1.201993,1.227424,1.241326,1.251600", \
"1.036192,1.090098,1.150227,1.197388,1.223715,1.241156,1.251283", \
"0.975760,1.031815,1.111173,1.189014,1.240182,1.258515,1.271251", \
"0.979929,1.025977,1.094036,1.180466,1.254965,1.298875,1.330456");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.800010,0.820334,0.843060,0.855943,0.837578,0.870724,0.883976", \
"0.794721,0.817380,0.814892,0.853269,0.849869,0.780405,0.877639", \
"0.771085,0.801293,0.801622,0.832826,0.816634,0.864535,0.871342", \
"0.772973,0.798829,0.794056,0.825934,0.831445,0.859830,0.862294", \
"0.773230,0.776269,0.796678,0.815181,0.811352,0.742982,0.762744", \
"0.789403,0.808296,0.824506,0.838568,0.810222,0.830414,0.823121", \
"0.821635,0.852370,0.872264,0.876551,0.867867,0.889636,0.817391");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X4
Cell Description : Level-shifter cell (LS_LHEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_LHEN_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 5300.565750;
leakage_power () {
when : "!A & !ISOLN";
value : 4559.713400;
}
leakage_power () {
when : "!A & ISOLN";
value : 6485.673400;
}
leakage_power () {
when : "A & !ISOLN";
value : 4926.049700;
}
leakage_power () {
when : "A & ISOLN";
value : 5230.826500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.373184;
fall_capacitance : 0.371200;
rise_capacitance : 0.375168;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.665373,0.658007,0.653440,0.648913,0.643951,0.640629,0.637654");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.369129,0.366848,0.364146,0.359132,0.351034,0.342786,0.334587");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.750115;
fall_capacitance : 0.767787;
rise_capacitance : 0.732442;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("0.150301,0.141774,0.139333,0.137362,0.136175,0.135299,0.134539");
}
rise_power(Hidden_power_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
values ("1.292536,1.296681,1.280544,1.282522,1.359763,1.605362,2.344004");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 56.457500;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.295825,0.300699,0.305406,0.311614,0.317464,0.338865,0.382978", \
"0.298769,0.303642,0.308351,0.314489,0.320810,0.343383,0.387500", \
"0.302782,0.307656,0.312365,0.318423,0.323850,0.343830,0.387946", \
"0.311203,0.316078,0.320784,0.326843,0.332309,0.352502,0.396616", \
"0.328693,0.333567,0.338274,0.344345,0.351044,0.374051,0.417582", \
"0.360437,0.365310,0.370012,0.374768,0.379452,0.399020,0.443136", \
"0.420314,0.425189,0.429896,0.435947,0.439655,0.469416,0.513224");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.246644,0.251613,0.256499,0.263248,0.277637,0.305970,0.362897", \
"0.248411,0.253378,0.258265,0.264949,0.278751,0.306416,0.363346", \
"0.247627,0.252595,0.257482,0.264653,0.277533,0.306275,0.363210", \
"0.247801,0.252770,0.257656,0.264615,0.279579,0.308262,0.365193", \
"0.254759,0.259727,0.264613,0.271632,0.284984,0.313686,0.370620", \
"0.271737,0.276705,0.281591,0.289335,0.303081,0.331785,0.388717", \
"0.301741,0.306710,0.311596,0.318502,0.333947,0.362650,0.419586");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.007471,0.009312,0.011412,0.015429,0.023552,0.040912,0.077667", \
"0.007468,0.009310,0.011414,0.015429,0.023553,0.040911,0.077665", \
"0.007467,0.009312,0.011415,0.015429,0.023552,0.040911,0.077665", \
"0.007469,0.009309,0.011413,0.015430,0.023552,0.040912,0.077666", \
"0.007468,0.009308,0.011413,0.015429,0.023553,0.040911,0.077666", \
"0.007471,0.009310,0.011413,0.015429,0.023553,0.040912,0.077665", \
"0.007471,0.009312,0.011413,0.015430,0.023553,0.040911,0.077668");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.006903,0.009089,0.011790,0.017357,0.029162,0.053999,0.104523", \
"0.006904,0.009089,0.011791,0.017358,0.029161,0.053999,0.104522", \
"0.006903,0.009085,0.011791,0.017357,0.029161,0.054000,0.104523", \
"0.006904,0.009086,0.011791,0.017357,0.029162,0.053999,0.104524", \
"0.006903,0.009086,0.011791,0.017359,0.029160,0.054000,0.104525", \
"0.006903,0.009086,0.011791,0.017358,0.029161,0.053999,0.104520", \
"0.006906,0.009088,0.011792,0.017357,0.029162,0.053998,0.104521");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.039816,0.044628,0.049289,0.056839,0.069453,0.092173,0.136243", \
"0.042501,0.047313,0.051974,0.059524,0.072140,0.094861,0.138931", \
"0.046061,0.050868,0.055526,0.063074,0.075690,0.098412,0.142484", \
"0.053433,0.058238,0.062891,0.070433,0.083046,0.105771,0.149847", \
"0.068711,0.073499,0.078140,0.085671,0.098281,0.121014,0.165104", \
"0.097090,0.102386,0.107357,0.115149,0.127871,0.150592,0.194633", \
"0.138627,0.145201,0.151320,0.160576,0.174623,0.198143,0.242055");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.034408,0.039375,0.044260,0.052569,0.067519,0.096221,0.153154", \
"0.036648,0.041615,0.046501,0.054809,0.069760,0.098462,0.155396", \
"0.039582,0.044547,0.049433,0.057739,0.072686,0.101387,0.158325", \
"0.045554,0.050513,0.055394,0.063694,0.078629,0.107327,0.164274", \
"0.057541,0.062565,0.067451,0.075728,0.090632,0.119311,0.176256", \
"0.075269,0.081247,0.086623,0.095128,0.110031,0.138620,0.195462", \
"0.097263,0.104769,0.111478,0.121165,0.136008,0.164309,0.220989");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.007266,0.009105,0.011211,0.015237,0.023396,0.040809,0.077606", \
"0.007266,0.009107,0.011210,0.015239,0.023395,0.040809,0.077604", \
"0.007267,0.009106,0.011214,0.015240,0.023396,0.040809,0.077605", \
"0.007267,0.009110,0.011216,0.015245,0.023399,0.040810,0.077606", \
"0.007292,0.009140,0.011248,0.015271,0.023413,0.040815,0.077603", \
"0.009287,0.010830,0.012616,0.016167,0.023834,0.040934,0.077616", \
"0.013722,0.015359,0.017175,0.020509,0.027238,0.042602,0.077922");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.006893,0.009075,0.011782,0.017352,0.029158,0.054000,0.104520", \
"0.006888,0.009074,0.011782,0.017352,0.029160,0.053998,0.104527", \
"0.006887,0.009074,0.011783,0.017353,0.029160,0.054000,0.104524", \
"0.006885,0.009074,0.011784,0.017356,0.029162,0.053999,0.104520", \
"0.007287,0.009300,0.011927,0.017431,0.029187,0.054004,0.104518", \
"0.009721,0.011491,0.013543,0.018331,0.029580,0.054082,0.104523", \
"0.013589,0.015696,0.017673,0.021272,0.030719,0.054436,0.104715");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("8.664956,8.790248,8.891009,8.967170,8.846937,8.854134,8.882440", \
"8.658488,8.781480,8.888985,8.957409,8.858075,8.898961,8.927512", \
"8.653229,8.777366,8.884751,8.947152,8.817901,8.784338,8.814553", \
"8.652197,8.772559,8.879660,8.945183,8.814416,8.785509,8.813572", \
"8.649983,8.771652,8.879578,8.946525,8.858499,8.917256,8.924143", \
"8.560845,8.689037,8.790931,8.823903,8.672519,8.618575,8.647097", \
"8.564945,8.691878,8.795659,8.862057,8.694756,8.962846,8.978383");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("6.857204,6.951799,7.004966,6.964646,7.000655,7.025610,6.964288", \
"6.817996,6.911970,6.966060,6.933712,6.899851,6.957116,6.889641", \
"6.666309,6.763312,6.816449,6.833081,6.791901,6.822338,6.781928", \
"6.416033,6.522908,6.568192,6.565423,6.556546,6.631504,6.653258", \
"6.185654,6.279916,6.333439,6.328729,6.274484,6.353465,6.283347", \
"6.007482,6.092664,6.144700,6.176364,6.131428,6.210215,6.186847", \
"5.855756,5.908223,6.002880,6.013725,6.057549,5.962415,6.084687");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("1.318523,1.440662,1.543060,1.659980,1.746088,1.797743,1.818735", \
"1.305257,1.426573,1.530397,1.646901,1.732081,1.781057,1.807948", \
"1.298685,1.412232,1.519352,1.633412,1.723779,1.770015,1.796589", \
"1.287338,1.406317,1.504406,1.619891,1.707295,1.759003,1.781620", \
"1.284451,1.396725,1.495948,1.600502,1.689430,1.737381,1.773297", \
"1.297492,1.400665,1.494107,1.601621,1.684367,1.736741,1.770097", \
"1.333077,1.382797,1.453557,1.573239,1.685396,1.759262,1.793926");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("1.156339,1.242599,1.285204,1.331695,1.361677,1.428084,1.306385", \
"1.155285,1.244414,1.296404,1.330660,1.360715,1.423859,1.393425", \
"1.153712,1.233577,1.297153,1.313597,1.385516,1.350128,1.299006", \
"1.121273,1.235664,1.292558,1.303353,1.307145,1.394170,1.255621", \
"1.134379,1.237811,1.286753,1.280306,1.340189,1.357999,1.246083", \
"1.146852,1.243832,1.280869,1.314796,1.296621,1.346162,1.261967", \
"1.191664,1.262001,1.328398,1.333997,1.353269,1.368777,1.271315");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X1
Cell Description : Level-shifter cell (LS_LH_X1) with drive strength X1
*******************************************************************************************/
cell (LS_LH_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1600.343250;
leakage_power () {
when : "!A";
value : 2084.827000;
}
leakage_power () {
when : "A";
value : 1115.859500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.374978;
fall_capacitance : 0.360343;
rise_capacitance : 0.389612;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.615700;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.180071,0.182338,0.186109,0.191187,0.201457,0.223378,0.265361", \
"0.182964,0.185223,0.188996,0.194059,0.205029,0.226941,0.268918", \
"0.187083,0.189346,0.193121,0.197823,0.210287,0.232189,0.274167", \
"0.195764,0.198027,0.201806,0.206884,0.218069,0.239968,0.281926", \
"0.212940,0.215201,0.218978,0.224062,0.234349,0.256269,0.298250", \
"0.246907,0.249163,0.252929,0.257479,0.269064,0.290959,0.332914", \
"0.307105,0.309357,0.313113,0.318943,0.332011,0.353896,0.395860");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.246373,0.249929,0.254753,0.261525,0.273659,0.301257,0.355656", \
"0.247451,0.251003,0.255780,0.262607,0.274538,0.302472,0.356707", \
"0.246724,0.250273,0.255209,0.261910,0.274042,0.302056,0.357243", \
"0.246465,0.250031,0.254843,0.261630,0.273769,0.301856,0.357036", \
"0.253748,0.257336,0.262172,0.268794,0.281210,0.309632,0.363311", \
"0.269872,0.273402,0.278200,0.286503,0.298234,0.326205,0.380666", \
"0.296127,0.299674,0.304480,0.312777,0.329899,0.357731,0.411726");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.004808,0.005984,0.008235,0.012729,0.021915,0.040264,0.075887", \
"0.004811,0.005985,0.008234,0.012730,0.021915,0.040268,0.075882", \
"0.004815,0.005987,0.008234,0.012731,0.021916,0.040265,0.075890", \
"0.004809,0.005983,0.008235,0.012730,0.021916,0.040267,0.075884", \
"0.004809,0.005985,0.008233,0.012729,0.021914,0.040267,0.075891", \
"0.004808,0.005986,0.008234,0.012730,0.021914,0.040267,0.075884", \
"0.004809,0.005988,0.008234,0.012730,0.021915,0.040264,0.075884");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.010469,0.010642,0.011964,0.017120,0.029546,0.054559,0.102969", \
"0.010473,0.010635,0.011969,0.017117,0.029541,0.054569,0.102980", \
"0.010475,0.010634,0.011964,0.017118,0.029542,0.054563,0.102964", \
"0.010469,0.010635,0.011968,0.017118,0.029542,0.054561,0.102973", \
"0.010475,0.010640,0.011967,0.017120,0.029542,0.054564,0.102970", \
"0.010473,0.010643,0.011969,0.017119,0.029541,0.054568,0.102973", \
"0.010469,0.010643,0.011967,0.017119,0.029544,0.054554,0.102966");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("7.490615,7.534671,7.571834,7.536640,7.491067,7.504894,7.512702", \
"7.478191,7.520768,7.557241,7.521984,7.508510,7.519522,7.528294", \
"7.472666,7.514617,7.549915,7.500131,7.553545,7.567620,7.576091", \
"7.470347,7.514688,7.549241,7.516654,7.512720,7.523929,7.532183", \
"7.457829,7.502194,7.539573,7.504384,7.459659,7.471841,7.481060", \
"7.579742,7.623777,7.660440,7.608642,7.625615,7.636354,7.644724", \
"8.038955,8.082257,8.117952,8.122344,8.224265,8.235791,8.243005");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("10.822531,10.944259,11.013725,10.959254,10.865233,10.812636,10.773891", \
"10.735905,10.860289,10.933477,10.871397,10.753000,10.737180,10.687839", \
"10.512784,10.638677,10.714926,10.668744,10.526464,10.530304,10.542405", \
"10.112863,10.239473,10.316511,10.258031,10.155484,10.125151,10.184209", \
"9.790427,9.909283,9.984621,9.941013,9.825319,9.835143,9.688741", \
"9.498705,9.614537,9.685149,9.718862,9.572849,9.576154,9.527039", \
"9.155249,9.258499,9.358259,9.396845,9.541781,9.425305,9.491992");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X2
Cell Description : Level-shifter cell (LS_LH_X2) with drive strength X2
*******************************************************************************************/
cell (LS_LH_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2009.023600;
leakage_power () {
when : "!A";
value : 2756.198700;
}
leakage_power () {
when : "A";
value : 1261.848500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.366729;
fall_capacitance : 0.352970;
rise_capacitance : 0.380487;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.444000;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.179153,0.181347,0.184748,0.190169,0.199476,0.219229,0.258371", \
"0.182175,0.184367,0.187772,0.193180,0.202565,0.222323,0.261469", \
"0.186184,0.188378,0.191782,0.197242,0.205897,0.225661,0.264812", \
"0.194752,0.196944,0.200347,0.205842,0.214096,0.233857,0.273011", \
"0.211861,0.214053,0.217454,0.223080,0.232049,0.251803,0.290945", \
"0.246238,0.248427,0.251821,0.256539,0.265153,0.284901,0.324049", \
"0.306729,0.308911,0.312296,0.318760,0.328350,0.348012,0.387150");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.258776,0.262527,0.267399,0.274555,0.285645,0.313489,0.369828", \
"0.259866,0.263640,0.268406,0.275615,0.286732,0.314808,0.371291", \
"0.259100,0.262917,0.267789,0.274880,0.286063,0.314148,0.370752", \
"0.258690,0.262584,0.267427,0.274528,0.285549,0.313127,0.370522", \
"0.266120,0.269926,0.274698,0.281767,0.292717,0.320949,0.378242", \
"0.281378,0.285203,0.289986,0.297602,0.312304,0.340051,0.396943", \
"0.307632,0.311420,0.316198,0.323930,0.338084,0.368618,0.424821");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.004683,0.005694,0.007495,0.011120,0.018786,0.035029,0.068180", \
"0.004685,0.005697,0.007496,0.011120,0.018786,0.035030,0.068185", \
"0.004682,0.005695,0.007495,0.011120,0.018786,0.035029,0.068188", \
"0.004681,0.005693,0.007497,0.011121,0.018786,0.035029,0.068186", \
"0.004686,0.005694,0.007494,0.011121,0.018786,0.035030,0.068187", \
"0.004682,0.005694,0.007495,0.011121,0.018786,0.035028,0.068182", \
"0.004681,0.005695,0.007495,0.011121,0.018786,0.035029,0.068187");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.011008,0.011108,0.011945,0.016117,0.027752,0.052777,0.103235", \
"0.011011,0.011110,0.011944,0.016117,0.027752,0.052775,0.103237", \
"0.011014,0.011108,0.011942,0.016115,0.027753,0.052776,0.103232", \
"0.011011,0.011107,0.011946,0.016114,0.027750,0.052779,0.103249", \
"0.011009,0.011113,0.011946,0.016117,0.027755,0.052776,0.103245", \
"0.011008,0.011109,0.011949,0.016112,0.027755,0.052779,0.103234", \
"0.011013,0.011108,0.011943,0.016112,0.027751,0.052777,0.103237");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("7.478224,7.533463,7.591855,7.630011,7.613958,7.634167,7.643736", \
"7.469668,7.523376,7.584288,7.621519,7.609670,7.627095,7.640811", \
"7.457135,7.516990,7.573442,7.612455,7.566341,7.587891,7.601091", \
"7.452570,7.513430,7.573257,7.610491,7.546354,7.566474,7.576762", \
"7.438740,7.492139,7.555707,7.599607,7.569324,7.588885,7.600695", \
"7.560393,7.620230,7.676123,7.683458,7.642452,7.663605,7.675619", \
"7.998241,8.057630,8.116864,8.198901,8.213360,8.234276,8.246698");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("11.436329,11.587900,11.686567,11.677343,11.506185,11.510547,11.420718", \
"11.368899,11.488842,11.591955,11.582376,11.401339,11.337668,11.273946", \
"11.133779,11.248181,11.377841,11.365883,11.191402,11.202720,11.190291", \
"10.723992,10.865373,10.979990,10.965767,10.815363,10.772540,10.656492", \
"10.413698,10.534899,10.649270,10.627516,10.460226,10.424100,10.506134", \
"10.083578,10.227230,10.328570,10.340922,10.385732,10.280597,10.188264", \
"9.748281,9.864277,9.979327,9.986535,9.982251,10.120399,9.980941");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X4
Cell Description : Level-shifter cell (LS_LH_X4) with drive strength X4
*******************************************************************************************/
cell (LS_LH_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 3039.946000;
leakage_power () {
when : "!A";
value : 4448.138400;
}
leakage_power () {
when : "A";
value : 1631.753600;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.368523;
fall_capacitance : 0.354882;
rise_capacitance : 0.382164;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.879900;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.182296,0.186431,0.190559,0.196842,0.208111,0.229973,0.272989", \
"0.185334,0.189463,0.193591,0.199716,0.210783,0.232645,0.275663", \
"0.189446,0.193576,0.197704,0.203829,0.214870,0.236731,0.279748", \
"0.197980,0.202105,0.206233,0.212361,0.223615,0.245474,0.288492", \
"0.214968,0.219101,0.223226,0.229256,0.240739,0.262595,0.305614", \
"0.249049,0.253170,0.257292,0.262996,0.273982,0.295831,0.338844", \
"0.310028,0.314145,0.318252,0.325031,0.336578,0.357633,0.400644");
}
cell_rise(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.285232,0.291920,0.297422,0.304766,0.316533,0.344685,0.401359", \
"0.286355,0.293134,0.298550,0.305888,0.317663,0.345851,0.402527", \
"0.285374,0.292221,0.297649,0.304945,0.316555,0.345017,0.401710", \
"0.284692,0.291504,0.296893,0.304266,0.316247,0.344475,0.401224", \
"0.291783,0.298554,0.303973,0.311322,0.323494,0.351536,0.408331", \
"0.306731,0.313510,0.318932,0.326139,0.342389,0.370454,0.427342", \
"0.332255,0.338986,0.344456,0.352617,0.367629,0.398109,0.454466");
}
fall_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.005534,0.007202,0.009199,0.013117,0.021296,0.038819,0.075110", \
"0.005537,0.007203,0.009197,0.013117,0.021296,0.038819,0.075109", \
"0.005537,0.007203,0.009197,0.013118,0.021297,0.038819,0.075109", \
"0.005534,0.007203,0.009198,0.013117,0.021297,0.038818,0.075108", \
"0.005534,0.007204,0.009199,0.013117,0.021296,0.038819,0.075109", \
"0.005535,0.007204,0.009199,0.013117,0.021297,0.038818,0.075109", \
"0.005535,0.007204,0.009198,0.013117,0.021297,0.038819,0.075109");
}
rise_transition(Timing_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.013428,0.013428,0.013956,0.017365,0.028280,0.053238,0.104048", \
"0.013430,0.013431,0.013961,0.017368,0.028280,0.053239,0.104046", \
"0.013432,0.013433,0.013955,0.017364,0.028280,0.053237,0.104043", \
"0.013425,0.013426,0.013955,0.017364,0.028280,0.053240,0.104045", \
"0.013425,0.013425,0.013957,0.017367,0.028278,0.053239,0.104047", \
"0.013424,0.013425,0.013957,0.017364,0.028278,0.053240,0.104044", \
"0.013430,0.013431,0.013957,0.017368,0.028280,0.053240,0.104049");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("7.722338,7.860886,7.960401,8.033696,8.080578,8.126093,8.148868", \
"7.723723,7.854607,7.951770,8.023501,8.055871,8.101447,8.125302", \
"7.717861,7.848615,7.945909,8.017632,8.048991,8.093753,8.119480", \
"7.711840,7.841284,7.940755,8.010448,8.052000,8.096610,8.121173", \
"7.689629,7.822093,7.920446,7.980513,8.037697,8.080910,8.106419", \
"7.798632,7.927823,8.027304,8.081231,8.116486,8.160987,8.185490", \
"8.255272,8.384066,8.482292,8.581292,8.663472,8.666480,8.690198");
}
rise_power(Power_7_7) {
index_1 ("0.00123599,0.00559997,0.0111999,0.0223999,0.0447998,0.0895995,0.179199");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("13.009368,13.254216,13.336632,13.296804,13.151362,13.121497,12.822099", \
"12.929777,13.167765,13.275560,13.241949,13.093663,13.026972,12.912869", \
"12.669768,12.893268,13.033906,13.020635,12.787996,12.752830,12.662401", \
"12.272044,12.511366,12.593851,12.595108,12.394774,12.410462,12.296902", \
"11.930864,12.162689,12.243421,12.210392,12.113875,12.041892,11.946435", \
"11.577117,11.815432,11.895938,11.861710,11.940515,11.909809,11.814141", \
"11.180082,11.418541,11.539680,11.563460,11.545073,11.666328,11.593131");
}
}
}
}
}
/*
* End of file
*/