blob: 1e140e2b62c6a35dca581a9b2a5b416370283b16 [file] [log] [blame]
/*
* ******************************************************************************
* * *
* * Copyright (C) 2004-2011, Nangate Inc. *
* * All rights reserved. *
* * *
* * Nangate and the Nangate logo are trademarks of Nangate Inc. *
* * *
* * All trademarks, logos, software marks, and trade names (collectively the *
* * "Marks") in this program are proprietary to Nangate or other respective *
* * owners that have granted Nangate the right and license to use such Marks. *
* * You are not permitted to use the Marks without the prior written consent *
* * of Nangate or such third party that may own the Marks. *
* * *
* * This file has been provided pursuant to a License Agreement containing *
* * restrictions on its use. This file contains valuable trade secrets and *
* * proprietary information of Nangate Inc., and is protected by U.S. and *
* * international laws and/or treaties. *
* * *
* * The copyright notice(s) in this file does not indicate actual or intended *
* * publication of this file. *
* * *
* * NGLibraryCharacterizer, v2011.05-QR02-2011-05-18_32 - build 1107011217 *
* * *
* ******************************************************************************
*
* Spice engine : Nanspice v2011.05-QR02-2011-05-18_32-1107011217
* Liberty export type : conditional
*
* Characterization Corner : typical
* Process : TypTyp
* Temperature : 25C
* Voltage : 1.1V
*
****************************************************************************/
library (LowPowerOpenCellLibrary) {
/* Documentation Attributes */
date : "Wed 17 Aug 2011, 19:29:06";
revision : "revision 1.0";
comment : "Copyright (c) 2004-2010 Nangate Inc. All Rights Reserved.";
/* General Attributes */
technology (cmos);
delay_model : table_lookup;
in_place_swap_mode : match_footprint;
library_features (report_delay_calculation,report_power_calculation);
/* Units Attributes */
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit (1,ff);
/* Operation Conditions */
nom_process : 1.00;
nom_temperature : 25.00;
nom_voltage : 1.10;
voltage_map (VDD,1.10);
voltage_map (VSS,0.00);
voltage_map (VDDL,0.93);
voltage_map (VDDBAK,1.10);
voltage_map (VVDD,1.00);
define(process_corner, operating_conditions, string);
operating_conditions (typical) {
process_corner : "TypTyp";
process : 1.00;
voltage : 1.10;
temperature : 25.00;
tree_type : balanced_tree;
}
default_operating_conditions : typical;
/* Threshold Definitions */
slew_lower_threshold_pct_fall : 30.00 ;
slew_lower_threshold_pct_rise : 30.00 ;
slew_upper_threshold_pct_fall : 70.00 ;
slew_upper_threshold_pct_rise : 70.00 ;
slew_derate_from_library : 1.00 ;
input_threshold_pct_fall : 50.00 ;
input_threshold_pct_rise : 50.00 ;
output_threshold_pct_fall : 50.00 ;
output_threshold_pct_rise : 50.00 ;
default_leakage_power_density : 0.00 ;
default_cell_leakage_power : 0.00 ;
/* Default Pin Attributes */
default_inout_pin_cap : 1.000000;
default_input_pin_cap : 1.000000;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.198535;
define(drive_strength, cell, float);
define(ng_build_equation, cell, string);
/* Wire load tables */
wire_load("1K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3207 );
fanout_length( 2, 2.9813 );
fanout_length( 3, 5.1135 );
fanout_length( 4, 7.6639 );
fanout_length( 5, 10.0334 );
fanout_length( 6, 12.2296 );
fanout_length( 8, 19.3185 );
}
wire_load("1K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3216 );
fanout_length( 2, 2.8855 );
fanout_length( 3, 4.6810 );
fanout_length( 4, 6.7976 );
fanout_length( 5, 9.4037 );
fanout_length( 6, 13.0170 );
fanout_length( 8, 24.1720 );
}
wire_load("1K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 6.283688;
fanout_length( 1, 1.3446 );
fanout_length( 2, 2.8263 );
fanout_length( 3, 4.7581 );
fanout_length( 4, 7.4080 );
fanout_length( 5, 10.9381 );
fanout_length( 6, 15.7314 );
fanout_length( 8, 29.7891 );
}
wire_load("3K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.8234 );
fanout_length( 2, 4.5256 );
fanout_length( 3, 7.5342 );
fanout_length( 4, 10.6237 );
fanout_length( 5, 13.5401 );
fanout_length( 6, 16.3750 );
fanout_length( 7, 18.6686 );
fanout_length( 8, 19.4348 );
fanout_length( 10, 20.9672 );
}
wire_load("3K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6615 );
fanout_length( 2, 3.9827 );
fanout_length( 3, 6.6386 );
fanout_length( 4, 9.6287 );
fanout_length( 5, 12.8485 );
fanout_length( 6, 16.4145 );
fanout_length( 7, 20.0747 );
fanout_length( 8, 22.6325 );
fanout_length( 10, 21.7173 );
}
wire_load("3K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.5771 );
fanout_length( 2, 3.9330 );
fanout_length( 3, 6.6217 );
fanout_length( 4, 9.7638 );
fanout_length( 5, 13.5526 );
fanout_length( 6, 18.1322 );
fanout_length( 7, 22.5871 );
fanout_length( 8, 25.1074 );
fanout_length( 10, 30.1480 );
}
wire_load("5K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 2.0449 );
fanout_length( 2, 4.4094 );
fanout_length( 3, 7.2134 );
fanout_length( 4, 10.4927 );
fanout_length( 5, 13.9420 );
fanout_length( 6, 18.0039 );
fanout_length( 7, 23.9278 );
fanout_length( 8, 30.8475 );
fanout_length( 9, 34.9441 );
fanout_length( 11, 43.1373 );
}
wire_load("5K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6706 );
fanout_length( 2, 3.7951 );
fanout_length( 3, 6.2856 );
fanout_length( 4, 9.1309 );
fanout_length( 5, 12.1420 );
fanout_length( 6, 15.6918 );
fanout_length( 7, 20.1043 );
fanout_length( 8, 24.2827 );
fanout_length( 9, 27.3445 );
fanout_length( 11, 35.3421 );
}
wire_load("5K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.7460 );
fanout_length( 2, 3.9394 );
fanout_length( 3, 6.4626 );
fanout_length( 4, 9.2201 );
fanout_length( 5, 11.9123 );
fanout_length( 6, 14.8358 );
fanout_length( 7, 18.6155 );
fanout_length( 8, 22.6727 );
fanout_length( 9, 25.4842 );
fanout_length( 11, 27.0320 );
}
default_wire_load : "5K_hvratio_1_1" ;
power_lut_template (Hidden_power_7) {
variable_1 : input_transition_time;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
power_lut_template (Power_7_7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Timing_7_7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Tristate_disable_7) {
variable_1 : input_net_transition;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
/******************************************************************************************
Module : AON_BUF_X1
Cell Description : Combinational cell (AON_BUF_X1) with drive strength X1
*******************************************************************************************/
cell (AON_BUF_X1) {
drive_strength : 1;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 5655.377475;
leakage_power () {
when : "!A";
value : 5655.229063;
}
leakage_power () {
when : "A";
value : 5655.525887;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.441872;
fall_capacitance : 0.421395;
rise_capacitance : 0.462349;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.692100;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.022756,0.025181,0.028983,0.035031,0.045001,0.062143,0.092438", \
"0.024957,0.027382,0.031186,0.037235,0.047206,0.064352,0.094646", \
"0.028189,0.030614,0.034421,0.040476,0.050452,0.067603,0.097898", \
"0.034576,0.037037,0.040882,0.046967,0.056959,0.074106,0.104398", \
"0.043921,0.046670,0.050867,0.057294,0.067515,0.084707,0.114973", \
"0.057090,0.060276,0.065032,0.072027,0.082776,0.100296,0.130707", \
"0.077499,0.081246,0.086856,0.094882,0.106702,0.125077,0.155780");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.019433,0.023077,0.029458,0.040715,0.060651,0.096097,0.159121", \
"0.021551,0.025178,0.031554,0.042814,0.062768,0.098220,0.161245", \
"0.024077,0.027674,0.034023,0.045273,0.065236,0.100692,0.163743", \
"0.027477,0.031069,0.037381,0.048576,0.068512,0.103961,0.167057", \
"0.030922,0.034566,0.040815,0.051958,0.071840,0.107238,0.170305", \
"0.033568,0.037555,0.043965,0.054883,0.074629,0.109975,0.172927", \
"0.032223,0.037122,0.044475,0.055816,0.075509,0.110825,0.173733");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.005650,0.007009,0.009373,0.013588,0.021334,0.035694,0.061868", \
"0.005654,0.007017,0.009377,0.013590,0.021336,0.035691,0.061866", \
"0.005670,0.007030,0.009387,0.013596,0.021338,0.035696,0.061867", \
"0.005973,0.007265,0.009545,0.013691,0.021378,0.035706,0.061873", \
"0.007215,0.008470,0.010620,0.014511,0.021836,0.035828,0.061880", \
"0.009038,0.010321,0.012439,0.016106,0.022993,0.036531,0.062161", \
"0.011853,0.013271,0.015529,0.019198,0.025633,0.038153,0.062911");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.008111,0.011338,0.017218,0.027804,0.046680,0.080350,0.140304", \
"0.008118,0.011336,0.017219,0.027804,0.046698,0.080356,0.140313", \
"0.008145,0.011355,0.017218,0.027794,0.046698,0.080346,0.140326", \
"0.008410,0.011509,0.017280,0.027805,0.046681,0.080340,0.140291", \
"0.009056,0.011872,0.017451,0.027912,0.046708,0.080313,0.140293", \
"0.010790,0.013186,0.018124,0.028159,0.046874,0.080432,0.140270", \
"0.014009,0.016473,0.020703,0.029539,0.047605,0.080873,0.140498");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("1.075945,1.127671,1.175464,1.207657,1.220170,1.226952,1.229384", \
"1.055025,1.099616,1.154141,1.187583,1.201184,1.206600,1.210032", \
"1.056023,1.106137,1.155685,1.188979,1.203492,1.209630,1.213880", \
"1.084737,1.126562,1.182754,1.217225,1.231724,1.239076,1.242325", \
"1.103409,1.172204,1.249000,1.294126,1.313813,1.318970,1.323176", \
"1.234219,1.297749,1.368974,1.439506,1.491770,1.517771,1.520676", \
"1.616976,1.662430,1.743412,1.829563,1.896563,1.940565,1.978149");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.449779,0.461554,0.466242,0.470098,0.477836,0.484511,0.440033", \
"0.444444,0.443183,0.451811,0.451559,0.463774,0.473784,0.450155", \
"0.437510,0.447371,0.451190,0.457950,0.435299,0.468420,0.442889", \
"0.454861,0.458866,0.465403,0.453261,0.461884,0.476795,0.470787", \
"0.546620,0.536314,0.528620,0.530026,0.523683,0.528215,0.543208", \
"0.760340,0.769962,0.765695,0.758378,0.739576,0.701810,0.745259", \
"1.163431,1.186046,1.220697,1.249792,1.259438,1.233885,1.236568");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X2
Cell Description : Combinational cell (AON_BUF_X2) with drive strength X2
*******************************************************************************************/
cell (AON_BUF_X2) {
drive_strength : 2;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 8852.217000;
leakage_power () {
when : "!A";
value : 9803.387000;
}
leakage_power () {
when : "A";
value : 7901.047000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.404463;
fall_capacitance : 0.387258;
rise_capacitance : 0.421668;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.023244,0.025492,0.028979,0.034802,0.044854,0.063400,0.099660", \
"0.025448,0.027692,0.031178,0.037003,0.047057,0.065602,0.101864", \
"0.028654,0.030893,0.034373,0.040198,0.050256,0.068805,0.105072", \
"0.035310,0.037536,0.041013,0.046840,0.056908,0.075461,0.111730", \
"0.045609,0.048087,0.051885,0.058072,0.068392,0.086981,0.123200", \
"0.059920,0.062721,0.067046,0.073963,0.085039,0.104119,0.140427", \
"0.081477,0.084724,0.089755,0.097761,0.110211,0.130452,0.167112");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.017301,0.020054,0.024845,0.034104,0.052387,0.088768,0.161359", \
"0.019452,0.022194,0.026968,0.036222,0.054510,0.090905,0.163502", \
"0.022155,0.024870,0.029602,0.038825,0.057109,0.093505,0.166130", \
"0.026084,0.028850,0.033579,0.042725,0.060943,0.097330,0.169954", \
"0.030150,0.033153,0.037977,0.047066,0.065194,0.101471,0.174074", \
"0.033129,0.036678,0.042059,0.051282,0.069196,0.105314,0.177788", \
"0.032404,0.036599,0.043143,0.053551,0.071494,0.107484,0.179763");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.005159,0.006225,0.008069,0.011608,0.018745,0.033712,0.064839", \
"0.005165,0.006227,0.008072,0.011610,0.018746,0.033713,0.064841", \
"0.005171,0.006237,0.008080,0.011616,0.018750,0.033714,0.064841", \
"0.005388,0.006410,0.008210,0.011700,0.018790,0.033725,0.064843", \
"0.006874,0.007827,0.009475,0.012678,0.019319,0.033870,0.064855", \
"0.009048,0.010031,0.011694,0.014768,0.020969,0.034805,0.065111", \
"0.012284,0.013339,0.015122,0.018292,0.024174,0.036836,0.065982");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.005448,0.007532,0.011594,0.020070,0.037294,0.071792,0.140817", \
"0.005445,0.007535,0.011596,0.020067,0.037286,0.071805,0.140827", \
"0.005494,0.007569,0.011615,0.020072,0.037288,0.071781,0.140813", \
"0.005998,0.007914,0.011784,0.020107,0.037293,0.071791,0.140802", \
"0.007141,0.008812,0.012293,0.020315,0.037369,0.071802,0.140822", \
"0.009121,0.010851,0.013843,0.020956,0.037560,0.071924,0.140823", \
"0.012172,0.014244,0.017497,0.023550,0.038594,0.072380,0.141036");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.168353,1.230165,1.302378,1.372742,1.414977,1.432013,1.438385", \
"1.150081,1.211344,1.274795,1.352731,1.397000,1.413111,1.419516", \
"1.153869,1.208202,1.272377,1.351986,1.393652,1.412252,1.420494", \
"1.179527,1.240201,1.295922,1.373642,1.418563,1.437788,1.446496", \
"1.260965,1.300830,1.371972,1.451077,1.495953,1.516373,1.522577", \
"1.449804,1.471792,1.519089,1.598751,1.672762,1.709317,1.713866", \
"1.939307,1.940113,1.943218,1.999761,2.074139,2.126540,2.167501");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.748960,0.767792,0.784822,0.812825,0.811909,0.829461,0.781493", \
"0.742262,0.746462,0.776539,0.796932,0.782146,0.771137,0.815305", \
"0.745235,0.754555,0.766843,0.785636,0.787700,0.817363,0.798154", \
"0.761510,0.767932,0.779030,0.796107,0.784767,0.815596,0.823428", \
"0.864586,0.872856,0.858877,0.839093,0.855818,0.834084,0.888545", \
"1.080742,1.094267,1.109189,1.078829,1.069135,1.048490,1.033750", \
"1.494459,1.542519,1.569451,1.599742,1.602473,1.567221,1.482536");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X4
Cell Description : Combinational cell (AON_BUF_X4) with drive strength X4
*******************************************************************************************/
cell (AON_BUF_X4) {
drive_strength : 4;
area : 2.128000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 14877.208500;
leakage_power () {
when : "!A";
value : 17611.935000;
}
leakage_power () {
when : "A";
value : 12142.482000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.402073;
fall_capacitance : 0.386222;
rise_capacitance : 0.417924;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.735500;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.032589,0.036397,0.040447,0.047140,0.058258,0.077674,0.114166", \
"0.034820,0.038626,0.042675,0.049369,0.060489,0.079905,0.116401", \
"0.037909,0.041709,0.045754,0.052446,0.063566,0.082985,0.119478", \
"0.044560,0.048341,0.052376,0.059058,0.070175,0.089598,0.126100", \
"0.057473,0.061305,0.065386,0.072104,0.083251,0.102681,0.139163", \
"0.075821,0.080114,0.084715,0.092161,0.104083,0.124031,0.160513", \
"0.102084,0.106918,0.112158,0.120680,0.133866,0.155225,0.192398");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.022042,0.026399,0.031395,0.040752,0.058988,0.095215,0.167506", \
"0.024197,0.028552,0.033543,0.042896,0.061130,0.097362,0.169656", \
"0.026981,0.031325,0.036297,0.045626,0.063851,0.100094,0.172399", \
"0.032202,0.036556,0.041490,0.050738,0.068896,0.105104,0.177416", \
"0.038495,0.043238,0.048344,0.057605,0.075617,0.111673,0.183929", \
"0.044497,0.049915,0.055603,0.065059,0.082894,0.118718,0.190754", \
"0.048028,0.054155,0.060871,0.071699,0.089608,0.125105,0.196783");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.007298,0.008877,0.010788,0.014379,0.021340,0.035630,0.065831", \
"0.007299,0.008879,0.010788,0.014379,0.021339,0.035630,0.065831", \
"0.007302,0.008881,0.010793,0.014383,0.021342,0.035630,0.065832", \
"0.007314,0.008906,0.010818,0.014405,0.021354,0.035634,0.065832", \
"0.008437,0.009804,0.011508,0.014856,0.021610,0.035742,0.065854", \
"0.011441,0.012790,0.014444,0.017564,0.023707,0.036867,0.066112", \
"0.015639,0.017016,0.018738,0.021904,0.027775,0.039890,0.067594");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.006030,0.008886,0.012729,0.020850,0.037792,0.072108,0.140780", \
"0.006026,0.008887,0.012729,0.020851,0.037794,0.072118,0.140792", \
"0.006033,0.008894,0.012736,0.020859,0.037793,0.072114,0.140777", \
"0.006387,0.009103,0.012853,0.020894,0.037796,0.072099,0.140784", \
"0.007764,0.010269,0.013639,0.021252,0.037877,0.072112,0.140797", \
"0.009919,0.012562,0.015597,0.022295,0.038210,0.072222,0.140794", \
"0.013446,0.016315,0.019642,0.025553,0.039573,0.072613,0.140995");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.959510,2.009873,2.083520,2.206184,2.312512,2.371954,2.394493", \
"1.943978,1.995273,2.069626,2.189884,2.300758,2.354070,2.375594", \
"1.938024,1.989977,2.063260,2.183574,2.290041,2.352053,2.374754", \
"1.956163,2.009779,2.071777,2.190710,2.299015,2.363663,2.388535", \
"2.137434,2.147512,2.191597,2.283095,2.372833,2.426471,2.453064", \
"2.471012,2.429865,2.419222,2.473428,2.574008,2.615850,2.630559", \
"3.194806,3.082246,2.997307,2.948018,2.986488,3.036472,3.057831");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.507125,1.549706,1.562868,1.618721,1.607165,1.607328,1.531975", \
"1.491525,1.552271,1.581367,1.599207,1.544156,1.660054,1.612253", \
"1.503765,1.537008,1.549372,1.622415,1.632282,1.615372,1.576210", \
"1.563053,1.605057,1.592149,1.623628,1.586120,1.613995,1.542982", \
"1.657532,1.711394,1.715634,1.704194,1.632041,1.713485,1.715249", \
"1.931709,1.963238,1.978824,1.948573,1.801392,1.883725,1.639336", \
"2.572280,2.543953,2.554542,2.543196,2.471915,2.373084,2.117428");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X1
Cell Description : Combinational cell (AON_INV_X1) with drive strength X1
*******************************************************************************************/
cell (AON_INV_X1) {
drive_strength : 1;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2827.681231;
leakage_power () {
when : "!A";
value : 1995.346948;
}
leakage_power () {
when : "A";
value : 3660.015513;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.385505;
fall_capacitance : 0.369556;
rise_capacitance : 0.401454;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.730200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.005358,0.007074,0.010105,0.015489,0.025068,0.042137,0.072542", \
"0.007508,0.009235,0.012272,0.017659,0.027245,0.044316,0.074729", \
"0.009146,0.011549,0.015060,0.020427,0.029990,0.047042,0.077444", \
"0.010577,0.013884,0.018755,0.025716,0.035614,0.052571,0.082924", \
"0.011096,0.015560,0.022199,0.031783,0.045285,0.063957,0.094083", \
"0.009050,0.015058,0.024014,0.037008,0.055519,0.081350,0.116860", \
"0.0009220,0.008897,0.020917,0.038416,0.063483,0.098743,0.147782");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.010156,0.013767,0.020122,0.031348,0.051300,0.086819,0.150101", \
"0.012404,0.015974,0.022330,0.033603,0.053610,0.089182,0.152517", \
"0.015797,0.019236,0.025467,0.036659,0.056620,0.092171,0.155517", \
"0.020932,0.025528,0.032257,0.043203,0.062970,0.098379,0.161678", \
"0.028052,0.034176,0.043392,0.056704,0.076347,0.111363,0.174286", \
"0.038841,0.046646,0.058683,0.076610,0.102291,0.138507,0.200586", \
"0.056277,0.066144,0.081393,0.104503,0.138717,0.187633,0.255469");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.003220,0.004686,0.007303,0.011969,0.020293,0.035107,0.061535", \
"0.003623,0.004788,0.007306,0.011972,0.020290,0.035116,0.061540", \
"0.005271,0.006325,0.008044,0.012023,0.020280,0.035114,0.061543", \
"0.007886,0.009280,0.011381,0.014463,0.020801,0.035111,0.061544", \
"0.012288,0.014066,0.016813,0.020925,0.026848,0.037140,0.061536", \
"0.019982,0.022277,0.025827,0.031124,0.039013,0.050268,0.067700", \
"0.033696,0.036746,0.041489,0.048338,0.058453,0.073346,0.094625");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.007434,0.010771,0.016740,0.027370,0.046283,0.080112,0.140371", \
"0.007428,0.010775,0.016729,0.027363,0.046284,0.080062,0.140316", \
"0.008226,0.010935,0.016727,0.027360,0.046306,0.080063,0.140288", \
"0.011539,0.013780,0.017923,0.027369,0.046320,0.080073,0.140337", \
"0.016269,0.019491,0.024235,0.031298,0.046869,0.080067,0.140276", \
"0.023526,0.027692,0.034183,0.043656,0.056815,0.082590,0.140246", \
"0.036168,0.041065,0.049148,0.061732,0.080074,0.105446,0.148541");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.052995,0.053425,0.053546,0.053039,0.053703,0.053036,0.053653", \
"0.053339,0.053685,0.053215,0.053111,0.053715,0.053321,0.053665", \
"0.054352,0.053723,0.053671,0.053513,0.052939,0.053585,0.053273", \
"0.057947,0.056287,0.053913,0.053688,0.053113,0.053434,0.053497", \
"0.061355,0.058749,0.057024,0.054702,0.053360,0.053361,0.053496", \
"0.237036,0.211251,0.172272,0.120097,0.069147,0.053114,0.053410", \
"0.584530,0.560681,0.518359,0.442709,0.339508,0.229615,0.136939");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.480205,0.484964,0.479461,0.490947,0.489359,0.470919,0.505123", \
"0.466140,0.467230,0.458413,0.460632,0.443741,0.481610,0.451040", \
"0.468415,0.465009,0.458768,0.477320,0.460164,0.467119,0.436511", \
"0.508145,0.498299,0.494374,0.488568,0.464999,0.444237,0.481171", \
"0.570047,0.572680,0.567580,0.533658,0.509339,0.509631,0.443428", \
"0.746452,0.733169,0.714783,0.699279,0.645457,0.592221,0.535751", \
"1.184270,1.152816,1.096746,1.031934,0.970902,0.871916,0.768729");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X2
Cell Description : Combinational cell (AON_INV_X2) with drive strength X2
*******************************************************************************************/
cell (AON_INV_X2) {
drive_strength : 2;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 6024.279503;
leakage_power () {
when : "!A";
value : 4240.482290;
}
leakage_power () {
when : "A";
value : 7808.076716;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.697354;
fall_capacitance : 0.659797;
rise_capacitance : 0.734912;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003963,0.005232,0.007529,0.012078,0.021143,0.039245,0.075444", \
"0.005801,0.007349,0.009677,0.014233,0.023305,0.041415,0.077610", \
"0.006757,0.008901,0.012088,0.017007,0.026040,0.044127,0.080317", \
"0.007332,0.010230,0.014601,0.021429,0.031680,0.049649,0.085777", \
"0.006764,0.010652,0.016542,0.025847,0.040030,0.061016,0.096879", \
"0.003344,0.008527,0.016422,0.028962,0.048248,0.077194,0.119655", \
"-0.006368,0.0003835,0.010869,0.027685,0.053690,0.092999,0.151503");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.006950,0.009540,0.014203,0.023373,0.041568,0.077876,0.150460", \
"0.009331,0.011802,0.016410,0.025608,0.043873,0.080264,0.152868", \
"0.012232,0.015172,0.019650,0.028698,0.046895,0.083275,0.155894", \
"0.016043,0.020009,0.025998,0.035378,0.053299,0.089495,0.162039", \
"0.021686,0.026791,0.034749,0.047477,0.066833,0.102510,0.174682", \
"0.030701,0.037125,0.047273,0.064026,0.090343,0.129756,0.200918", \
"0.045891,0.053925,0.066700,0.088008,0.122471,0.176025,0.255586");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002011,0.003074,0.005041,0.008974,0.016837,0.032577,0.064043", \
"0.002846,0.003518,0.005098,0.008973,0.016843,0.032571,0.064043", \
"0.004232,0.005149,0.006554,0.009353,0.016841,0.032574,0.064048", \
"0.006516,0.007702,0.009563,0.012548,0.017943,0.032576,0.064042", \
"0.010482,0.012009,0.014391,0.018326,0.024519,0.035155,0.064043", \
"0.017555,0.019557,0.022645,0.027695,0.035827,0.048463,0.069597", \
"0.030572,0.033167,0.037219,0.043805,0.054244,0.070806,0.096337");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.004503,0.006837,0.011149,0.019783,0.037037,0.071541,0.140605", \
"0.004633,0.006837,0.011156,0.019788,0.037038,0.071566,0.140607", \
"0.006430,0.007814,0.011273,0.019780,0.037042,0.071562,0.140610", \
"0.009044,0.011075,0.014015,0.020424,0.037036,0.071554,0.140602", \
"0.012890,0.015617,0.019823,0.026345,0.038777,0.071570,0.140582", \
"0.019401,0.022671,0.028117,0.037127,0.050792,0.075480,0.140595", \
"0.031564,0.035197,0.041587,0.052952,0.071637,0.099646,0.148847");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.111351,0.111046,0.111792,0.111724,0.111638,0.111405,0.111544", \
"0.111337,0.111469,0.111018,0.110833,0.111591,0.111428,0.111375", \
"0.117103,0.112177,0.110810,0.110789,0.110779,0.111476,0.111047", \
"0.121840,0.120959,0.115183,0.110852,0.111479,0.111534,0.111163", \
"0.170014,0.129701,0.122299,0.117690,0.111102,0.111252,0.111053", \
"0.552821,0.510914,0.434600,0.316994,0.179376,0.110877,0.110801", \
"1.279969,1.258694,1.192208,1.049196,0.808260,0.518930,0.276877");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.897311,0.910711,0.929777,0.939044,0.933073,0.922609,0.916469", \
"0.866437,0.872004,0.879988,0.906875,0.881623,0.825704,0.944679", \
"0.899697,0.897644,0.896223,0.892225,0.915537,0.917194,0.916637", \
"0.943370,0.956946,0.949945,0.942435,0.883092,0.870205,0.867285", \
"1.082872,1.070446,1.103719,1.060842,0.993507,0.957384,0.934750", \
"1.527741,1.492842,1.436465,1.395156,1.273705,1.197520,0.988814", \
"2.482417,2.409081,2.329483,2.184187,1.978772,1.815878,1.482913");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X4
Cell Description : Combinational cell (AON_INV_X4) with drive strength X4
*******************************************************************************************/
cell (AON_INV_X4) {
drive_strength : 4;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 12048.536500;
leakage_power () {
when : "!A";
value : 8480.967000;
}
leakage_power () {
when : "A";
value : 15616.106000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 1.294895;
fall_capacitance : 1.217493;
rise_capacitance : 1.372296;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.964400;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.003510,0.005330,0.007626,0.012175,0.021238,0.039343,0.075540", \
"0.005161,0.007455,0.009773,0.014328,0.023403,0.041516,0.077712", \
"0.005874,0.009040,0.012201,0.017103,0.026135,0.044226,0.080418", \
"0.006145,0.010415,0.014750,0.021549,0.031776,0.049749,0.085879", \
"0.005168,0.010896,0.016738,0.026006,0.040157,0.061113,0.096979", \
"0.001219,0.008839,0.016683,0.029171,0.048416,0.077325,0.119758", \
"-0.009126,0.0007637,0.011202,0.027967,0.053915,0.093181,0.151646");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.005983,0.009701,0.014356,0.023525,0.041719,0.078025,0.150590", \
"0.008450,0.011957,0.016566,0.025758,0.044025,0.080403,0.153013", \
"0.010984,0.015335,0.019804,0.028854,0.047052,0.083414,0.156040", \
"0.014386,0.020222,0.026171,0.035528,0.053452,0.089643,0.162189", \
"0.019586,0.027064,0.034976,0.047658,0.066984,0.102658,0.174842", \
"0.028075,0.037457,0.047557,0.064257,0.090525,0.129895,0.201070", \
"0.042609,0.054314,0.067041,0.088290,0.122697,0.176196,0.255723");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001620,0.003134,0.005100,0.009033,0.016900,0.032638,0.064107", \
"0.002552,0.003557,0.005150,0.009034,0.016899,0.032635,0.064110", \
"0.003844,0.005188,0.006587,0.009401,0.016900,0.032632,0.064111", \
"0.006020,0.007759,0.009606,0.012585,0.017983,0.032636,0.064106", \
"0.009828,0.012067,0.014439,0.018370,0.024552,0.035200,0.064107", \
"0.016676,0.019612,0.022690,0.027734,0.035863,0.048489,0.069639", \
"0.029488,0.033173,0.037256,0.043834,0.054263,0.070825,0.096349");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.003648,0.006962,0.011273,0.019906,0.037153,0.071674,0.140689", \
"0.003975,0.006966,0.011279,0.019907,0.037172,0.071674,0.140668", \
"0.005813,0.007892,0.011388,0.019904,0.037163,0.071674,0.140676", \
"0.008171,0.011166,0.014082,0.020531,0.037164,0.071658,0.140686", \
"0.011761,0.015735,0.019923,0.026417,0.038873,0.071670,0.140689", \
"0.018084,0.022817,0.028236,0.037228,0.050863,0.075566,0.140679", \
"0.030128,0.035341,0.041720,0.053062,0.071710,0.099689,0.148924");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.070468,0.084741,0.092196,0.096189,0.096783,0.098178,0.100161", \
"0.040976,0.057090,0.071717,0.080909,0.090465,0.094249,0.096535", \
"0.094217,0.066037,0.064926,0.076440,0.086831,0.092032,0.095536", \
"0.191175,0.145969,0.115381,0.088278,0.092152,0.094122,0.098024", \
"0.442797,0.328927,0.271848,0.202579,0.141061,0.123513,0.113091", \
"1.204014,1.091516,0.946374,0.707028,0.431405,0.246925,0.188931", \
"2.670642,2.577936,2.451602,2.161806,1.679841,1.108184,0.627280");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("1.578588,1.617203,1.626812,1.669743,1.630605,1.615170,1.596750", \
"1.527570,1.542059,1.562092,1.604279,1.584655,1.662980,1.654480", \
"1.594852,1.579380,1.575148,1.601602,1.590876,1.603500,1.599320", \
"1.641805,1.741496,1.677446,1.648921,1.597996,1.511470,1.501720", \
"1.971170,1.957885,2.005388,1.916225,1.830997,1.685250,1.637840", \
"2.859061,2.755378,2.633553,2.564705,2.356159,2.164890,2.022030", \
"4.780785,4.598156,4.410002,4.106167,3.782413,3.397790,2.733330");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X1
Cell Description : Combinational cell (HEADER_OE_X1) with drive strength X1
*******************************************************************************************/
cell (HEADER_OE_X1) {
drive_strength : 1;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 6287049.131000;
leakage_power () {
when : "!SLEEP";
value : 12568380.000000;
}
leakage_power () {
when : "SLEEP";
value : 5718.262000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.664289;
fall_capacitance : 0.614595;
rise_capacitance : 0.713983;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 12.168900;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.022492,0.024903,0.028728,0.034881,0.045143,0.062986,0.094783", \
"0.024704,0.027117,0.030943,0.037099,0.047365,0.065216,0.097007", \
"0.027943,0.030355,0.034183,0.040345,0.050617,0.068466,0.100264", \
"0.034263,0.036713,0.040581,0.046782,0.057068,0.074913,0.106719", \
"0.043439,0.046180,0.050400,0.056927,0.067440,0.085324,0.117080", \
"0.056339,0.059493,0.064269,0.071370,0.082373,0.100557,0.132458", \
"0.076238,0.079960,0.085599,0.093754,0.105825,0.124826,0.156977");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.019407,0.022962,0.029223,0.040344,0.060175,0.095655,0.159186", \
"0.021520,0.025062,0.031315,0.042443,0.062290,0.097764,0.161347", \
"0.024050,0.027561,0.033791,0.044907,0.064757,0.100257,0.163831", \
"0.027441,0.030951,0.037161,0.048226,0.068052,0.103541,0.167132", \
"0.030988,0.034536,0.040689,0.051699,0.071482,0.106931,0.170504", \
"0.033763,0.037670,0.043989,0.054782,0.074455,0.109831,0.173321", \
"0.032755,0.037571,0.044848,0.056092,0.075701,0.111145,0.174519");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.005652,0.007028,0.009444,0.013792,0.021859,0.036891,0.064402", \
"0.005663,0.007035,0.009443,0.013794,0.021859,0.036893,0.064408", \
"0.005683,0.007047,0.009455,0.013800,0.021861,0.036894,0.064401", \
"0.006000,0.007294,0.009625,0.013896,0.021900,0.036899,0.064410", \
"0.007230,0.008490,0.010681,0.014703,0.022342,0.037015,0.064413", \
"0.009048,0.010335,0.012481,0.016255,0.023439,0.037671,0.064666", \
"0.011868,0.013291,0.015583,0.019332,0.026009,0.039201,0.065370");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.008047,0.011187,0.016950,0.027407,0.046205,0.079892,0.140342", \
"0.008052,0.011183,0.016953,0.027411,0.046212,0.079879,0.140367", \
"0.008084,0.011201,0.016955,0.027405,0.046196,0.079881,0.140340", \
"0.008350,0.011363,0.017020,0.027412,0.046186,0.079879,0.140342", \
"0.009003,0.011740,0.017194,0.027529,0.046221,0.079864,0.140322", \
"0.010764,0.013091,0.017903,0.027775,0.046383,0.079994,0.140316", \
"0.013989,0.016397,0.020534,0.029211,0.047142,0.080436,0.140525");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("1.165308,1.215359,1.252722,1.274074,1.284437,1.270944,1.292854", \
"1.118194,1.155965,1.196272,1.221493,1.232232,1.245344,1.242641", \
"1.099892,1.131150,1.169575,1.196807,1.211439,1.216946,1.174364", \
"1.052904,1.087156,1.134127,1.158831,1.160337,1.153332,1.177084", \
"0.958411,1.002377,1.077946,1.106713,1.109855,1.131507,1.110216", \
"0.911347,0.950448,0.992429,1.008127,1.036780,1.042826,1.052766", \
"1.099339,1.105235,1.138530,1.146980,1.111115,1.044899,1.008025");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.361216,0.375867,0.380785,0.388700,0.370961,0.388367,0.345811", \
"0.328584,0.330100,0.338242,0.338705,0.336055,0.319295,0.330042", \
"0.288278,0.289519,0.295671,0.292527,0.316411,0.281838,0.288461", \
"0.242616,0.242584,0.244157,0.247006,0.249483,0.241172,0.251780", \
"0.216453,0.200647,0.189105,0.177621,0.183599,0.163324,0.193112", \
"0.191439,0.189866,0.173836,0.149398,0.141423,0.107548,0.135226", \
"0.055061,0.102086,0.127170,0.160819,0.161465,0.124311,0.106415");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X2
Cell Description : Combinational cell (HEADER_OE_X2) with drive strength X2
*******************************************************************************************/
cell (HEADER_OE_X2) {
drive_strength : 2;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 12984438.016000;
leakage_power () {
when : "!SLEEP";
value : 25960880.000000;
}
leakage_power () {
when : "SLEEP";
value : 7996.032000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.851654;
fall_capacitance : 0.776054;
rise_capacitance : 0.927254;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.367700;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.025196,0.027431,0.030954,0.036871,0.047038,0.065626,0.101766", \
"0.027415,0.029646,0.033170,0.039087,0.049257,0.067845,0.103990", \
"0.030596,0.032820,0.036340,0.042257,0.052429,0.071022,0.107167", \
"0.037255,0.039468,0.042981,0.048898,0.059081,0.077681,0.113821", \
"0.047992,0.050422,0.054222,0.060463,0.070870,0.089514,0.125626", \
"0.062679,0.065444,0.069774,0.076779,0.087993,0.107194,0.143409", \
"0.084502,0.087709,0.092736,0.100805,0.113366,0.133822,0.170474");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.019330,0.022067,0.026844,0.036070,0.054272,0.090501,0.162790", \
"0.021476,0.024204,0.028972,0.038192,0.056401,0.092630,0.164943", \
"0.024221,0.026928,0.031663,0.040852,0.059056,0.095295,0.167619", \
"0.028560,0.031308,0.036033,0.045149,0.063290,0.099512,0.171846", \
"0.033256,0.036214,0.041050,0.050140,0.068185,0.104311,0.176604", \
"0.037149,0.040615,0.045971,0.055215,0.073060,0.109031,0.181190", \
"0.037776,0.041887,0.048369,0.058777,0.076677,0.112522,0.184491");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.005615,0.006683,0.008536,0.012074,0.019146,0.033930,0.064811", \
"0.005617,0.006684,0.008541,0.012077,0.019147,0.033931,0.064810", \
"0.005624,0.006693,0.008549,0.012083,0.019150,0.033932,0.064808", \
"0.005767,0.006813,0.008646,0.012148,0.019182,0.033941,0.064813", \
"0.007190,0.008157,0.009830,0.013051,0.019669,0.034088,0.064827", \
"0.009390,0.010386,0.012086,0.015199,0.021410,0.035083,0.065088", \
"0.012603,0.013682,0.015507,0.018738,0.024682,0.037224,0.066016");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.006098,0.008156,0.012163,0.020549,0.037671,0.072026,0.140757", \
"0.006104,0.008161,0.012163,0.020546,0.037678,0.072045,0.140767", \
"0.006128,0.008180,0.012177,0.020554,0.037667,0.072026,0.140748", \
"0.006571,0.008492,0.012329,0.020583,0.037667,0.072019,0.140754", \
"0.007677,0.009377,0.012868,0.020819,0.037741,0.072019,0.140770", \
"0.009669,0.011378,0.014409,0.021487,0.037936,0.072148,0.140777", \
"0.012721,0.014774,0.018010,0.024093,0.038980,0.072570,0.140960");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("1.532778,1.597075,1.662206,1.763098,1.815042,1.832969,1.796601", \
"1.448261,1.520235,1.609959,1.678462,1.718644,1.732514,1.763942", \
"1.380846,1.453825,1.535285,1.618606,1.663287,1.683141,1.670891", \
"1.278379,1.339868,1.419767,1.503840,1.552470,1.550697,1.500519", \
"1.078215,1.142779,1.223060,1.299113,1.344436,1.337008,1.361613", \
"0.845473,0.859201,0.874036,0.935647,0.970126,1.023151,1.011164", \
"0.844772,0.799006,0.753576,0.719944,0.620522,0.482531,0.342005");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.621231,0.636728,0.653366,0.643098,0.667500,0.622958,0.605731", \
"0.547176,0.567296,0.585279,0.607677,0.608479,0.590411,0.586427", \
"0.490680,0.502836,0.504809,0.507495,0.525401,0.490553,0.499687", \
"0.377852,0.388420,0.389084,0.394749,0.392151,0.342903,0.377264", \
"0.224821,0.216106,0.198552,0.183455,0.194533,0.191975,0.132850", \
"-0.067927,-0.054032,-0.081284,-0.112430,-0.186682,-0.157179,-0.183620", \
"-0.713557,-0.695919,-0.646296,-0.630843,-0.668884,-0.735382,-0.811434");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X4
Cell Description : Combinational cell (HEADER_OE_X4) with drive strength X4
*******************************************************************************************/
cell (HEADER_OE_X4) {
drive_strength : 4;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 26330320.995000;
leakage_power () {
when : "!SLEEP";
value : 52648090.000000;
}
leakage_power () {
when : "SLEEP";
value : 12551.990000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.201896;
fall_capacitance : 1.073411;
rise_capacitance : 1.330381;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.803600;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.031635,0.035597,0.039721,0.046483,0.057664,0.077158,0.113811", \
"0.033883,0.037843,0.041967,0.048729,0.059910,0.079405,0.116061", \
"0.036990,0.040943,0.045063,0.051822,0.063005,0.082502,0.119157", \
"0.043652,0.047587,0.051692,0.058441,0.069623,0.089127,0.125789", \
"0.056440,0.060465,0.064637,0.071434,0.082650,0.102160,0.138800", \
"0.074500,0.078991,0.083688,0.091264,0.103305,0.123348,0.160002", \
"0.100105,0.105165,0.110518,0.119194,0.132674,0.154125,0.191460");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.021839,0.026404,0.031494,0.040948,0.059300,0.095750,0.168471", \
"0.023996,0.028558,0.033645,0.043091,0.061449,0.097894,0.170623", \
"0.026782,0.031331,0.036402,0.045827,0.064168,0.100628,0.173363", \
"0.032072,0.036624,0.041659,0.051015,0.069285,0.105711,0.178449", \
"0.038524,0.043519,0.048741,0.058114,0.076242,0.112517,0.185201", \
"0.044726,0.050391,0.056242,0.065928,0.083898,0.119939,0.192407", \
"0.048708,0.055050,0.061926,0.072952,0.091206,0.126885,0.198994");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.007005,0.008618,0.010546,0.014162,0.021163,0.035540,0.065927", \
"0.007002,0.008617,0.010548,0.014163,0.021164,0.035540,0.065927", \
"0.007003,0.008621,0.010551,0.014168,0.021165,0.035541,0.065927", \
"0.007016,0.008644,0.010579,0.014188,0.021179,0.035547,0.065928", \
"0.008209,0.009602,0.011311,0.014664,0.021444,0.035656,0.065951", \
"0.011203,0.012572,0.014248,0.017384,0.023556,0.036789,0.066208", \
"0.015411,0.016809,0.018544,0.021736,0.027624,0.039798,0.067675");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.005712,0.008619,0.012456,0.020586,0.037613,0.072131,0.141222", \
"0.005712,0.008616,0.012457,0.020590,0.037604,0.072107,0.141226", \
"0.005714,0.008624,0.012466,0.020595,0.037603,0.072112,0.141228", \
"0.006062,0.008825,0.012579,0.020632,0.037613,0.072121,0.141227", \
"0.007428,0.010017,0.013394,0.021001,0.037697,0.072110,0.141217", \
"0.009554,0.012312,0.015395,0.022083,0.038035,0.072228,0.141225", \
"0.013097,0.016015,0.019428,0.025402,0.039418,0.072628,0.141417");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("2.339401,2.402155,2.463961,2.611671,2.716895,2.756039,2.768148", \
"2.208045,2.280287,2.332657,2.490672,2.589667,2.623251,2.643696", \
"2.061827,2.133275,2.200989,2.343355,2.449721,2.470247,2.485281", \
"1.818790,1.880170,1.933548,2.065531,2.194493,2.196008,2.223958", \
"1.471880,1.475437,1.515756,1.613815,1.713179,1.754041,1.771093", \
"0.828747,0.740995,0.695442,0.675153,0.806520,0.852327,0.824367", \
"0.336788,0.119921,-0.080797,-0.317894,-0.578403,-0.804656,-0.878489");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.934537,1.011014,1.026411,1.050678,1.089713,1.158104,1.167522", \
"0.811675,0.874493,0.894634,0.938114,0.884623,1.010456,0.848754", \
"0.680847,0.756785,0.766526,0.805495,0.831413,0.656035,0.861560", \
"0.452453,0.512871,0.529853,0.521817,0.517666,0.445445,0.610290", \
"0.086930,0.108539,0.097542,0.056835,0.053573,-0.024322,-0.015688", \
"-0.669783,-0.657428,-0.654087,-0.728991,-0.805865,-0.965516,-0.928819", \
"-2.171900,-2.263240,-2.219010,-2.247760,-2.368880,-2.509320,-2.709780");
}
}
}
}
/******************************************************************************************
Module : HEADER_X1
Cell Description : Physical cell (HEADER_X1)
*******************************************************************************************/
cell (HEADER_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 6280960.289780;
leakage_power () {
when : "!SLEEP";
value : 12561890.000000;
}
leakage_power () {
when : "SLEEP";
value : 30.579560;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.300032;
fall_capacitance : 0.272032;
rise_capacitance : 0.328032;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.100656,0.076123,0.043144,-0.022725,-0.154872,-0.419188,-0.947725");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.009971,-0.017023,-0.049897,-0.115756,-0.247486,-0.511218,-1.038530");
}
}
}
}
/******************************************************************************************
Module : HEADER_X2
Cell Description : Physical cell (HEADER_X2)
*******************************************************************************************/
cell (HEADER_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 12976236.752166;
leakage_power () {
when : "!SLEEP";
value : 25952410.000000;
}
leakage_power () {
when : "SLEEP";
value : 63.504332;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.469672;
fall_capacitance : 0.417252;
rise_capacitance : 0.522093;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.210874,0.158125,0.090186,-0.045570,-0.317331,-0.861330,-1.949010");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.020168,-0.035010,-0.102825,-0.238108,-0.509289,-1.051930,-2.137040");
}
}
}
}
/******************************************************************************************
Module : HEADER_X4
Cell Description : Physical cell (HEADER_X4)
*******************************************************************************************/
cell (HEADER_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 26296829.659031;
leakage_power () {
when : "!SLEEP";
value : 52593530.000000;
}
leakage_power () {
when : "SLEEP";
value : 129.318063;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.818930;
fall_capacitance : 0.705670;
rise_capacitance : 0.932189;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.429793,0.320567,0.183280,-0.091174,-0.640094,-1.738290,-3.935120");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.041611,-0.069567,-0.206645,-0.480518,-1.028060,-2.123410,-4.315030");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X1
Cell Description : Combinational cell (ISO_FENCE0N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 12860.121192;
leakage_power () {
when : "!A & !EN";
value : 7937.281000;
}
leakage_power () {
when : "!A & EN";
value : 16892.469000;
}
leakage_power () {
when : "A & !EN";
value : 8199.132766;
}
leakage_power () {
when : "A & EN";
value : 18411.602000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.541923;
fall_capacitance : 0.515741;
rise_capacitance : 0.568105;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.370520,0.371318,0.371920,0.372473,0.373269,0.373270,0.372902");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.139250,-0.139351,-0.139476,-0.139727,-0.140231,-0.141235,-0.143245");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.638813;
fall_capacitance : 0.622598;
rise_capacitance : 0.655028;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.379227,0.358785,0.353819,0.350072,0.347358,0.344750,0.342786");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.180165,-0.180282,-0.180427,-0.180716,-0.181295,-0.182454,-0.184770");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.959000;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.021241,0.023089,0.026175,0.031305,0.040121,0.056117,0.086491", \
"0.023321,0.025166,0.028252,0.033386,0.042204,0.058202,0.088575", \
"0.026472,0.028314,0.031401,0.036539,0.045364,0.061364,0.091741", \
"0.032765,0.034650,0.037783,0.042964,0.051814,0.067822,0.098196", \
"0.041869,0.044020,0.047519,0.053118,0.062324,0.078465,0.108811", \
"0.054930,0.057417,0.061454,0.067770,0.077614,0.094240,0.124812", \
"0.075152,0.078084,0.082887,0.090320,0.101590,0.119448,0.150560");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.020938,0.023826,0.029114,0.038961,0.057707,0.093709,0.163080", \
"0.022793,0.025675,0.030950,0.040791,0.059536,0.095548,0.164939", \
"0.025254,0.028112,0.033353,0.043169,0.061911,0.097939,0.167335", \
"0.028806,0.031706,0.036974,0.046748,0.065438,0.101457,0.170875", \
"0.032490,0.035441,0.040717,0.050493,0.069238,0.105184,0.174580", \
"0.035276,0.038607,0.044164,0.053956,0.072499,0.108449,0.177801", \
"0.034297,0.038334,0.044947,0.055492,0.074096,0.110022,0.179329");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.004705,0.005669,0.007428,0.010742,0.017236,0.030325,0.056455", \
"0.004712,0.005670,0.007430,0.010743,0.017237,0.030324,0.056454", \
"0.004729,0.005689,0.007443,0.010752,0.017241,0.030326,0.056451", \
"0.005107,0.005990,0.007658,0.010884,0.017303,0.030344,0.056456", \
"0.006357,0.007240,0.008844,0.011872,0.017944,0.030557,0.056473", \
"0.008150,0.009088,0.010727,0.013646,0.019324,0.031416,0.056845", \
"0.011011,0.012043,0.013844,0.016904,0.022358,0.033488,0.057787");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.007122,0.009358,0.013808,0.022739,0.040335,0.074487,0.140448", \
"0.007123,0.009358,0.013811,0.022740,0.040336,0.074498,0.140438", \
"0.007160,0.009384,0.013827,0.022741,0.040338,0.074480,0.140435", \
"0.007498,0.009678,0.014014,0.022791,0.040342,0.074491,0.140434", \
"0.008156,0.010144,0.014331,0.023080,0.040517,0.074484,0.140437", \
"0.009891,0.011665,0.015311,0.023470,0.040717,0.074761,0.140475", \
"0.012826,0.014876,0.018324,0.025371,0.041666,0.075269,0.140865");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.024294,0.026202,0.029376,0.034621,0.043558,0.059655,0.090099", \
"0.026473,0.028380,0.031555,0.036802,0.045740,0.061839,0.092276", \
"0.029651,0.031553,0.034725,0.039974,0.048917,0.065018,0.095463", \
"0.036290,0.038192,0.041372,0.046632,0.055586,0.071692,0.102142", \
"0.046852,0.048975,0.052444,0.058023,0.067220,0.083398,0.113824", \
"0.061831,0.064268,0.068231,0.074426,0.084205,0.100815,0.131421", \
"0.084985,0.087826,0.092431,0.099540,0.110301,0.127720,0.158609");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.022246,0.025135,0.030423,0.040276,0.059020,0.095024,0.164402", \
"0.024071,0.026955,0.032238,0.042090,0.060840,0.096845,0.166234", \
"0.025967,0.028840,0.034105,0.043941,0.062689,0.098711,0.168091", \
"0.028961,0.031858,0.037136,0.046949,0.065677,0.101700,0.171106", \
"0.032526,0.035488,0.040801,0.050624,0.069365,0.105358,0.174776", \
"0.035280,0.038514,0.044063,0.053982,0.072646,0.108633,0.178009", \
"0.033938,0.037790,0.044137,0.054592,0.073505,0.109660,0.179064");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.004982,0.005937,0.007684,0.010979,0.017437,0.030472,0.056554", \
"0.004986,0.005935,0.007689,0.010979,0.017438,0.030471,0.056551", \
"0.004995,0.005945,0.007695,0.010986,0.017440,0.030473,0.056550", \
"0.005141,0.006068,0.007788,0.011046,0.017469,0.030482,0.056551", \
"0.006308,0.007176,0.008780,0.011821,0.017922,0.030628,0.056574", \
"0.008019,0.008920,0.010519,0.013434,0.019185,0.031392,0.056872", \
"0.010484,0.011439,0.013125,0.016074,0.021512,0.032851,0.057515");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.007124,0.009358,0.013809,0.022735,0.040338,0.074485,0.140441", \
"0.007128,0.009362,0.013810,0.022735,0.040334,0.074482,0.140445", \
"0.007135,0.009368,0.013816,0.022741,0.040342,0.074496,0.140442", \
"0.007351,0.009551,0.013930,0.022765,0.040336,0.074482,0.140431", \
"0.007838,0.009941,0.014204,0.022952,0.040419,0.074475,0.140437", \
"0.009106,0.011053,0.014991,0.023358,0.040604,0.074624,0.140450", \
"0.011500,0.013555,0.017237,0.024886,0.041574,0.075190,0.140702");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.601772,1.664847,1.742018,1.804232,1.837608,1.849387,1.854235", \
"1.558639,1.614510,1.698842,1.759958,1.793998,1.807672,1.811823", \
"1.552411,1.608782,1.690728,1.755176,1.790373,1.804883,1.810734", \
"1.582587,1.645178,1.728343,1.793496,1.829354,1.843255,1.850300", \
"1.631846,1.710871,1.805624,1.915168,1.964794,1.978477,1.984318", \
"1.874733,1.941206,2.036157,2.152224,2.241759,2.312045,2.317509", \
"2.543435,2.585790,2.665798,2.785087,2.926281,3.014143,3.078857");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.826176,0.825690,0.841567,0.845970,0.873185,0.831579,0.824170", \
"0.799053,0.809510,0.814166,0.828117,0.832576,0.857271,0.844879", \
"0.791759,0.803759,0.821076,0.830873,0.836266,0.782708,0.833747", \
"0.833855,0.836258,0.840365,0.850866,0.829328,0.856610,0.870985", \
"0.974393,0.967077,0.953478,0.939006,0.954765,0.953571,0.973155", \
"1.324562,1.333898,1.331304,1.313009,1.280911,1.270832,1.281602", \
"1.954556,2.027568,2.067957,2.114058,2.131299,2.081168,2.063371");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.859778,1.935689,2.022383,2.100503,2.147754,2.169355,2.182068", \
"1.839477,1.904839,1.994022,2.068602,2.115817,2.138395,2.151903", \
"1.832904,1.905067,1.993272,2.068680,2.115961,2.139159,2.151903", \
"1.870280,1.949466,2.019248,2.104771,2.154848,2.179337,2.193363", \
"1.943188,2.033056,2.129933,2.231048,2.284814,2.310685,2.323457", \
"2.188780,2.253269,2.344129,2.470835,2.570719,2.631203,2.648304", \
"2.870729,2.909427,2.988560,3.109124,3.223682,3.293834,3.366020");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.817381,0.832649,0.852399,0.855605,0.857621,0.860040,0.798144", \
"0.794254,0.818439,0.828642,0.833340,0.845930,0.850145,0.819066", \
"0.787551,0.791327,0.799155,0.807636,0.813446,0.811722,0.811011", \
"0.792793,0.794893,0.801402,0.816834,0.802234,0.774508,0.835122", \
"0.856052,0.866004,0.857769,0.863827,0.850790,0.809886,0.884397", \
"1.107330,1.111857,1.114090,1.097902,1.059686,1.105923,1.095338", \
"1.582007,1.641442,1.693981,1.745886,1.782607,1.740203,1.737124");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X2
Cell Description : Combinational cell (ISO_FENCE0N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 18870.078442;
leakage_power () {
when : "!A & !EN";
value : 14703.700000;
}
leakage_power () {
when : "!A & EN";
value : 23658.734000;
}
leakage_power () {
when : "A & !EN";
value : 14965.661766;
}
leakage_power () {
when : "A & EN";
value : 22152.218000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.570747;
fall_capacitance : 0.545289;
rise_capacitance : 0.596205;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.370579,0.371115,0.371738,0.372213,0.372992,0.373252,0.372911");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.200731,-0.203091,-0.204198,-0.204358,-0.203625,-0.202970,-0.201898");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.652199;
fall_capacitance : 0.637161;
rise_capacitance : 0.667236;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.379452,0.358708,0.353677,0.350067,0.347283,0.344758,0.342808");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.293857,-0.294060,-0.294309,-0.294809,-0.295808,-0.297806,-0.301802");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.520300;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.023663,0.026570,0.029925,0.035480,0.044900,0.061913,0.094740", \
"0.025765,0.028668,0.032023,0.037579,0.047000,0.064013,0.096842", \
"0.028858,0.031749,0.035097,0.040653,0.050078,0.067096,0.099927", \
"0.035465,0.038336,0.041676,0.047235,0.056672,0.073697,0.106533", \
"0.045955,0.049151,0.052802,0.058716,0.068423,0.085522,0.118325", \
"0.060645,0.064280,0.068456,0.075125,0.085665,0.103385,0.136376", \
"0.082779,0.086983,0.091856,0.099647,0.111647,0.130710,0.164282");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.021142,0.025168,0.030256,0.039734,0.058078,0.094434,0.166953", \
"0.023020,0.027043,0.032123,0.041595,0.059936,0.096295,0.168822", \
"0.025553,0.029557,0.034613,0.044056,0.062388,0.098752,0.171288", \
"0.029873,0.033929,0.038977,0.048364,0.066632,0.102966,0.175512", \
"0.034616,0.038875,0.044014,0.053435,0.071706,0.107936,0.180429", \
"0.038559,0.043440,0.049033,0.058576,0.076660,0.112843,0.185248", \
"0.039283,0.044904,0.051547,0.062199,0.080435,0.116436,0.188687");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.004894,0.006203,0.007915,0.011155,0.017561,0.030881,0.058776", \
"0.004893,0.006206,0.007918,0.011155,0.017561,0.030881,0.058774", \
"0.004901,0.006214,0.007927,0.011161,0.017564,0.030881,0.058776", \
"0.005077,0.006351,0.008036,0.011234,0.017603,0.030894,0.058777", \
"0.006587,0.007757,0.009293,0.012226,0.018173,0.031082,0.058803", \
"0.008773,0.009994,0.011556,0.014401,0.019998,0.032204,0.059158", \
"0.012079,0.013387,0.015066,0.018038,0.023421,0.034620,0.060284");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.005785,0.008520,0.012440,0.020599,0.037567,0.071970,0.140857", \
"0.005783,0.008521,0.012441,0.020599,0.037562,0.071955,0.140852", \
"0.005789,0.008532,0.012452,0.020608,0.037569,0.071976,0.140855", \
"0.006163,0.008814,0.012621,0.020669,0.037579,0.071961,0.140853", \
"0.007146,0.009556,0.013160,0.021049,0.037749,0.071958,0.140856", \
"0.008989,0.011426,0.014575,0.021693,0.038019,0.072237,0.140894", \
"0.011964,0.014756,0.018107,0.024271,0.039133,0.072680,0.141269");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.026627,0.029604,0.033036,0.038701,0.048249,0.065379,0.098287", \
"0.028829,0.031804,0.035237,0.040902,0.050452,0.067582,0.100495", \
"0.031966,0.034938,0.038365,0.044029,0.053580,0.070714,0.103624", \
"0.038695,0.041638,0.045054,0.050714,0.060270,0.077410,0.110328", \
"0.050429,0.053602,0.057227,0.063120,0.072823,0.090004,0.122900", \
"0.066917,0.070530,0.074673,0.081268,0.091733,0.109448,0.142476", \
"0.091742,0.095901,0.100671,0.108236,0.119928,0.138695,0.172146");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.022451,0.026477,0.031564,0.041045,0.059389,0.095748,0.168255", \
"0.024304,0.028327,0.033412,0.042890,0.061239,0.097594,0.170116", \
"0.026271,0.030284,0.035356,0.044817,0.063159,0.099531,0.172048", \
"0.029609,0.033669,0.038745,0.048178,0.066492,0.102858,0.175388", \
"0.033928,0.038127,0.043288,0.052767,0.071077,0.107398,0.179916", \
"0.037854,0.042482,0.047933,0.057562,0.075817,0.112081,0.184544", \
"0.038218,0.043589,0.049881,0.060243,0.078792,0.115147,0.187561");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.005195,0.006488,0.008189,0.011409,0.017783,0.031049,0.058886", \
"0.005193,0.006488,0.008189,0.011411,0.017784,0.031050,0.058885", \
"0.005196,0.006494,0.008195,0.011415,0.017786,0.031049,0.058885", \
"0.005253,0.006552,0.008246,0.011450,0.017805,0.031057,0.058886", \
"0.006525,0.007680,0.009212,0.012153,0.018179,0.031190,0.058909", \
"0.008684,0.009856,0.011380,0.014214,0.019862,0.032169,0.059199", \
"0.011680,0.012922,0.014514,0.017401,0.022772,0.034145,0.060119");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.005784,0.008520,0.012441,0.020602,0.037567,0.071961,0.140864", \
"0.005782,0.008522,0.012442,0.020601,0.037560,0.071960,0.140846", \
"0.005788,0.008526,0.012446,0.020601,0.037566,0.071958,0.140854", \
"0.005997,0.008689,0.012550,0.020642,0.037565,0.071966,0.140855", \
"0.006557,0.009176,0.012934,0.020897,0.037674,0.071961,0.140858", \
"0.007881,0.010423,0.013915,0.021446,0.037902,0.072098,0.140875", \
"0.010174,0.013007,0.016442,0.023282,0.038929,0.072605,0.141118");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.943237,2.066814,2.182190,2.315841,2.398738,2.436776,2.450673", \
"1.893268,2.021763,2.144578,2.271737,2.362647,2.398142,2.412243", \
"1.896068,2.015187,2.130282,2.258854,2.348228,2.388627,2.403068", \
"1.941019,2.052124,2.161533,2.284923,2.378307,2.416818,2.431460", \
"2.069672,2.157119,2.281895,2.412713,2.499317,2.539935,2.554427", \
"2.392741,2.439666,2.510439,2.642291,2.780874,2.858014,2.869065", \
"3.223773,3.211061,3.221672,3.306338,3.445412,3.545064,3.610884");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.272957,1.318036,1.344587,1.360679,1.426585,1.443243,1.330625", \
"1.254880,1.295218,1.320336,1.366060,1.407041,1.372575,1.395263", \
"1.255517,1.286788,1.302182,1.334615,1.324708,1.388825,1.374706", \
"1.284738,1.344761,1.333545,1.373765,1.334718,1.383856,1.393494", \
"1.457845,1.485999,1.481192,1.461546,1.483209,1.447838,1.427377", \
"1.793157,1.872469,1.857396,1.811751,1.763719,1.741165,1.636736", \
"2.559142,2.631343,2.659353,2.668362,2.597874,2.555582,2.493569");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("2.216185,2.333311,2.462606,2.597711,2.697485,2.746990,2.771931", \
"2.176897,2.308836,2.427906,2.572025,2.674009,2.718767,2.741564", \
"2.177115,2.301453,2.422433,2.563519,2.665604,2.717690,2.742887", \
"2.217332,2.337443,2.459951,2.594797,2.699120,2.749213,2.772605", \
"2.372071,2.485273,2.583159,2.721002,2.822786,2.873286,2.897196", \
"2.716505,2.751023,2.829022,2.976843,3.113027,3.188282,3.210229", \
"3.541916,3.536623,3.552785,3.637426,3.765324,3.859646,3.932267");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.273245,1.315500,1.333621,1.365483,1.427668,1.318613,1.273762", \
"1.254715,1.289713,1.309899,1.373719,1.402403,1.407945,1.340432", \
"1.248171,1.297076,1.324736,1.338098,1.343846,1.370109,1.338087", \
"1.248459,1.286502,1.306051,1.339846,1.365138,1.405488,1.376078", \
"1.337899,1.365164,1.366438,1.386543,1.368592,1.392455,1.378333", \
"1.577627,1.627686,1.623459,1.626994,1.557166,1.531922,1.522289", \
"2.084002,2.174254,2.236268,2.282401,2.263056,2.216213,2.210134");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X4
Cell Description : Combinational cell (ISO_FENCE0N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 37326.756404;
leakage_power () {
when : "!A & !EN";
value : 29321.600000;
}
leakage_power () {
when : "!A & EN";
value : 46705.450000;
}
leakage_power () {
when : "A & !EN";
value : 29828.985615;
}
leakage_power () {
when : "A & EN";
value : 43450.990000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.959318;
fall_capacitance : 0.908125;
rise_capacitance : 1.010510;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.722644,0.723455,0.724121,0.725448,0.726277,0.726688,0.726069");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.398393,-0.401642,-0.403270,-0.403653,-0.403278,-0.400966,-0.400429");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.096505;
fall_capacitance : 1.064146;
rise_capacitance : 1.128865;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.742839,0.699483,0.689769,0.682451,0.676650,0.672060,0.667525");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.583532,-0.583935,-0.584432,-0.585425,-0.587413,-0.591389,-0.599339");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.022174,0.025640,0.028880,0.034286,0.043554,0.060459,0.093261", \
"0.024283,0.027739,0.030977,0.036385,0.045655,0.062561,0.095365", \
"0.027393,0.030835,0.034068,0.039476,0.048750,0.065660,0.098468", \
"0.033968,0.037389,0.040617,0.046033,0.055320,0.072232,0.105039", \
"0.044048,0.047875,0.051416,0.057195,0.066767,0.083755,0.116527", \
"0.058249,0.062609,0.066668,0.073168,0.083512,0.101060,0.133998", \
"0.079823,0.084848,0.089596,0.097195,0.108987,0.127823,0.161276");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.019868,0.024742,0.029788,0.039240,0.057581,0.093932,0.166438", \
"0.021735,0.026606,0.031644,0.041088,0.059426,0.095788,0.168293", \
"0.024271,0.029111,0.034122,0.043535,0.061864,0.098227,0.170750", \
"0.028414,0.033325,0.038331,0.047695,0.065956,0.102298,0.174827", \
"0.032881,0.038046,0.043104,0.052481,0.070756,0.107002,0.179490", \
"0.036459,0.042377,0.047842,0.057301,0.075368,0.111559,0.183978", \
"0.036839,0.043598,0.050115,0.060612,0.078810,0.114835,0.187086");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004558,0.006116,0.007803,0.011026,0.017461,0.030878,0.058880", \
"0.004559,0.006119,0.007806,0.011027,0.017461,0.030878,0.058882", \
"0.004564,0.006130,0.007815,0.011033,0.017464,0.030879,0.058881", \
"0.004820,0.006304,0.007949,0.011121,0.017509,0.030893,0.058882", \
"0.006368,0.007752,0.009251,0.012157,0.018115,0.031083,0.058905", \
"0.008564,0.009996,0.011504,0.014292,0.019862,0.032155,0.059260", \
"0.011925,0.013445,0.015066,0.017960,0.023262,0.034501,0.060341");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.005307,0.008636,0.012581,0.020784,0.037778,0.072182,0.141070", \
"0.005309,0.008636,0.012581,0.020786,0.037780,0.072188,0.141085", \
"0.005318,0.008649,0.012596,0.020791,0.037780,0.072176,0.141077", \
"0.005724,0.008944,0.012775,0.020858,0.037788,0.072189,0.141076", \
"0.006749,0.009649,0.013276,0.021226,0.037981,0.072201,0.141070", \
"0.008592,0.011516,0.014650,0.021831,0.038233,0.072481,0.141119", \
"0.011624,0.014923,0.018198,0.024361,0.039346,0.072947,0.141519");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.025111,0.028662,0.031976,0.037490,0.046882,0.063896,0.096775", \
"0.027313,0.030860,0.034174,0.039689,0.049082,0.066098,0.098980", \
"0.030466,0.034003,0.037313,0.042827,0.052222,0.069242,0.102125", \
"0.037197,0.040702,0.044001,0.049512,0.058913,0.075940,0.108825", \
"0.048574,0.052388,0.055912,0.061669,0.071233,0.088295,0.121162", \
"0.064579,0.068930,0.072945,0.079366,0.089642,0.107191,0.140171", \
"0.088908,0.093873,0.098495,0.105874,0.117304,0.135806,0.169119");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.021180,0.026055,0.031102,0.040555,0.058898,0.095247,0.167748", \
"0.023010,0.027883,0.032925,0.042376,0.060718,0.097078,0.169581", \
"0.024946,0.029805,0.034831,0.044268,0.062607,0.098969,0.171484", \
"0.028160,0.033079,0.038113,0.047520,0.065830,0.102194,0.174717", \
"0.032233,0.037323,0.042427,0.051873,0.070182,0.106497,0.179019", \
"0.035730,0.041358,0.046731,0.056313,0.074564,0.110833,0.183306", \
"0.035577,0.042083,0.048287,0.058573,0.077166,0.113522,0.185951");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004854,0.006397,0.008070,0.011274,0.017675,0.031037,0.058986", \
"0.004855,0.006399,0.008072,0.011275,0.017676,0.031038,0.058984", \
"0.004859,0.006404,0.008079,0.011280,0.017678,0.031038,0.058986", \
"0.004938,0.006474,0.008137,0.011321,0.017700,0.031046,0.058987", \
"0.006302,0.007662,0.009159,0.012076,0.018104,0.031182,0.059009", \
"0.008449,0.009824,0.011297,0.014083,0.019720,0.032120,0.059294", \
"0.011457,0.012892,0.014428,0.017235,0.022550,0.033984,0.060153");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.005307,0.008633,0.012580,0.020785,0.037780,0.072195,0.141086", \
"0.005307,0.008635,0.012580,0.020787,0.037780,0.072192,0.141075", \
"0.005312,0.008643,0.012586,0.020789,0.037779,0.072182,0.141076", \
"0.005541,0.008822,0.012699,0.020826,0.037795,0.072178,0.141080", \
"0.006126,0.009291,0.013072,0.021077,0.037894,0.072180,0.141070", \
"0.007471,0.010546,0.014034,0.021613,0.038124,0.072346,0.141096", \
"0.009765,0.013177,0.016571,0.023433,0.039174,0.072871,0.141350");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.643406,3.910000,4.103828,4.350249,4.492317,4.550565,4.573331", \
"3.582130,3.823549,4.053406,4.267193,4.417565,4.475925,4.500806", \
"3.567592,3.805538,4.029887,4.245439,4.388933,4.460574,4.487510", \
"3.669523,3.885025,4.092868,4.302651,4.451219,4.518967,4.545552", \
"3.895549,4.102063,4.293629,4.546400,4.691453,4.759113,4.790701", \
"4.613205,4.666968,4.777683,5.004205,5.242307,5.391085,5.413403", \
"6.263847,6.199798,6.208113,6.333627,6.562179,6.737027,6.869689");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.497107,2.637412,2.692081,2.761380,2.732629,2.811725,2.764714", \
"2.473988,2.591424,2.643068,2.663788,2.724145,2.808123,2.779031", \
"2.483375,2.590083,2.615575,2.691760,2.763844,2.817829,2.739970", \
"2.576491,2.652538,2.711386,2.734730,2.679129,2.817397,2.793157", \
"2.843778,2.957608,2.948437,2.883644,2.923108,2.998811,3.024205", \
"3.592747,3.717123,3.689424,3.660991,3.478704,3.564718,3.308186", \
"5.076338,5.219130,5.310081,5.303328,5.259421,5.101302,5.056078");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("4.163750,4.442166,4.664167,4.895420,5.071603,5.152920,5.196070", \
"4.114059,4.386849,4.603807,4.839533,5.006250,5.096047,5.137158", \
"4.108981,4.357574,4.591550,4.830539,5.002379,5.088883,5.138361", \
"4.214562,4.450838,4.653028,4.890279,5.058838,5.156644,5.205924", \
"4.530027,4.712978,4.920996,5.145990,5.309060,5.400265,5.448784", \
"5.216490,5.288869,5.396770,5.634687,5.880635,6.022222,6.066313", \
"6.836179,6.817800,6.834349,6.951005,7.176135,7.329575,7.464190");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.477726,2.617089,2.673428,2.709130,2.836281,2.863088,2.755739", \
"2.440733,2.611809,2.629002,2.711326,2.710197,2.829230,2.711943", \
"2.452632,2.587174,2.620637,2.690107,2.737765,2.768370,2.700750", \
"2.468169,2.584640,2.624673,2.670917,2.738909,2.786221,2.756612", \
"2.643174,2.697327,2.722656,2.742513,2.713792,2.826523,2.785875", \
"3.089248,3.245309,3.259761,3.238773,3.130636,3.127751,3.033301", \
"4.105954,4.324279,4.452360,4.494816,4.432851,4.299308,4.245865");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X1
Cell Description : Combinational cell (ISO_FENCE0_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 7634.106095;
leakage_power () {
when : "!A & !EN";
value : 7237.483000;
}
leakage_power () {
when : "!A & EN";
value : 5896.238700;
}
leakage_power () {
when : "A & !EN";
value : 6804.985000;
}
leakage_power () {
when : "A & EN";
value : 10597.717680;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.601227;
fall_capacitance : 0.586937;
rise_capacitance : 0.615517;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.101637,0.101588,0.101578,0.101561,0.101550,0.101548,0.101545");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.001611,-0.001615,-0.001621,-0.001632,-0.001654,-0.001699,-0.001788");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.629910;
fall_capacitance : 0.604621;
rise_capacitance : 0.655199;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.387562,0.387846,0.387896,0.387558,0.387098,0.387576,0.387995");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.010984,-0.010973,-0.010959,-0.010921,-0.010883,-0.010885,-0.010990");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 10.299700;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.005070,0.005999,0.007598,0.010369,0.015180,0.023549,0.038138", \
"0.007237,0.008218,0.009821,0.012600,0.017422,0.025807,0.040408", \
"0.008715,0.010123,0.012262,0.015396,0.020189,0.028552,0.043135", \
"0.009816,0.011768,0.014752,0.019137,0.025387,0.034161,0.048666", \
"0.009583,0.012288,0.016408,0.022471,0.031158,0.043304,0.059989", \
"0.005845,0.009577,0.015264,0.023635,0.035638,0.052432,0.075618", \
"-0.005981,-0.0009185,0.006878,0.018405,0.034979,0.058187,0.090169");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.017181,0.020863,0.027191,0.038087,0.056953,0.089747,0.146841", \
"0.018354,0.022001,0.028338,0.039311,0.058324,0.091248,0.148476", \
"0.021165,0.024686,0.030872,0.041703,0.060618,0.093535,0.150787", \
"0.027136,0.030968,0.036906,0.047440,0.066049,0.098701,0.155811", \
"0.035667,0.040572,0.048273,0.060018,0.078168,0.110229,0.166791", \
"0.048994,0.055038,0.064677,0.079679,0.102207,0.135216,0.190596", \
"0.071500,0.078801,0.090609,0.109061,0.137434,0.179869,0.241357");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.002821,0.003606,0.004975,0.007363,0.011531,0.018797,0.031480", \
"0.003266,0.003840,0.005011,0.007364,0.011529,0.018797,0.031474", \
"0.004825,0.005437,0.006396,0.008008,0.011586,0.018799,0.031475", \
"0.007334,0.008136,0.009381,0.011282,0.014078,0.019476,0.031476", \
"0.011702,0.012713,0.014315,0.016771,0.020443,0.025749,0.034246", \
"0.019411,0.020766,0.022859,0.026008,0.030708,0.037649,0.047632", \
"0.033164,0.035007,0.037885,0.042134,0.048283,0.057174,0.070126");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.012540,0.015785,0.021463,0.031344,0.048558,0.078597,0.130939", \
"0.012520,0.015786,0.021458,0.031335,0.048565,0.078595,0.130947", \
"0.012464,0.015760,0.021452,0.031337,0.048557,0.078581,0.130941", \
"0.014917,0.017249,0.021926,0.031321,0.048565,0.078590,0.130938", \
"0.019673,0.022544,0.027135,0.034258,0.048992,0.078543,0.130905", \
"0.026517,0.029985,0.035686,0.044566,0.057748,0.081402,0.130887", \
"0.038309,0.042229,0.048871,0.059684,0.076537,0.101492,0.140780");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.006048,0.007076,0.008809,0.011729,0.016686,0.025188,0.039885", \
"0.008236,0.009222,0.010922,0.013825,0.018781,0.027275,0.041973", \
"0.010388,0.011670,0.013649,0.016613,0.021520,0.029994,0.044673", \
"0.012443,0.014201,0.016933,0.021023,0.026977,0.035597,0.050196", \
"0.013591,0.015995,0.019727,0.025350,0.033583,0.045277,0.061557", \
"0.012039,0.015315,0.020383,0.028051,0.039315,0.055429,0.077975", \
"0.004213,0.008529,0.015299,0.025636,0.040954,0.062960,0.093856");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.021364,0.024985,0.031243,0.042083,0.060914,0.093660,0.150734", \
"0.023456,0.027089,0.033394,0.044320,0.063253,0.096125,0.153284", \
"0.026201,0.029802,0.036065,0.046960,0.065901,0.098811,0.156050", \
"0.031098,0.034759,0.040982,0.051813,0.070693,0.103577,0.160819", \
"0.037911,0.042435,0.049747,0.061472,0.080319,0.113067,0.170243", \
"0.049311,0.054762,0.063527,0.077384,0.099124,0.133074,0.189861", \
"0.069868,0.076573,0.087256,0.103940,0.129712,0.169509,0.231027");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.004001,0.004794,0.006163,0.008535,0.012679,0.019931,0.032618", \
"0.004095,0.004790,0.006108,0.008520,0.012679,0.019932,0.032613", \
"0.005613,0.006172,0.007067,0.008883,0.012683,0.019935,0.032617", \
"0.008240,0.008985,0.010168,0.011977,0.014723,0.020425,0.032617", \
"0.012567,0.013554,0.015129,0.017550,0.021138,0.026353,0.035058", \
"0.019947,0.021271,0.023382,0.026596,0.031369,0.038322,0.048244", \
"0.032645,0.034630,0.037621,0.042043,0.048379,0.057540,0.070652");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.012534,0.015786,0.021462,0.031344,0.048554,0.078570,0.130944", \
"0.012532,0.015789,0.021462,0.031339,0.048568,0.078573,0.130941", \
"0.012546,0.015786,0.021457,0.031341,0.048564,0.078592,0.130938", \
"0.013558,0.016365,0.021566,0.031329,0.048563,0.078568,0.130937", \
"0.017232,0.020058,0.024885,0.033041,0.048838,0.078577,0.130928", \
"0.023101,0.026113,0.031266,0.040039,0.054608,0.080537,0.130869", \
"0.033075,0.036230,0.041754,0.051233,0.067124,0.093494,0.137667");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("-0.000024,-0.000027,-0.000032,-0.000041,-0.000057,-0.000084,-0.000131", \
"-0.000073,-0.000076,-0.000081,-0.000090,-0.000106,-0.000133,-0.000180", \
"-0.000133,-0.000136,-0.000142,-0.000150,-0.000166,-0.000193,-0.000241", \
"-0.000250,-0.000255,-0.000261,-0.000272,-0.000287,-0.000314,-0.000361", \
"0.096911,0.083654,0.062437,0.034943,0.004955,-0.000556,-0.000603", \
"0.345175,0.330664,0.304796,0.261049,0.198446,0.129499,0.064596", \
"0.824073,0.817084,0.799473,0.755691,0.675632,0.549713,0.399275");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.946219,0.953823,0.961743,0.950415,0.951393,0.947998,0.971377", \
"0.908960,0.908079,0.924959,0.917150,0.939319,0.957012,0.919611", \
"0.919656,0.919905,0.918436,0.926281,0.927356,0.945278,0.909152", \
"0.962827,0.965028,0.960268,0.949258,0.951314,0.939356,0.898807", \
"1.098605,1.092172,1.071653,1.051718,1.019559,0.981233,0.973086", \
"1.323587,1.309952,1.318023,1.302621,1.217832,1.192293,1.108803", \
"1.940922,1.914498,1.876423,1.817211,1.753471,1.667577,1.527840");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.055069,0.062855,0.074022,0.084374,0.095438,0.103715,0.112057", \
"0.029266,0.040808,0.050997,0.061620,0.074348,0.083978,0.092595", \
"0.029592,0.034615,0.044377,0.056992,0.069411,0.079157,0.087664", \
"0.088635,0.081422,0.074986,0.065399,0.076070,0.082884,0.088159", \
"0.209217,0.196439,0.182800,0.161229,0.133894,0.114749,0.112803", \
"0.471733,0.456123,0.429716,0.392310,0.337063,0.273085,0.208219", \
"0.999357,0.986329,0.966272,0.918991,0.835690,0.713901,0.567705");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("1.265247,1.265733,1.263045,1.268981,1.254755,1.266709,1.289109", \
"1.230669,1.228833,1.239412,1.234306,1.255527,1.269198,1.253149", \
"1.211510,1.223422,1.222632,1.235677,1.238305,1.251221,1.213883", \
"1.213595,1.211850,1.222413,1.229035,1.225184,1.232545,1.195570", \
"1.254132,1.251624,1.236923,1.230265,1.234292,1.225377,1.250227", \
"1.339532,1.336926,1.347795,1.366848,1.323669,1.303981,1.275686", \
"1.809161,1.792561,1.748178,1.708227,1.671476,1.633142,1.515058");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X2
Cell Description : Combinational cell (ISO_FENCE0_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 15546.215025;
leakage_power () {
when : "!A & !EN";
value : 14725.920000;
}
leakage_power () {
when : "!A & EN";
value : 12010.636000;
}
leakage_power () {
when : "A & !EN";
value : 13886.950000;
}
leakage_power () {
when : "A & EN";
value : 21561.354100;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.046285;
fall_capacitance : 1.010638;
rise_capacitance : 1.081932;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.207914,0.207856,0.207833,0.207812,0.207778,0.207779,0.207769");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.003309,-0.003319,-0.003330,-0.003353,-0.003399,-0.003490,-0.003673");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.148661;
fall_capacitance : 1.105327;
rise_capacitance : 1.191995;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.799240,0.799655,0.799717,0.799199,0.798146,0.796953,0.798634");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.022204,-0.022165,-0.022133,-0.022068,-0.022007,-0.022023,-0.022249");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.362300;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004270,0.004887,0.006078,0.008389,0.012900,0.021749,0.039159", \
"0.006250,0.007008,0.008287,0.010604,0.015131,0.023999,0.041417", \
"0.007319,0.008374,0.010199,0.013190,0.017901,0.026738,0.044142", \
"0.007876,0.009331,0.011859,0.016021,0.022539,0.032352,0.049656", \
"0.006919,0.008921,0.012406,0.018155,0.027177,0.040836,0.060970", \
"0.002203,0.004960,0.009764,0.017681,0.030130,0.048987,0.076923", \
"-0.010840,-0.007118,-0.0005949,0.010251,0.027413,0.053442,0.091947");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.013577,0.015977,0.020588,0.029491,0.046781,0.080619,0.147097", \
"0.014857,0.017172,0.021732,0.030658,0.048084,0.082101,0.148744", \
"0.017820,0.020021,0.024415,0.033149,0.050414,0.084378,0.151055", \
"0.023010,0.025770,0.030667,0.039093,0.055975,0.089599,0.156086", \
"0.030443,0.033906,0.040133,0.050835,0.068341,0.101243,0.167048", \
"0.042586,0.046785,0.054426,0.067848,0.090386,0.126406,0.190812", \
"0.063568,0.068675,0.077935,0.094308,0.122307,0.168514,0.241450");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002115,0.002624,0.003629,0.005608,0.009509,0.017192,0.032328", \
"0.002820,0.003131,0.003852,0.005609,0.009509,0.017192,0.032327", \
"0.004195,0.004644,0.005445,0.006782,0.009756,0.017192,0.032328", \
"0.006529,0.007107,0.008135,0.009898,0.012777,0.018150,0.032327", \
"0.010619,0.011374,0.012698,0.014956,0.018699,0.024648,0.034892", \
"0.017915,0.018930,0.020702,0.023649,0.028443,0.036156,0.048200", \
"0.031107,0.032455,0.034886,0.038908,0.045272,0.055209,0.070808");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.009365,0.011429,0.015502,0.023496,0.039232,0.070169,0.131096", \
"0.009336,0.011423,0.015496,0.023496,0.039224,0.070191,0.131102", \
"0.009692,0.011452,0.015477,0.023495,0.039217,0.070163,0.131109", \
"0.012705,0.014200,0.017045,0.023744,0.039214,0.070163,0.131102", \
"0.016542,0.018589,0.022283,0.028537,0.040707,0.070174,0.131082", \
"0.022805,0.025176,0.029644,0.037616,0.050936,0.074375,0.131079", \
"0.034277,0.036840,0.041825,0.051158,0.067703,0.094946,0.140987");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.005160,0.005861,0.007185,0.009673,0.014374,0.023392,0.040937", \
"0.007376,0.008052,0.009318,0.011769,0.016460,0.025476,0.043017", \
"0.009185,0.010123,0.011775,0.014548,0.019207,0.028189,0.045711", \
"0.010803,0.012076,0.014333,0.018157,0.024289,0.033792,0.051216", \
"0.011405,0.013114,0.016186,0.021402,0.029836,0.042916,0.062545", \
"0.009175,0.011476,0.015623,0.022693,0.034194,0.052151,0.079295", \
"0.0004111,0.003480,0.008997,0.018486,0.034062,0.058505,0.095645");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.017830,0.020161,0.024689,0.033505,0.050729,0.084523,0.150972", \
"0.019932,0.022253,0.026800,0.035682,0.053031,0.086955,0.153522", \
"0.022705,0.025004,0.029503,0.038337,0.055665,0.089629,0.156267", \
"0.027186,0.029767,0.034447,0.043230,0.060485,0.094402,0.161067", \
"0.033106,0.036248,0.041982,0.052223,0.070134,0.103912,0.170458", \
"0.043370,0.047198,0.054138,0.066361,0.087523,0.123898,0.190058", \
"0.062510,0.067261,0.075773,0.090589,0.115844,0.158557,0.231052");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.003302,0.003828,0.004844,0.006819,0.010692,0.018350,0.033481", \
"0.003548,0.003950,0.004831,0.006781,0.010687,0.018352,0.033489", \
"0.005065,0.005471,0.006200,0.007526,0.010787,0.018354,0.033488", \
"0.007490,0.008038,0.009007,0.010674,0.013425,0.019068,0.033489", \
"0.011504,0.012246,0.013543,0.015774,0.019450,0.025275,0.035735", \
"0.018421,0.019446,0.021214,0.024208,0.029074,0.036839,0.048821", \
"0.030506,0.031983,0.034521,0.038698,0.045271,0.055491,0.071336");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.009369,0.011435,0.015504,0.023500,0.039217,0.070178,0.131106", \
"0.009377,0.011435,0.015499,0.023497,0.039222,0.070168,0.131109", \
"0.009418,0.011456,0.015508,0.023497,0.039215,0.070170,0.131109", \
"0.011012,0.012662,0.016123,0.023549,0.039229,0.070183,0.131102", \
"0.014345,0.016208,0.019792,0.026516,0.040114,0.070160,0.131100", \
"0.020048,0.021994,0.025781,0.033069,0.046805,0.073001,0.131069", \
"0.029800,0.031799,0.035846,0.043670,0.058531,0.086239,0.137884");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("-0.000044,-0.000048,-0.000056,-0.000071,-0.000101,-0.000161,-0.000278", \
"-0.000144,-0.000148,-0.000155,-0.000171,-0.000201,-0.000260,-0.000377", \
"-0.000265,-0.000270,-0.000279,-0.000294,-0.000324,-0.000383,-0.000500", \
"-0.000501,-0.000507,-0.000519,-0.000539,-0.000571,-0.000630,-0.000746", \
"0.216088,0.200289,0.163457,0.102946,0.028857,-0.001123,-0.001239", \
"0.724378,0.711660,0.668781,0.593827,0.457088,0.284098,0.121173", \
"1.697366,1.695987,1.672594,1.610880,1.461975,1.172474,0.797563");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("1.752529,1.776484,1.784107,1.799459,1.788112,1.809892,1.800765", \
"1.684297,1.685995,1.714917,1.736040,1.736104,1.743002,1.830394", \
"1.723080,1.719419,1.714841,1.711218,1.754222,1.666510,1.809626", \
"1.839460,1.829384,1.814778,1.777174,1.766469,1.792187,1.789292", \
"2.034001,2.049933,2.074893,2.010281,1.931687,1.908299,1.827393", \
"2.559025,2.541278,2.515741,2.537847,2.453270,2.327424,2.145665", \
"3.871463,3.832914,3.761242,3.647036,3.511267,3.328022,3.002965");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.086496,0.103192,0.125761,0.149889,0.177646,0.199511,0.220441", \
"0.031692,0.052292,0.074913,0.101932,0.132296,0.156571,0.180788", \
"0.053979,0.049161,0.063943,0.090256,0.118807,0.145506,0.171236", \
"0.178708,0.172443,0.159642,0.132723,0.133773,0.155769,0.173351", \
"0.435030,0.419711,0.393898,0.348431,0.286600,0.225168,0.217021", \
"0.979053,0.965335,0.924243,0.849722,0.727245,0.570888,0.410012", \
"2.048346,2.047670,2.021062,1.946484,1.785090,1.500059,1.135979");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("2.424058,2.426802,2.439397,2.438855,2.469733,2.467025,2.456752", \
"2.348905,2.356222,2.377182,2.374553,2.412275,2.336298,2.334308", \
"2.318811,2.341814,2.355995,2.359699,2.392421,2.300588,2.438399", \
"2.334449,2.339558,2.344420,2.345513,2.329375,2.284113,2.404045", \
"2.327625,2.381226,2.400557,2.393131,2.345093,2.386380,2.380121", \
"2.598616,2.591909,2.575292,2.608213,2.606892,2.494492,2.434749", \
"3.609751,3.579134,3.498402,3.388351,3.303558,3.119855,2.922757");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X4
Cell Description : Combinational cell (ISO_FENCE0_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 31092.466625;
leakage_power () {
when : "!A & !EN";
value : 29451.950000;
}
leakage_power () {
when : "!A & EN";
value : 24021.261000;
}
leakage_power () {
when : "A & !EN";
value : 27773.922000;
}
leakage_power () {
when : "A & EN";
value : 43122.733500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.953902;
fall_capacitance : 1.885342;
rise_capacitance : 2.022463;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.415921,0.415710,0.415685,0.415651,0.415600,0.415578,0.415540");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.006619,-0.006637,-0.006660,-0.006706,-0.006797,-0.006980,-0.007346");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.327012;
fall_capacitance : 2.226820;
rise_capacitance : 2.427203;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.598609,1.599218,1.599234,1.598627,1.596284,1.594597,1.597094");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.044410,-0.044328,-0.044270,-0.044138,-0.044013,-0.044047,-0.044503");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 42.724600;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003971,0.004820,0.005962,0.008207,0.012651,0.021502,0.039176", \
"0.005848,0.006923,0.008169,0.010419,0.014878,0.023745,0.041430", \
"0.006749,0.008246,0.010017,0.012964,0.017650,0.026485,0.044155", \
"0.007078,0.009144,0.011594,0.015698,0.022204,0.032102,0.049667", \
"0.005806,0.008650,0.012032,0.017694,0.026701,0.040483,0.060983", \
"0.0006725,0.004576,0.009225,0.017036,0.029465,0.048492,0.076930", \
"-0.012919,-0.007673,-0.001359,0.009341,0.026484,0.052750,0.091951");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.012259,0.015565,0.019989,0.028638,0.045682,0.079523,0.147031", \
"0.013606,0.016773,0.021131,0.029799,0.046976,0.081009,0.148678", \
"0.016654,0.019641,0.023837,0.032304,0.049313,0.083285,0.150989", \
"0.021402,0.025300,0.030048,0.038273,0.054891,0.088511,0.156021", \
"0.028434,0.033296,0.039328,0.049857,0.067286,0.100172,0.166982", \
"0.040146,0.046025,0.053410,0.066593,0.089040,0.125360,0.190754", \
"0.060614,0.067727,0.076691,0.092751,0.120596,0.167123,0.241369");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.001837,0.002534,0.003492,0.005413,0.009253,0.016936,0.032304", \
"0.002611,0.003068,0.003742,0.005416,0.009253,0.016935,0.032300", \
"0.003925,0.004556,0.005331,0.006652,0.009533,0.016936,0.032302", \
"0.006180,0.006993,0.007989,0.009727,0.012599,0.017942,0.032304", \
"0.010152,0.011223,0.012509,0.014732,0.018461,0.024455,0.034877", \
"0.017268,0.018708,0.020426,0.023342,0.028129,0.035903,0.048170", \
"0.030202,0.032120,0.034476,0.038461,0.044845,0.054858,0.070749");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.008212,0.011049,0.014937,0.022696,0.038184,0.069135,0.130980", \
"0.008170,0.011039,0.014932,0.022699,0.038182,0.069118,0.130987", \
"0.008790,0.011119,0.014910,0.022697,0.038181,0.069130,0.130989", \
"0.011727,0.013962,0.016631,0.023026,0.038179,0.069121,0.130984", \
"0.015351,0.018211,0.021794,0.027998,0.039826,0.069120,0.130980", \
"0.021423,0.024720,0.029039,0.036856,0.050115,0.073528,0.130974", \
"0.032785,0.036310,0.041122,0.050242,0.066664,0.094105,0.140902");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.004770,0.005743,0.007017,0.009442,0.014084,0.023114,0.040936", \
"0.006979,0.007944,0.009156,0.011541,0.016171,0.025197,0.043015", \
"0.008643,0.009971,0.011575,0.014307,0.018921,0.027911,0.045711", \
"0.010072,0.011869,0.014061,0.017820,0.023942,0.033517,0.051215", \
"0.010420,0.012846,0.015817,0.020948,0.029368,0.042554,0.062542", \
"0.007866,0.011126,0.015132,0.022080,0.033553,0.051650,0.079292", \
"-0.001326,0.003002,0.008335,0.017662,0.033181,0.057828,0.095644");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.016513,0.019720,0.024059,0.032623,0.049596,0.083390,0.150868", \
"0.018634,0.021820,0.026166,0.034793,0.051886,0.085825,0.153422", \
"0.021420,0.024568,0.028876,0.037450,0.054524,0.088497,0.156160", \
"0.025680,0.029278,0.033813,0.042347,0.059343,0.093272,0.160963", \
"0.031247,0.035641,0.041184,0.051221,0.068998,0.102777,0.170354", \
"0.041098,0.046475,0.053173,0.065160,0.086185,0.122745,0.189963", \
"0.059714,0.066351,0.074580,0.089143,0.114248,0.157188,0.230924");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003011,0.003735,0.004709,0.006626,0.010442,0.018102,0.033470", \
"0.003347,0.003874,0.004707,0.006584,0.010436,0.018102,0.033473", \
"0.004827,0.005396,0.006100,0.007384,0.010556,0.018106,0.033477", \
"0.007161,0.007928,0.008871,0.010515,0.013261,0.018860,0.033478", \
"0.011059,0.012091,0.013355,0.015553,0.019229,0.025102,0.035722", \
"0.017781,0.019204,0.020940,0.023896,0.028777,0.036579,0.048794", \
"0.029563,0.031616,0.034105,0.038249,0.044841,0.055142,0.071290");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.008241,0.011057,0.014936,0.022698,0.038181,0.069121,0.130994", \
"0.008238,0.011056,0.014937,0.022695,0.038179,0.069139,0.130984", \
"0.008319,0.011081,0.014947,0.022696,0.038186,0.069131,0.130995", \
"0.010094,0.012356,0.015636,0.022771,0.038191,0.069117,0.130984", \
"0.013288,0.015851,0.019298,0.025880,0.039173,0.069133,0.130991", \
"0.018938,0.021596,0.025241,0.032341,0.045916,0.072084,0.130970", \
"0.028612,0.031381,0.035256,0.042872,0.057549,0.085326,0.137805");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("-0.000084,-0.000095,-0.000110,-0.000140,-0.000199,-0.000318,-0.000555", \
"-0.000283,-0.000294,-0.000309,-0.000339,-0.000398,-0.000517,-0.000755", \
"-0.000524,-0.000538,-0.000555,-0.000585,-0.000644,-0.000763,-0.001000", \
"0.099185,0.061316,0.016055,-0.001074,-0.001138,-0.001255,-0.001492", \
"0.612913,0.549954,0.479880,0.361137,0.210400,0.059768,0.034843", \
"1.615382,1.568607,1.492555,1.344014,1.071231,0.719556,0.386595", \
"3.530854,3.533184,3.484486,3.371275,3.084049,2.503835,1.734967");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("3.295007,3.373589,3.420053,3.422244,3.453649,3.328168,3.426081", \
"3.188759,3.212078,3.221268,3.275521,3.331414,3.388729,3.485136", \
"3.269012,3.258930,3.270983,3.263360,3.299019,3.294021,3.443315", \
"3.501456,3.486621,3.452140,3.420963,3.347231,3.275309,3.403396", \
"3.869054,3.923234,3.986168,3.864484,3.726922,3.653423,3.556372", \
"4.964249,4.920331,4.890627,4.875269,4.709678,4.350028,4.085693", \
"7.628403,7.480758,7.362084,7.117750,6.756635,6.503495,5.702414");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.298099,0.339892,0.388860,0.438849,0.497665,0.540052,0.585100", \
"0.194881,0.238840,0.287651,0.350219,0.410424,0.456711,0.505874", \
"0.262243,0.245706,0.268110,0.325588,0.382725,0.439830,0.486283", \
"0.510662,0.492360,0.464121,0.418275,0.416662,0.453120,0.492026", \
"1.035985,0.993469,0.935508,0.845297,0.723989,0.599090,0.583248", \
"2.128176,2.064873,1.992406,1.851404,1.611573,1.292079,0.961412", \
"4.251386,4.233783,4.181725,4.047369,3.720763,3.152447,2.409741");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("4.655331,4.673820,4.697289,4.732799,4.731478,4.687504,4.739015", \
"4.508638,4.554992,4.548048,4.585492,4.670815,4.634786,4.607956", \
"4.495931,4.504594,4.526857,4.531300,4.614644,4.560513,4.702527", \
"4.476708,4.499313,4.503379,4.522932,4.541641,4.585694,4.635303", \
"4.442960,4.571009,4.642711,4.595705,4.565800,4.465510,4.587849", \
"5.009541,5.013519,5.002755,5.030156,5.084542,4.951914,4.708940", \
"7.117933,7.002470,6.865527,6.655464,6.455398,6.211744,5.673731");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X1
Cell Description : Combinational cell (ISO_FENCE1N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 7088.003192;
leakage_power () {
when : "!A & !EN";
value : 1445.961000;
}
leakage_power () {
when : "!A & EN";
value : 10401.413000;
}
leakage_power () {
when : "A & !EN";
value : 1707.779766;
}
leakage_power () {
when : "A & EN";
value : 14796.859000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.605667;
fall_capacitance : 0.579589;
rise_capacitance : 0.631744;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.371780,0.372686,0.372995,0.373308,0.373324,0.373078,0.372725");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.030171,-0.030191,-0.030214,-0.030263,-0.030362,-0.030563,-0.030964");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.615419;
fall_capacitance : 0.598638;
rise_capacitance : 0.632200;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.379787,0.359911,0.354726,0.350847,0.347764,0.345003,0.342750");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.071095,-0.071131,-0.071175,-0.071263,-0.071439,-0.071792,-0.072497");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.539400;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.007159,0.008827,0.012010,0.018104,0.029796,0.052274,0.095493", \
"0.009021,0.010696,0.013894,0.020011,0.031723,0.054215,0.097444", \
"0.010936,0.013022,0.016430,0.022501,0.034193,0.056672,0.099896", \
"0.012644,0.015477,0.020157,0.027536,0.039306,0.061695,0.104864", \
"0.013491,0.017272,0.023538,0.033545,0.048861,0.072073,0.114996", \
"0.011929,0.016936,0.025230,0.038565,0.059219,0.090197,0.135964", \
"0.004519,0.011031,0.022030,0.039711,0.067181,0.108827,0.170529");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.010614,0.013298,0.018353,0.027936,0.046251,0.081397,0.148905", \
"0.012724,0.015375,0.020459,0.030131,0.048547,0.083785,0.151352", \
"0.016026,0.018565,0.023519,0.033098,0.051491,0.086727,0.154345", \
"0.021170,0.024600,0.030234,0.039594,0.057756,0.092880,0.160449", \
"0.028394,0.032904,0.040488,0.052550,0.071121,0.105777,0.173012", \
"0.039425,0.045192,0.054995,0.070989,0.095871,0.132928,0.199208", \
"0.057190,0.064589,0.077089,0.097605,0.130323,0.180417,0.253962");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004392,0.005817,0.008550,0.013810,0.023918,0.043375,0.080789", \
"0.004514,0.005812,0.008549,0.013806,0.023916,0.043366,0.080796", \
"0.006063,0.007049,0.009027,0.013805,0.023920,0.043363,0.080794", \
"0.008795,0.010041,0.012168,0.015772,0.024124,0.043364,0.080790", \
"0.013377,0.014961,0.017658,0.022102,0.029285,0.044288,0.080780", \
"0.021329,0.023328,0.026763,0.032433,0.041544,0.055772,0.083497", \
"0.035339,0.038031,0.042537,0.049815,0.061388,0.079646,0.107725");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.007420,0.009853,0.014536,0.023568,0.040913,0.074321,0.138548", \
"0.007414,0.009851,0.014540,0.023555,0.040913,0.074305,0.138515", \
"0.008137,0.010085,0.014532,0.023562,0.040910,0.074288,0.138532", \
"0.011472,0.013167,0.016192,0.023738,0.040907,0.074302,0.138526", \
"0.016241,0.018595,0.022515,0.028627,0.042009,0.074284,0.138518", \
"0.023726,0.026668,0.031870,0.040384,0.053255,0.077658,0.138510", \
"0.036851,0.040357,0.046643,0.057507,0.075074,0.101312,0.147061");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.008476,0.010137,0.013312,0.019398,0.031086,0.053558,0.096786", \
"0.010274,0.011964,0.015175,0.021295,0.033012,0.055505,0.098737", \
"0.011918,0.013805,0.017157,0.023313,0.035056,0.057570,0.100821", \
"0.013853,0.016226,0.020261,0.027108,0.039075,0.061641,0.104929", \
"0.015166,0.018447,0.023867,0.032491,0.046322,0.069704,0.113083", \
"0.014087,0.018699,0.026233,0.038024,0.056007,0.083657,0.129252", \
"0.007213,0.013591,0.024025,0.040354,0.065057,0.101530,0.156300");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.013553,0.016194,0.021218,0.030800,0.049144,0.084298,0.151839", \
"0.015723,0.018377,0.023428,0.033052,0.051440,0.086618,0.154207", \
"0.018978,0.021570,0.026552,0.036116,0.054462,0.089679,0.157258", \
"0.025260,0.028263,0.033322,0.042677,0.060843,0.095916,0.163408", \
"0.034088,0.038097,0.044973,0.056205,0.074291,0.108920,0.176088", \
"0.047185,0.052382,0.061346,0.076298,0.100028,0.136191,0.202415", \
"0.068232,0.074808,0.086241,0.105385,0.136576,0.185135,0.257402");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004394,0.005814,0.008550,0.013808,0.023919,0.043375,0.080794", \
"0.004409,0.005818,0.008552,0.013806,0.023917,0.043370,0.080788", \
"0.005098,0.006314,0.008749,0.013807,0.023913,0.043363,0.080793", \
"0.006742,0.007919,0.010205,0.014692,0.024049,0.043366,0.080789", \
"0.010154,0.011435,0.013726,0.017985,0.026409,0.043883,0.080789", \
"0.016287,0.017813,0.020512,0.025152,0.033333,0.049233,0.082223", \
"0.026877,0.028815,0.032303,0.038066,0.047458,0.063432,0.093744");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.009223,0.011677,0.016389,0.025456,0.042879,0.076396,0.140690", \
"0.009219,0.011670,0.016385,0.025449,0.042888,0.076340,0.140695", \
"0.009363,0.011668,0.016385,0.025447,0.042872,0.076415,0.140686", \
"0.012081,0.013715,0.017301,0.025470,0.042882,0.076385,0.140682", \
"0.017009,0.019323,0.023166,0.029420,0.043592,0.076351,0.140663", \
"0.023975,0.027162,0.032528,0.041060,0.053880,0.079145,0.140647", \
"0.034897,0.039008,0.046072,0.057647,0.075620,0.101901,0.148501");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.081050,0.081699,0.086315,0.088018,0.089530,0.089779,0.091253", \
"0.058592,0.063207,0.070612,0.078815,0.083343,0.087495,0.089189", \
"0.057220,0.060951,0.066811,0.073308,0.080555,0.085500,0.087953", \
"0.105953,0.092679,0.080257,0.080740,0.083897,0.085978,0.088568", \
"0.237458,0.215758,0.179795,0.139778,0.116669,0.105966,0.100440", \
"0.537361,0.503299,0.444434,0.357124,0.267712,0.197086,0.157910", \
"1.132003,1.095265,1.028823,0.911434,0.726366,0.528193,0.367065");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.851922,0.857236,0.866989,0.856459,0.867972,0.860061,0.859054", \
"0.811148,0.821541,0.832561,0.828584,0.843649,0.835235,0.882448", \
"0.815114,0.821013,0.827071,0.835754,0.806440,0.808768,0.860308", \
"0.872725,0.856036,0.851657,0.838398,0.834065,0.792611,0.873416", \
"0.960754,0.975026,0.970927,0.930427,0.902152,0.826051,0.865571", \
"1.263269,1.239267,1.220688,1.167335,1.116474,1.037513,0.897996", \
"1.983180,1.938664,1.879858,1.763868,1.644423,1.465330,1.273672");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.083496,0.084409,0.085662,0.089365,0.090660,0.090061,0.091421", \
"0.057228,0.065671,0.071970,0.078179,0.084635,0.087203,0.089683", \
"0.045238,0.051869,0.060686,0.070458,0.077748,0.083421,0.086962", \
"0.064805,0.059451,0.054804,0.062673,0.072707,0.078914,0.084902", \
"0.141019,0.124930,0.110327,0.088887,0.080065,0.084578,0.087434", \
"0.347575,0.323957,0.284908,0.231329,0.173498,0.130612,0.114928", \
"0.786395,0.754880,0.708319,0.628238,0.499047,0.356726,0.243557");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("1.139680,1.141779,1.157458,1.137686,1.174092,1.128303,1.175718", \
"1.115702,1.118600,1.134409,1.126853,1.163521,1.143090,1.097458", \
"1.121219,1.121599,1.133205,1.143785,1.109017,1.135086,1.172763", \
"1.173230,1.157327,1.158986,1.144615,1.169830,1.167825,1.137681", \
"1.284773,1.278865,1.270207,1.245011,1.242191,1.154960,1.190397", \
"1.558140,1.563570,1.543284,1.537573,1.443469,1.339628,1.233340", \
"2.252715,2.242244,2.203133,2.131644,1.991989,1.822968,1.627392");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X2
Cell Description : Combinational cell (ISO_FENCE1N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 14603.018392;
leakage_power () {
when : "!A & !EN";
value : 2976.512000;
}
leakage_power () {
when : "!A & EN";
value : 21414.899000;
}
leakage_power () {
when : "A & !EN";
value : 3513.955566;
}
leakage_power () {
when : "A & EN";
value : 30506.707000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.055928;
fall_capacitance : 1.006325;
rise_capacitance : 1.105530;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.771750,0.772868,0.773046,0.773073,0.772899,0.772676,0.772042");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.061924,-0.061965,-0.062017,-0.062115,-0.062321,-0.062731,-0.063549");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.116120;
fall_capacitance : 1.082534;
rise_capacitance : 1.149706;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.793239,0.746883,0.736171,0.727653,0.721481,0.715945,0.710943");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.146377,-0.146451,-0.146541,-0.146723,-0.147085,-0.147811,-0.149262");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 38.604700;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.005923,0.007993,0.010919,0.016729,0.028313,0.051451,0.097707", \
"0.007784,0.009850,0.012791,0.018626,0.030233,0.053386,0.099656", \
"0.009163,0.011984,0.015327,0.021119,0.032699,0.055843,0.102107", \
"0.010257,0.014049,0.018591,0.025976,0.037820,0.060857,0.107064", \
"0.010352,0.015380,0.021439,0.031401,0.047074,0.071250,0.117183", \
"0.007821,0.014470,0.022480,0.035720,0.056782,0.089151,0.138118", \
"-0.0007020,0.007956,0.018499,0.036019,0.063981,0.107401,0.173249");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.008392,0.011684,0.016256,0.025220,0.042984,0.078386,0.149117", \
"0.010576,0.013777,0.018348,0.027395,0.045278,0.080791,0.151583", \
"0.013718,0.017024,0.021448,0.030380,0.048225,0.083751,0.154594", \
"0.017975,0.022548,0.027985,0.036922,0.054507,0.089901,0.160690", \
"0.024213,0.030155,0.037402,0.049322,0.067926,0.102797,0.173250", \
"0.034031,0.041597,0.050903,0.066589,0.091722,0.129959,0.199396", \
"0.050188,0.059820,0.071707,0.091795,0.124656,0.176371,0.254045");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003304,0.005053,0.007562,0.012573,0.022593,0.042624,0.082702", \
"0.003654,0.005090,0.007561,0.012573,0.022590,0.042631,0.082700", \
"0.005210,0.006548,0.008256,0.012597,0.022589,0.042629,0.082699", \
"0.007709,0.009366,0.011416,0.014930,0.022909,0.042628,0.082697", \
"0.011968,0.014067,0.016676,0.021101,0.028392,0.043641,0.082701", \
"0.019459,0.022151,0.025471,0.031100,0.040392,0.055258,0.085151", \
"0.033007,0.036465,0.040788,0.048032,0.059837,0.078902,0.108995");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.005426,0.008352,0.012553,0.020972,0.037788,0.071425,0.138715", \
"0.005422,0.008351,0.012554,0.020979,0.037781,0.071430,0.138713", \
"0.006836,0.008848,0.012557,0.020966,0.037800,0.071422,0.138725", \
"0.009836,0.012133,0.014819,0.021399,0.037795,0.071420,0.138717", \
"0.014038,0.017147,0.020930,0.027022,0.039339,0.071425,0.138708", \
"0.021029,0.024819,0.029734,0.038103,0.051168,0.075292,0.138714", \
"0.033735,0.038135,0.044011,0.054527,0.072174,0.099332,0.147253");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.007256,0.009312,0.012229,0.018031,0.029609,0.052745,0.099000", \
"0.008999,0.011103,0.014062,0.019907,0.031517,0.054673,0.100944", \
"0.010382,0.012827,0.016009,0.021895,0.033539,0.056725,0.103012", \
"0.011814,0.014980,0.018843,0.025544,0.037516,0.060761,0.107091", \
"0.012316,0.016728,0.021973,0.030544,0.044529,0.068750,0.115185", \
"0.010136,0.016306,0.023608,0.035385,0.053705,0.082460,0.131221", \
"0.001915,0.010386,0.020451,0.036725,0.061907,0.099926,0.158323");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.011334,0.014546,0.019072,0.028020,0.045796,0.081245,0.151993", \
"0.013507,0.016725,0.021275,0.030268,0.048097,0.083580,0.154362", \
"0.016830,0.019947,0.024419,0.033342,0.051135,0.086631,0.157399", \
"0.022485,0.026398,0.031234,0.039943,0.057529,0.092866,0.163570", \
"0.030377,0.035565,0.042083,0.053097,0.071026,0.105877,0.176254", \
"0.042403,0.049060,0.057487,0.072067,0.095966,0.133176,0.202544", \
"0.062083,0.070544,0.081207,0.099830,0.131062,0.181118,0.257418");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003304,0.005053,0.007562,0.012573,0.022590,0.042630,0.082703", \
"0.003388,0.005059,0.007562,0.012574,0.022589,0.042625,0.082702", \
"0.004137,0.005673,0.007850,0.012581,0.022590,0.042626,0.082702", \
"0.005794,0.007271,0.009360,0.013636,0.022781,0.042623,0.082699", \
"0.009070,0.010721,0.012874,0.016958,0.025269,0.043193,0.082695", \
"0.014950,0.016943,0.019510,0.024035,0.032203,0.048585,0.084027", \
"0.025052,0.027619,0.030966,0.036669,0.046170,0.062701,0.095251");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.007197,0.010141,0.014368,0.022822,0.039708,0.073468,0.140817", \
"0.007198,0.010144,0.014374,0.022825,0.039723,0.073454,0.140819", \
"0.007634,0.010204,0.014373,0.022826,0.039706,0.073477,0.140820", \
"0.010538,0.012692,0.015706,0.022972,0.039704,0.073461,0.140836", \
"0.014801,0.017903,0.021610,0.027615,0.040796,0.073448,0.140809", \
"0.020975,0.025170,0.030321,0.038775,0.051789,0.076736,0.140813", \
"0.031029,0.036425,0.043137,0.054488,0.072641,0.099911,0.148680");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.146292,0.153084,0.163276,0.170404,0.172620,0.175148,0.175270", \
"0.090642,0.116771,0.130590,0.146204,0.160567,0.166333,0.172382", \
"0.098220,0.107867,0.120427,0.138223,0.154119,0.164490,0.169648", \
"0.235670,0.191246,0.153495,0.154381,0.160474,0.166189,0.170452", \
"0.534696,0.455379,0.376936,0.289927,0.232606,0.208788,0.193454", \
"1.161855,1.068387,0.943698,0.759981,0.555311,0.398477,0.310568", \
"2.347994,2.290111,2.173121,1.929032,1.534495,1.089630,0.738050");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.551743,1.590465,1.596406,1.582636,1.619678,1.589546,1.547926", \
"1.474608,1.497963,1.514936,1.533844,1.581815,1.630248,1.599488", \
"1.493313,1.502155,1.518068,1.542231,1.574869,1.578197,1.555021", \
"1.589700,1.609445,1.594430,1.556917,1.589525,1.530179,1.472012", \
"1.793690,1.802110,1.834669,1.785438,1.718106,1.617565,1.567678", \
"2.467356,2.413740,2.353868,2.281449,2.182075,1.979206,1.854976", \
"3.953444,3.871349,3.745663,3.503277,3.263396,2.990800,2.406896");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.154185,0.163515,0.168005,0.169661,0.172802,0.174356,0.175065", \
"0.086709,0.115005,0.131523,0.149521,0.159231,0.167839,0.172094", \
"0.065739,0.083465,0.107378,0.130711,0.146364,0.160819,0.167837", \
"0.124881,0.112857,0.096712,0.112403,0.136831,0.153175,0.163420", \
"0.305048,0.264042,0.225974,0.178546,0.154058,0.161662,0.168262", \
"0.748246,0.677071,0.601125,0.484654,0.357603,0.257787,0.223993", \
"1.639963,1.581217,1.498849,1.317827,1.047551,0.732369,0.487019");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("2.160830,2.188038,2.191929,2.218659,2.136532,2.228661,2.193753", \
"2.102447,2.113582,2.135105,2.171006,2.197714,2.190175,2.231368", \
"2.120444,2.124714,2.137966,2.149454,2.125041,2.216867,2.189966", \
"2.237684,2.230208,2.202265,2.192840,2.132681,2.189495,2.119079", \
"2.428171,2.466285,2.474318,2.433073,2.321151,2.324075,2.230372", \
"3.052603,3.032549,3.010914,2.934863,2.853664,2.673436,2.539752", \
"4.486796,4.438568,4.375552,4.187756,4.004329,3.692466,3.192834");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X4
Cell Description : Combinational cell (ISO_FENCE1N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 29206.037273;
leakage_power () {
when : "!A & !EN";
value : 5953.035000;
}
leakage_power () {
when : "!A & EN";
value : 42829.710000;
}
leakage_power () {
when : "A & !EN";
value : 7027.935090;
}
leakage_power () {
when : "A & EN";
value : 61013.469000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.944273;
fall_capacitance : 1.837401;
rise_capacitance : 2.051145;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.543485,1.545623,1.546120,1.546143,1.545937,1.545426,1.544123");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.123866,-0.123947,-0.124045,-0.124242,-0.124656,-0.125481,-0.127113");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.422816;
fall_capacitance : 2.356254;
rise_capacitance : 2.489379;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.585551,1.493645,1.472361,1.455939,1.442793,1.431652,1.421723");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.292754,-0.292901,-0.293083,-0.293445,-0.294171,-0.295622,-0.298524");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 77.209500;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.005609,0.008136,0.011063,0.016874,0.028456,0.051595,0.097854", \
"0.007434,0.009993,0.012935,0.018771,0.030378,0.053532,0.099800", \
"0.008678,0.012157,0.015476,0.021263,0.032843,0.055987,0.102253", \
"0.009607,0.014276,0.018785,0.026137,0.037964,0.061004,0.107214", \
"0.009490,0.015675,0.021689,0.031618,0.047242,0.071392,0.117333", \
"0.006679,0.014852,0.022807,0.035996,0.057007,0.089328,0.138267", \
"-0.002210,0.008429,0.018913,0.036368,0.064269,0.107637,0.173428");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.007892,0.011923,0.016494,0.025471,0.043255,0.078702,0.149523", \
"0.010111,0.014012,0.018588,0.027646,0.045547,0.081099,0.151987", \
"0.013148,0.017249,0.021684,0.030628,0.048494,0.084064,0.154989", \
"0.017208,0.022840,0.028237,0.037156,0.054774,0.090212,0.161091", \
"0.023229,0.030529,0.037730,0.049602,0.068176,0.103103,0.173656", \
"0.032783,0.042065,0.051319,0.066951,0.092034,0.130251,0.199790", \
"0.048605,0.060403,0.072224,0.092249,0.125051,0.176729,0.254411");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003028,0.005156,0.007666,0.012675,0.022696,0.042730,0.082793", \
"0.003464,0.005184,0.007664,0.012675,0.022695,0.042728,0.082793", \
"0.004991,0.006624,0.008333,0.012695,0.022693,0.042726,0.082795", \
"0.007435,0.009464,0.011499,0.014998,0.023001,0.042725,0.082796", \
"0.011603,0.014178,0.016777,0.021187,0.028462,0.043729,0.082797", \
"0.018991,0.022285,0.025587,0.031199,0.040462,0.055327,0.085240", \
"0.032343,0.036605,0.040919,0.048134,0.059924,0.078969,0.109049");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004960,0.008527,0.012737,0.021162,0.038014,0.071704,0.139115", \
"0.004963,0.008530,0.012738,0.021163,0.038015,0.071705,0.139103", \
"0.006574,0.008983,0.012738,0.021161,0.038016,0.071706,0.139104", \
"0.009409,0.012246,0.014928,0.021573,0.038012,0.071711,0.139111", \
"0.013473,0.017295,0.021060,0.027125,0.039518,0.071703,0.139114", \
"0.020339,0.024992,0.029899,0.038245,0.051303,0.075516,0.139098", \
"0.032948,0.038307,0.044193,0.054694,0.072334,0.099491,0.147570");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.006933,0.009440,0.012357,0.018160,0.029738,0.052874,0.099133", \
"0.008659,0.011231,0.014189,0.020034,0.031646,0.054804,0.101079", \
"0.009961,0.012965,0.016136,0.022023,0.033669,0.056854,0.103142", \
"0.011245,0.015150,0.018992,0.025679,0.037646,0.060892,0.107220", \
"0.011517,0.016962,0.022173,0.030706,0.044670,0.068885,0.115324", \
"0.009009,0.016631,0.023882,0.035610,0.053884,0.082609,0.131365", \
"0.0003980,0.010845,0.020830,0.037039,0.062158,0.100119,0.158479");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.010815,0.014737,0.019265,0.028218,0.046008,0.081475,0.152262", \
"0.012992,0.016918,0.021470,0.030468,0.048310,0.083809,0.154639", \
"0.016337,0.020138,0.024613,0.033543,0.051347,0.086847,0.157674", \
"0.021810,0.026618,0.031419,0.040138,0.057734,0.093100,0.163858", \
"0.029501,0.035862,0.042341,0.053317,0.071227,0.106120,0.176537", \
"0.041283,0.049420,0.057821,0.072356,0.096207,0.133388,0.202820", \
"0.060660,0.070982,0.081619,0.100190,0.131376,0.181377,0.257665");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003026,0.005157,0.007665,0.012677,0.022694,0.042725,0.082794", \
"0.003141,0.005163,0.007665,0.012676,0.022693,0.042723,0.082796", \
"0.003894,0.005760,0.007943,0.012684,0.022694,0.042726,0.082795", \
"0.005554,0.007357,0.009445,0.013724,0.022879,0.042728,0.082794", \
"0.008781,0.010813,0.012957,0.017035,0.025356,0.043291,0.082795", \
"0.014613,0.017050,0.019606,0.024120,0.032284,0.048668,0.084124", \
"0.024590,0.027758,0.031078,0.036766,0.046253,0.062777,0.095331");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.006725,0.010312,0.014546,0.023004,0.039902,0.073685,0.141106", \
"0.006722,0.010311,0.014544,0.023004,0.039902,0.073679,0.141119", \
"0.007250,0.010356,0.014547,0.023004,0.039899,0.073677,0.141116", \
"0.010132,0.012797,0.015837,0.023142,0.039907,0.073689,0.141126", \
"0.014229,0.018045,0.021733,0.027728,0.040958,0.073676,0.141116", \
"0.020194,0.025366,0.030490,0.038912,0.051907,0.076904,0.141122", \
"0.030014,0.036656,0.043341,0.054657,0.072788,0.100035,0.148914");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.277938,0.316037,0.331758,0.337707,0.344755,0.348263,0.350774", \
"0.185615,0.229065,0.264415,0.293489,0.322239,0.337172,0.345682", \
"0.208122,0.214641,0.243036,0.275755,0.303775,0.327302,0.340553", \
"0.479203,0.376219,0.304590,0.302996,0.315288,0.333184,0.339593", \
"1.100507,0.899010,0.752487,0.575559,0.459718,0.416892,0.385972", \
"2.333315,2.121394,1.878265,1.505117,1.108062,0.791543,0.618271", \
"4.760676,4.556503,4.337268,3.845623,3.049714,2.164184,1.469867");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("3.202637,3.269599,3.314748,3.327310,3.370150,3.306685,3.207816", \
"3.044610,3.088245,3.157149,3.207123,3.300212,3.377184,3.314475", \
"3.085360,3.089227,3.131022,3.165649,3.258867,3.292222,3.100428", \
"3.285900,3.328166,3.285420,3.210831,3.169117,3.313022,3.376657", \
"3.720522,3.739015,3.802505,3.632762,3.506422,3.444169,3.270878", \
"5.059213,4.886694,4.804736,4.645381,4.327865,4.133737,3.703880", \
"8.046007,7.799691,7.584633,7.172631,6.638185,5.926367,5.248702");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.306714,0.326951,0.336385,0.345476,0.347050,0.352475,0.349325", \
"0.177605,0.226988,0.267273,0.295715,0.320438,0.338282,0.346265", \
"0.126741,0.173064,0.211669,0.258712,0.295806,0.323493,0.334442", \
"0.261986,0.229252,0.193258,0.228847,0.268880,0.304685,0.325486", \
"0.626213,0.527040,0.446954,0.359134,0.305602,0.322885,0.335131", \
"1.498687,1.356746,1.200074,0.966726,0.707825,0.514475,0.445203", \
"3.332298,3.169215,2.971220,2.647925,2.086654,1.457958,0.973837");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("4.426203,4.486049,4.495297,4.497631,4.479505,4.597137,4.398489", \
"4.283798,4.359449,4.375167,4.374808,4.461481,4.557993,4.475089", \
"4.297984,4.377534,4.376621,4.395352,4.391799,4.474317,4.415365", \
"4.553406,4.554548,4.552737,4.529186,4.457704,4.455390,4.562009", \
"4.959242,5.040043,5.048009,4.897127,4.861740,4.744916,4.647157", \
"6.204384,6.143581,6.107217,5.942710,5.762728,5.389678,5.094938", \
"9.066424,8.982181,8.808781,8.561314,8.060986,7.403744,6.714089");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X1
Cell Description : Combinational cell (ISO_FENCE1_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 11967.076000;
leakage_power () {
when : "!A & !EN";
value : 13727.890000;
}
leakage_power () {
when : "!A & EN";
value : 9510.072000;
}
leakage_power () {
when : "A & !EN";
value : 10418.881000;
}
leakage_power () {
when : "A & EN";
value : 14211.461000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.540044;
fall_capacitance : 0.518278;
rise_capacitance : 0.561811;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.102151,0.101865,0.101797,0.101719,0.101666,0.101643,0.101595");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.062332,-0.062382,-0.062444,-0.062567,-0.062814,-0.063307,-0.064293");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.642624;
fall_capacitance : 0.618128;
rise_capacitance : 0.667120;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.387556,0.387634,0.387728,0.387400,0.386972,0.387470,0.388346");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.071705,-0.071743,-0.071783,-0.071860,-0.072045,-0.072494,-0.073497");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 19.035300;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.030639,0.032914,0.036670,0.042746,0.052702,0.069733,0.100685", \
"0.031780,0.034056,0.037808,0.043884,0.053841,0.070873,0.101823", \
"0.034443,0.036709,0.040456,0.046530,0.056489,0.073523,0.104474", \
"0.040537,0.042794,0.046530,0.052605,0.062573,0.079615,0.110570", \
"0.051022,0.053425,0.057370,0.063702,0.073877,0.091023,0.121996", \
"0.066409,0.069090,0.073456,0.080238,0.090937,0.108615,0.140060", \
"0.091130,0.094220,0.099234,0.106952,0.118523,0.137078,0.168906");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.016575,0.019323,0.024490,0.034306,0.053088,0.089216,0.158901", \
"0.018760,0.021486,0.026638,0.036456,0.055258,0.091399,0.161106", \
"0.021215,0.023909,0.029021,0.038816,0.057629,0.093802,0.163522", \
"0.024222,0.026926,0.032022,0.041761,0.060533,0.096705,0.166451", \
"0.026961,0.029762,0.034836,0.044492,0.063222,0.099335,0.169066", \
"0.027722,0.030980,0.036368,0.046018,0.064567,0.100601,0.170248", \
"0.022373,0.026393,0.032925,0.043286,0.061786,0.097860,0.167446");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.006191,0.007260,0.009187,0.012676,0.019152,0.031772,0.057232", \
"0.006191,0.007259,0.009189,0.012674,0.019153,0.031772,0.057231", \
"0.006195,0.007268,0.009196,0.012680,0.019156,0.031773,0.057231", \
"0.006259,0.007327,0.009249,0.012720,0.019179,0.031785,0.057236", \
"0.007331,0.008326,0.010142,0.013452,0.019642,0.031995,0.057291", \
"0.009055,0.010049,0.011794,0.014922,0.020901,0.033085,0.057912", \
"0.011673,0.012740,0.014583,0.017728,0.023350,0.034638,0.058857");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.006168,0.008502,0.013164,0.022309,0.040065,0.074344,0.140618", \
"0.006170,0.008506,0.013164,0.022314,0.040053,0.074344,0.140628", \
"0.006227,0.008535,0.013173,0.022315,0.040064,0.074355,0.140627", \
"0.006551,0.008755,0.013292,0.022342,0.040050,0.074354,0.140606", \
"0.007347,0.009277,0.013519,0.022466,0.040133,0.074356,0.140611", \
"0.009210,0.010921,0.014513,0.022838,0.040304,0.074498,0.140637", \
"0.012148,0.014187,0.017583,0.024594,0.041106,0.075013,0.140896");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.034744,0.037022,0.040775,0.046852,0.056807,0.073837,0.104786", \
"0.036859,0.039137,0.042888,0.048965,0.058921,0.075952,0.106902", \
"0.039568,0.041839,0.045591,0.051667,0.061624,0.078657,0.109605", \
"0.044509,0.046771,0.050524,0.056595,0.066558,0.083596,0.114552", \
"0.052678,0.055055,0.058957,0.065238,0.075363,0.092482,0.123454", \
"0.065642,0.068224,0.072445,0.079175,0.089891,0.107593,0.138918", \
"0.088265,0.091169,0.095914,0.103354,0.115039,0.133746,0.165798");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.018253,0.021026,0.026227,0.036086,0.054920,0.091098,0.160835", \
"0.020354,0.023119,0.028306,0.038160,0.057004,0.093187,0.162930", \
"0.023026,0.025763,0.030913,0.040738,0.059577,0.095787,0.165543", \
"0.026814,0.029545,0.034668,0.044433,0.063233,0.099425,0.169200", \
"0.030646,0.033451,0.038547,0.048229,0.066968,0.103121,0.172879", \
"0.033058,0.036225,0.041531,0.051148,0.069704,0.105759,0.175444", \
"0.030834,0.034674,0.040883,0.050902,0.069218,0.105081,0.174679");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.006187,0.007258,0.009187,0.012674,0.019152,0.031773,0.057233", \
"0.006191,0.007260,0.009189,0.012673,0.019154,0.031772,0.057232", \
"0.006191,0.007264,0.009191,0.012676,0.019153,0.031772,0.057229", \
"0.006223,0.007290,0.009216,0.012694,0.019166,0.031777,0.057231", \
"0.006908,0.007957,0.009833,0.013203,0.019483,0.031922,0.057269", \
"0.008102,0.009170,0.011075,0.014466,0.020702,0.032876,0.057707", \
"0.010117,0.011229,0.013198,0.016666,0.022874,0.034683,0.058818");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.006377,0.008686,0.013296,0.022406,0.040124,0.074400,0.140659", \
"0.006374,0.008681,0.013295,0.022407,0.040128,0.074405,0.140657", \
"0.006387,0.008688,0.013301,0.022401,0.040121,0.074412,0.140666", \
"0.006647,0.008859,0.013377,0.022426,0.040129,0.074395,0.140667", \
"0.007327,0.009292,0.013574,0.022531,0.040195,0.074407,0.140672", \
"0.008920,0.010624,0.014313,0.022756,0.040297,0.074542,0.140688", \
"0.011463,0.013379,0.016682,0.023920,0.040706,0.074766,0.140873");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.498439,1.556822,1.662834,1.768710,1.851005,1.893322,1.904615", \
"1.452093,1.526228,1.621011,1.729894,1.812115,1.851221,1.863021", \
"1.457897,1.519023,1.623098,1.731805,1.816793,1.854277,1.864987", \
"1.505463,1.571209,1.663593,1.773059,1.855664,1.895720,1.908080", \
"1.609544,1.683402,1.769169,1.891131,1.975696,2.020105,2.030501", \
"1.840862,1.879931,1.964815,2.097484,2.228397,2.304612,2.319058", \
"2.447238,2.487212,2.551042,2.651019,2.791330,2.889783,2.969226");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.701651,0.711218,0.716964,0.733320,0.753453,0.735897,0.669220", \
"0.686644,0.703005,0.717301,0.732206,0.711083,0.736954,0.696175", \
"0.689486,0.697332,0.704786,0.717989,0.729946,0.701791,0.687188", \
"0.711427,0.710516,0.712116,0.732341,0.739946,0.699887,0.735863", \
"0.861293,0.851937,0.834062,0.822935,0.807595,0.832326,0.860331", \
"1.167984,1.180219,1.190929,1.184745,1.161453,1.111998,1.092338", \
"1.713603,1.773727,1.817804,1.902081,1.938853,1.889575,1.913052");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.809931,1.871086,1.975278,2.081392,2.163400,2.206495,2.216079", \
"1.772944,1.847683,1.940251,2.051227,2.131985,2.174859,2.183876", \
"1.764854,1.835642,1.931115,2.036905,2.120741,2.159955,2.171824", \
"1.762829,1.827066,1.921037,2.029782,2.111467,2.155418,2.166090", \
"1.769523,1.840014,1.942405,2.050523,2.137645,2.181648,2.191699", \
"1.819067,1.885975,1.978031,2.115281,2.265260,2.342187,2.358306", \
"2.227360,2.281472,2.371571,2.505271,2.649953,2.770064,2.858593");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.860475,0.885960,0.901965,0.932254,0.942429,0.948191,0.950071", \
"0.843325,0.851194,0.866137,0.896422,0.881192,0.930087,0.850248", \
"0.830911,0.854030,0.868746,0.888900,0.892378,0.886251,0.941026", \
"0.862589,0.867227,0.877508,0.895258,0.878767,0.859799,0.860941", \
"0.990988,0.983802,0.978927,0.984924,0.992793,0.946584,0.945214", \
"1.286877,1.295143,1.296508,1.282074,1.233993,1.234359,1.208414", \
"1.901586,1.926126,1.954382,1.959691,1.972588,1.907616,1.889396");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X2
Cell Description : Combinational cell (ISO_FENCE1_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 16463.089500;
leakage_power () {
when : "!A & !EN";
value : 20493.550000;
}
leakage_power () {
when : "!A & EN";
value : 13249.577000;
}
leakage_power () {
when : "A & !EN";
value : 14158.452000;
}
leakage_power () {
when : "A & EN";
value : 17950.779000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.536780;
fall_capacitance : 0.521488;
rise_capacitance : 0.552071;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.102228,0.101903,0.101814,0.101728,0.101676,0.101653,0.101636");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.100626,-0.100660,-0.100411,-0.100215,-0.100140,-0.100166,-0.100285");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.639996;
fall_capacitance : 0.615886;
rise_capacitance : 0.664106;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.387758,0.387696,0.387738,0.387571,0.387594,0.387516,0.388363");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.134542,-0.134624,-0.134723,-0.134914,-0.135329,-0.136246,-0.138176");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.596600;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.036212,0.039714,0.043778,0.050430,0.061325,0.079873,0.113663", \
"0.037387,0.040883,0.044945,0.051597,0.062492,0.081041,0.114832", \
"0.039923,0.043414,0.047469,0.054115,0.065010,0.083559,0.117352", \
"0.045901,0.049373,0.053417,0.060058,0.070951,0.089504,0.123303", \
"0.057791,0.061348,0.065461,0.072155,0.083098,0.101682,0.135480", \
"0.075350,0.079236,0.083745,0.090993,0.102540,0.121687,0.155777", \
"0.102323,0.106712,0.111800,0.119966,0.132608,0.152661,0.187331");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.016713,0.020342,0.025136,0.034414,0.052719,0.089101,0.161709", \
"0.018931,0.022548,0.027327,0.036600,0.054911,0.091311,0.163921", \
"0.021646,0.025224,0.029963,0.039201,0.057505,0.093923,0.166550", \
"0.025494,0.029149,0.033881,0.043040,0.061281,0.097689,0.170325", \
"0.029242,0.033221,0.038030,0.047133,0.065285,0.101595,0.174215", \
"0.031230,0.035935,0.041274,0.050498,0.068440,0.104601,0.177099", \
"0.027790,0.033323,0.039818,0.050197,0.068208,0.104202,0.176516");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.007164,0.008606,0.010479,0.013938,0.020463,0.033375,0.060265", \
"0.007168,0.008605,0.010478,0.013939,0.020463,0.033376,0.060266", \
"0.007166,0.008606,0.010485,0.013945,0.020465,0.033377,0.060266", \
"0.007174,0.008624,0.010501,0.013961,0.020476,0.033382,0.060266", \
"0.008109,0.009411,0.011126,0.014380,0.020730,0.033510,0.060308", \
"0.010370,0.011640,0.013299,0.016377,0.022358,0.034694,0.060775", \
"0.013572,0.014892,0.016610,0.019685,0.025282,0.036699,0.062119");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.004888,0.007609,0.011684,0.020165,0.037381,0.071882,0.140911", \
"0.004888,0.007616,0.011686,0.020161,0.037385,0.071897,0.140904", \
"0.004934,0.007639,0.011700,0.020166,0.037379,0.071888,0.140899", \
"0.005449,0.007966,0.011867,0.020199,0.037380,0.071890,0.140919", \
"0.006583,0.008797,0.012332,0.020409,0.037470,0.071882,0.140905", \
"0.008469,0.010803,0.013839,0.021026,0.037667,0.072045,0.140926", \
"0.011419,0.014190,0.017466,0.023550,0.038630,0.072499,0.141175");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.040266,0.043764,0.047826,0.054480,0.065373,0.083920,0.117710", \
"0.042432,0.045927,0.049990,0.056644,0.067539,0.086087,0.119878", \
"0.045111,0.048605,0.052662,0.059315,0.070210,0.088758,0.122550", \
"0.050038,0.053525,0.057577,0.064224,0.075119,0.093672,0.127468", \
"0.059135,0.062675,0.066781,0.073480,0.084422,0.103003,0.136808", \
"0.073574,0.077345,0.081735,0.088873,0.100402,0.119543,0.153619", \
"0.098164,0.102296,0.107119,0.114945,0.127318,0.147542,0.182438");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.018433,0.022121,0.026951,0.036264,0.054611,0.091045,0.163693", \
"0.020530,0.024213,0.029035,0.038345,0.056691,0.093131,0.165791", \
"0.023308,0.026961,0.031751,0.041027,0.059361,0.095815,0.168488", \
"0.027800,0.031490,0.036252,0.045452,0.063722,0.100153,0.172839", \
"0.032560,0.036531,0.041357,0.050497,0.068668,0.105010,0.177665", \
"0.036069,0.040686,0.045936,0.055118,0.073076,0.109262,0.181804", \
"0.035470,0.040860,0.047119,0.057146,0.074891,0.110789,0.183109");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.007164,0.008608,0.010478,0.013938,0.020463,0.033376,0.060264", \
"0.007167,0.008606,0.010479,0.013939,0.020463,0.033375,0.060265", \
"0.007165,0.008608,0.010482,0.013940,0.020464,0.033376,0.060265", \
"0.007171,0.008613,0.010489,0.013950,0.020469,0.033378,0.060265", \
"0.007753,0.009125,0.010905,0.014236,0.020642,0.033464,0.060292", \
"0.009156,0.010540,0.012351,0.015689,0.021963,0.034427,0.060662", \
"0.011463,0.012847,0.014674,0.018032,0.024256,0.036385,0.061960");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.005112,0.007809,0.011843,0.020270,0.037456,0.071945,0.140966", \
"0.005108,0.007807,0.011844,0.020269,0.037454,0.071941,0.140957", \
"0.005117,0.007812,0.011845,0.020272,0.037451,0.071940,0.140960", \
"0.005510,0.008048,0.011960,0.020298,0.037452,0.071943,0.140964", \
"0.006548,0.008795,0.012379,0.020476,0.037523,0.071951,0.140961", \
"0.008254,0.010547,0.013625,0.020945,0.037669,0.072092,0.140992", \
"0.010830,0.013518,0.016665,0.022842,0.038250,0.072310,0.141176");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.949871,2.031110,2.120881,2.272742,2.420728,2.508026,2.537819", \
"1.928774,1.993087,2.091010,2.221292,2.376277,2.469424,2.498646", \
"1.914735,1.986826,2.079983,2.221555,2.377309,2.465889,2.495408", \
"1.957259,2.032201,2.119190,2.253246,2.409005,2.497898,2.530522", \
"2.138451,2.190919,2.262877,2.376802,2.522887,2.610270,2.642493", \
"2.470255,2.492966,2.529922,2.639050,2.803129,2.892372,2.919827", \
"3.240609,3.212594,3.198752,3.239168,3.360925,3.475838,3.554550");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.213978,1.246942,1.280522,1.304542,1.347932,1.326654,1.345092", \
"1.211093,1.255076,1.283597,1.304372,1.334790,1.305288,1.317609", \
"1.218839,1.231186,1.247045,1.299930,1.296610,1.357328,1.291796", \
"1.263778,1.278738,1.287788,1.304583,1.275592,1.310367,1.328623", \
"1.418236,1.417878,1.407282,1.400146,1.415759,1.240139,1.404731", \
"1.736031,1.773070,1.773260,1.733264,1.721809,1.659287,1.675621", \
"2.357411,2.418128,2.477503,2.529243,2.467151,2.464506,2.232646");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("2.261631,2.344489,2.431323,2.585542,2.731389,2.818687,2.849146", \
"2.245985,2.317793,2.407221,2.540803,2.702353,2.790400,2.819295", \
"2.218632,2.299372,2.386375,2.540704,2.684687,2.775050,2.805342", \
"2.212231,2.290141,2.386009,2.523034,2.674332,2.764643,2.796651", \
"2.285088,2.334185,2.416785,2.556202,2.698677,2.786959,2.817558", \
"2.407677,2.445392,2.509986,2.649602,2.836387,2.932820,2.964258", \
"2.923835,2.922383,2.953868,3.038751,3.191159,3.344129,3.441222");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.376244,1.435083,1.465731,1.498686,1.539346,1.524155,1.595845", \
"1.362075,1.409277,1.438505,1.469264,1.513496,1.524184,1.474123", \
"1.358167,1.386986,1.408587,1.439594,1.419441,1.475382,1.433981", \
"1.400845,1.422143,1.426958,1.460444,1.498490,1.506423,1.397267", \
"1.547560,1.565839,1.554081,1.560948,1.564492,1.575089,1.439216", \
"1.857906,1.908670,1.905757,1.868028,1.810589,1.806396,1.825088", \
"2.526208,2.599441,2.630605,2.584990,2.538402,2.463256,2.438486");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X4
Cell Description : Combinational cell (ISO_FENCE1_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 31879.581250;
leakage_power () {
when : "!A & !EN";
value : 39989.400000;
}
leakage_power () {
when : "!A & EN";
value : 25691.787000;
}
leakage_power () {
when : "A & !EN";
value : 27397.634000;
}
leakage_power () {
when : "A & EN";
value : 34439.504000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.945742;
fall_capacitance : 0.914862;
rise_capacitance : 0.976622;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.190363,0.189778,0.189528,0.189428,0.189280,0.189268,0.189241");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.187918,-0.187454,-0.187026,-0.186613,-0.186454,-0.186485,-0.186614");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.113035;
fall_capacitance : 1.064387;
rise_capacitance : 1.161683;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.726794,0.726812,0.726669,0.726384,0.724794,0.725118,0.725419");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.267334,-0.267493,-0.267690,-0.268082,-0.268937,-0.270771,-0.274635");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.034293,0.038448,0.042366,0.048821,0.059475,0.077764,0.111337", \
"0.035473,0.039619,0.043534,0.049990,0.060644,0.078935,0.112507", \
"0.038036,0.042173,0.046080,0.052530,0.063184,0.081476,0.115050", \
"0.044057,0.048173,0.052065,0.058504,0.069158,0.087459,0.121037", \
"0.055731,0.059959,0.063941,0.070454,0.081163,0.099490,0.133072", \
"0.072848,0.077456,0.081825,0.088863,0.100150,0.118996,0.152873", \
"0.099417,0.104584,0.109506,0.117449,0.129789,0.149507,0.183862");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.015792,0.020196,0.024973,0.034238,0.052508,0.088824,0.161291", \
"0.018004,0.022391,0.027153,0.036412,0.054689,0.091018,0.163496", \
"0.020691,0.025027,0.029747,0.038970,0.057248,0.093599,0.166099", \
"0.024362,0.028793,0.033504,0.042649,0.060857,0.097198,0.169702", \
"0.027866,0.032688,0.037439,0.046513,0.064641,0.100884,0.173368", \
"0.029526,0.035209,0.040443,0.049594,0.067498,0.103594,0.175967", \
"0.025781,0.032417,0.038782,0.049017,0.066938,0.102891,0.175063");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.006866,0.008556,0.010381,0.013786,0.020272,0.033199,0.060172", \
"0.006869,0.008556,0.010381,0.013786,0.020272,0.033199,0.060172", \
"0.006866,0.008559,0.010385,0.013790,0.020274,0.033200,0.060173", \
"0.006877,0.008579,0.010409,0.013810,0.020286,0.033205,0.060174", \
"0.007964,0.009479,0.011139,0.014311,0.020581,0.033350,0.060218", \
"0.010283,0.011733,0.013315,0.016300,0.022202,0.034551,0.060710", \
"0.013568,0.015059,0.016691,0.019647,0.025106,0.036477,0.061980");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004494,0.007793,0.011890,0.020369,0.037553,0.072005,0.140873", \
"0.004493,0.007796,0.011891,0.020373,0.037555,0.072002,0.140894", \
"0.004548,0.007827,0.011904,0.020372,0.037556,0.071990,0.140888", \
"0.005106,0.008140,0.012067,0.020408,0.037555,0.072001,0.140896", \
"0.006264,0.008935,0.012505,0.020614,0.037658,0.071995,0.140881", \
"0.008146,0.010952,0.013976,0.021211,0.037852,0.072162,0.140924", \
"0.011174,0.014440,0.017638,0.023697,0.038829,0.072658,0.141167");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.038363,0.042513,0.046429,0.052884,0.063537,0.081825,0.115397", \
"0.040524,0.044671,0.048587,0.055044,0.065697,0.083986,0.117559", \
"0.043203,0.047347,0.051258,0.057711,0.068366,0.086657,0.120230", \
"0.048123,0.052258,0.056165,0.062614,0.073270,0.091567,0.125144", \
"0.057057,0.061265,0.065243,0.071764,0.082475,0.100806,0.134396", \
"0.071187,0.075650,0.079903,0.086872,0.098156,0.117039,0.150893", \
"0.095376,0.100272,0.104942,0.112570,0.124786,0.144679,0.179284");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.017504,0.021979,0.026790,0.036092,0.054411,0.090778,0.163294", \
"0.019597,0.024065,0.028869,0.038165,0.056480,0.092855,0.165381", \
"0.022366,0.026795,0.031566,0.040829,0.059135,0.095522,0.168062", \
"0.026729,0.031204,0.035941,0.045127,0.063371,0.099739,0.172292", \
"0.031271,0.036083,0.040857,0.049972,0.068118,0.104401,0.176920", \
"0.034530,0.040100,0.045243,0.054364,0.072284,0.108414,0.180831", \
"0.033623,0.040084,0.046214,0.056079,0.073835,0.109659,0.181845");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.006868,0.008556,0.010381,0.013786,0.020271,0.033199,0.060172", \
"0.006869,0.008556,0.010381,0.013787,0.020272,0.033199,0.060173", \
"0.006867,0.008557,0.010383,0.013787,0.020273,0.033199,0.060173", \
"0.006871,0.008568,0.010395,0.013797,0.020279,0.033201,0.060173", \
"0.007536,0.009148,0.010878,0.014138,0.020478,0.033300,0.060203", \
"0.008984,0.010585,0.012339,0.015602,0.021816,0.034281,0.060581", \
"0.011372,0.012961,0.014720,0.017992,0.024121,0.036218,0.061844");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004721,0.007992,0.012048,0.020478,0.037628,0.072050,0.140941", \
"0.004719,0.007989,0.012046,0.020475,0.037626,0.072049,0.140929", \
"0.004727,0.007996,0.012048,0.020474,0.037630,0.072056,0.140927", \
"0.005164,0.008223,0.012159,0.020503,0.037638,0.072053,0.140930", \
"0.006222,0.008931,0.012550,0.020676,0.037717,0.072067,0.140943", \
"0.007903,0.010658,0.013741,0.021120,0.037856,0.072192,0.140979", \
"0.010517,0.013697,0.016772,0.022949,0.038427,0.072438,0.141173");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.623271,3.755254,3.916518,4.166291,4.407848,4.561941,4.608231", \
"3.544229,3.683863,3.842673,4.094419,4.338623,4.489505,4.536366", \
"3.554973,3.696389,3.850453,4.082992,4.331319,4.478916,4.532606", \
"3.631632,3.750059,3.909397,4.137438,4.386545,4.546626,4.601912", \
"4.008576,4.090607,4.179910,4.394836,4.619433,4.763092,4.814889", \
"4.684353,4.653094,4.690911,4.855768,5.140405,5.299010,5.335931", \
"6.148066,6.056727,5.993590,6.004381,6.203041,6.396994,6.537222");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.419071,2.521951,2.546205,2.649669,2.615790,2.550822,2.537648", \
"2.415826,2.514252,2.539308,2.584800,2.564127,2.525581,2.663777", \
"2.417376,2.471256,2.515617,2.587972,2.618714,2.719323,2.616928", \
"2.489541,2.567545,2.578219,2.555190,2.579700,2.668065,2.704400", \
"2.804294,2.846363,2.798037,2.802327,2.802303,2.811680,2.915244", \
"3.388312,3.521734,3.490513,3.447587,3.345077,3.349570,3.416334", \
"4.637500,4.792190,4.895102,4.918718,4.954362,4.772995,4.522997");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("4.200480,4.337102,4.497217,4.749089,4.991139,5.144354,5.190169", \
"4.142157,4.282178,4.458031,4.694012,4.935141,5.084286,5.136463", \
"4.121791,4.261517,4.430555,4.670289,4.913777,5.063828,5.110833", \
"4.128429,4.247156,4.394090,4.641316,4.888370,5.039516,5.094658", \
"4.260401,4.356573,4.486172,4.703723,4.936281,5.089302,5.138373", \
"4.515781,4.571828,4.648333,4.883664,5.196492,5.372487,5.420692", \
"5.530688,5.505427,5.522928,5.632533,5.902960,6.157901,6.336441");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.712618,2.839667,2.890564,2.967252,3.009809,2.839965,2.975537", \
"2.677492,2.782640,2.850130,2.922895,3.007908,2.844656,3.075236", \
"2.680259,2.779023,2.794602,2.893190,2.935414,2.893001,2.781024", \
"2.755839,2.826420,2.868566,2.872010,2.972989,3.007831,2.927244", \
"3.033864,3.062448,3.081699,3.078364,3.105224,3.055753,3.038850", \
"3.629768,3.762195,3.702954,3.662884,3.606572,3.618916,3.674559", \
"4.942824,5.092758,5.126844,5.071956,4.968804,4.873338,4.851420");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X1
Cell Description : Level-shifter cell (LS_HLEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_HLEN_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1217738.991980;
leakage_power () {
when : "!A & !ISOLN";
value : 1212787.200700;
}
leakage_power () {
when : "!A & ISOLN";
value : 1220441.329300;
}
leakage_power () {
when : "A & !ISOLN";
value : 1213587.140419;
}
leakage_power () {
when : "A & ISOLN";
value : 1224140.297500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.511074;
fall_capacitance : 0.473151;
rise_capacitance : 0.548996;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.299537,0.296633,0.298403,0.300167,0.303353,0.304074,0.303945");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.053126,-0.049615,-0.049663,-0.049763,-0.049964,-0.050362,-0.051160");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.605173;
fall_capacitance : 0.582921;
rise_capacitance : 0.627424;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.297827,0.277074,0.274113,0.272273,0.272524,0.275454,0.271771");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.063220,-0.059712,-0.059767,-0.059876,-0.060095,-0.060533,-0.061409");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.847300;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.028052,0.030054,0.033222,0.038230,0.046346,0.060113,0.084585", \
"0.030649,0.032649,0.035820,0.040829,0.048947,0.062718,0.087184", \
"0.034594,0.036590,0.039759,0.044773,0.052897,0.066671,0.091148", \
"0.043181,0.045173,0.048342,0.053364,0.061498,0.075281,0.099758", \
"0.059710,0.061847,0.065164,0.070303,0.078511,0.092328,0.116805", \
"0.086008,0.088565,0.092443,0.098222,0.107041,0.121307,0.145910", \
"0.128630,0.131785,0.136553,0.143446,0.153179,0.168438,0.193553");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.022450,0.025665,0.031309,0.041360,0.059525,0.092636,0.153162", \
"0.024426,0.027628,0.033256,0.043307,0.061487,0.094616,0.155159", \
"0.026830,0.030008,0.035617,0.045656,0.063853,0.097001,0.157583", \
"0.029808,0.033060,0.038710,0.048729,0.066904,0.100069,0.160672", \
"0.031870,0.035112,0.040763,0.050853,0.069183,0.102309,0.162908", \
"0.030477,0.033897,0.039591,0.049518,0.067588,0.100858,0.161577", \
"0.018828,0.022992,0.029375,0.039496,0.057043,0.089970,0.150603");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.005664,0.006665,0.008420,0.011550,0.017298,0.028206,0.049002", \
"0.005671,0.006668,0.008423,0.011548,0.017297,0.028205,0.049001", \
"0.005674,0.006677,0.008429,0.011551,0.017299,0.028206,0.049003", \
"0.005713,0.006712,0.008457,0.011569,0.017308,0.028208,0.049003", \
"0.006530,0.007412,0.009000,0.011916,0.017492,0.028281,0.049016", \
"0.008582,0.009472,0.010994,0.013736,0.018914,0.029140,0.049272", \
"0.011756,0.012717,0.014296,0.016954,0.021729,0.031083,0.050369");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.008377,0.010967,0.015852,0.025033,0.042074,0.073395,0.130833", \
"0.008382,0.010976,0.015853,0.025033,0.042079,0.073407,0.130804", \
"0.008422,0.010997,0.015867,0.025034,0.042070,0.073404,0.130797", \
"0.008772,0.011303,0.016053,0.025080,0.042078,0.073403,0.130804", \
"0.009151,0.011577,0.016317,0.025452,0.042317,0.073393,0.130802", \
"0.010564,0.012580,0.016807,0.025520,0.042441,0.073825,0.130947", \
"0.013821,0.015567,0.018928,0.026436,0.042614,0.073773,0.131285");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.032684,0.034785,0.038090,0.043262,0.051551,0.065476,0.090068", \
"0.035532,0.037634,0.040940,0.046111,0.054402,0.068331,0.092920", \
"0.039565,0.041665,0.044970,0.050145,0.058437,0.072368,0.096966", \
"0.048148,0.050239,0.053543,0.058718,0.067020,0.080954,0.105557", \
"0.065468,0.067601,0.070950,0.076165,0.084496,0.098449,0.123052", \
"0.094380,0.096937,0.100814,0.106537,0.115294,0.129565,0.154218", \
"0.140424,0.143635,0.148389,0.155216,0.164820,0.179803,0.204927");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.023668,0.026883,0.032530,0.042584,0.060752,0.093858,0.154386", \
"0.025535,0.028742,0.034384,0.044439,0.062620,0.095736,0.156278", \
"0.027368,0.030563,0.036193,0.046245,0.064433,0.097569,0.158120", \
"0.030077,0.033307,0.038950,0.048991,0.067188,0.100345,0.160926", \
"0.032550,0.035801,0.041467,0.051545,0.069804,0.102960,0.163562", \
"0.032017,0.035438,0.041196,0.051224,0.069353,0.102566,0.163209", \
"0.021629,0.025686,0.032003,0.042231,0.060095,0.093049,0.153656");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.006122,0.007108,0.008831,0.011927,0.017632,0.028475,0.049195", \
"0.006123,0.007107,0.008833,0.011927,0.017631,0.028475,0.049194", \
"0.006128,0.007115,0.008837,0.011930,0.017632,0.028475,0.049199", \
"0.006147,0.007134,0.008852,0.011939,0.017638,0.028478,0.049199", \
"0.006521,0.007429,0.009071,0.012083,0.017716,0.028508,0.049207", \
"0.008583,0.009420,0.010904,0.013623,0.018838,0.029107,0.049364", \
"0.011826,0.012704,0.014160,0.016687,0.021430,0.030909,0.050362");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.008379,0.010971,0.015852,0.025032,0.042070,0.073410,0.130836", \
"0.008384,0.010974,0.015852,0.025031,0.042073,0.073412,0.130806", \
"0.008402,0.010985,0.015856,0.025032,0.042073,0.073396,0.130803", \
"0.008627,0.011170,0.015966,0.025056,0.042074,0.073410,0.130825", \
"0.008993,0.011456,0.016188,0.025264,0.042180,0.073390,0.130808", \
"0.010073,0.012277,0.016664,0.025420,0.042294,0.073572,0.130852", \
"0.012874,0.014793,0.018455,0.026288,0.042527,0.073637,0.131033");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.156757,1.195679,1.238448,1.286118,1.309837,1.322116,1.327080", \
"1.112288,1.148783,1.192993,1.238158,1.262180,1.276273,1.278103", \
"1.088401,1.131735,1.173210,1.216372,1.240906,1.256049,1.257248", \
"1.084138,1.119059,1.161918,1.203197,1.234998,1.246745,1.253582", \
"1.089465,1.130181,1.174164,1.217025,1.247871,1.263824,1.269328", \
"1.069193,1.113582,1.173833,1.237957,1.297877,1.320849,1.326603", \
"1.148829,1.187148,1.248332,1.315993,1.382927,1.437742,1.482890");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.558489,0.565229,0.582230,0.588972,0.601881,0.584367,0.599413", \
"0.542350,0.561278,0.557938,0.574444,0.580941,0.566507,0.542242", \
"0.541074,0.546464,0.555412,0.565872,0.575751,0.577665,0.528958", \
"0.526840,0.537253,0.534787,0.542170,0.543568,0.526008,0.537451", \
"0.549775,0.546453,0.543059,0.548850,0.555786,0.553865,0.560200", \
"0.614796,0.616574,0.616410,0.607559,0.587221,0.567709,0.585714", \
"0.765291,0.775519,0.774424,0.769546,0.763520,0.744078,0.745207");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.378407,1.419733,1.472624,1.526810,1.563326,1.583540,1.592314", \
"1.344516,1.386858,1.445883,1.496387,1.528716,1.551439,1.560270", \
"1.332860,1.372192,1.426426,1.480624,1.516412,1.535683,1.547835", \
"1.323822,1.370661,1.422709,1.470455,1.510585,1.530457,1.539498", \
"1.333362,1.380713,1.433061,1.482786,1.517744,1.541740,1.553819", \
"1.327239,1.374537,1.438370,1.515008,1.567610,1.594536,1.610004", \
"1.410228,1.452170,1.508152,1.588812,1.652014,1.704691,1.749068");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.556909,0.563633,0.575445,0.588933,0.597163,0.576075,0.584166", \
"0.545304,0.564694,0.575470,0.579498,0.589730,0.562917,0.599854", \
"0.539789,0.553710,0.555183,0.564480,0.564681,0.582596,0.595735", \
"0.521371,0.539524,0.535368,0.553990,0.562684,0.532698,0.537194", \
"0.530046,0.531728,0.526139,0.543279,0.527694,0.542828,0.543854", \
"0.570609,0.565370,0.566117,0.556091,0.541286,0.564532,0.525974", \
"0.664576,0.666480,0.674398,0.670822,0.666940,0.640669,0.633630");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X2
Cell Description : Level-shifter cell (LS_HLEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_HLEN_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1219928.437080;
leakage_power () {
when : "!A & !ISOLN";
value : 1215099.934000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1222754.137000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1215899.994619;
}
leakage_power () {
when : "A & ISOLN";
value : 1225959.682700;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.558241;
fall_capacitance : 0.525477;
rise_capacitance : 0.591005;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.299567,0.296350,0.297983,0.299941,0.303198,0.303945,0.304048");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.091991,-0.088508,-0.088592,-0.088763,-0.089107,-0.089793,-0.091166");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.648097;
fall_capacitance : 0.625912;
rise_capacitance : 0.670283;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.298141,0.276845,0.273891,0.272175,0.272466,0.275377,0.271743");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.102081,-0.098603,-0.098694,-0.098875,-0.099238,-0.099963,-0.101413");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.915400;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.032018,0.034357,0.037591,0.042873,0.051588,0.066739,0.095064", \
"0.034705,0.037038,0.040273,0.045557,0.054272,0.069425,0.097747", \
"0.038587,0.040916,0.044145,0.049429,0.058147,0.073302,0.101629", \
"0.047069,0.049382,0.052606,0.057889,0.066612,0.081775,0.110105", \
"0.064413,0.066754,0.069999,0.075309,0.084066,0.099253,0.127589", \
"0.093125,0.095947,0.099758,0.105749,0.115140,0.130769,0.159155", \
"0.138796,0.142261,0.146939,0.154165,0.164909,0.181828,0.210984");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.022225,0.025345,0.030122,0.039015,0.056131,0.089930,0.157253", \
"0.024243,0.027356,0.032118,0.041003,0.058122,0.091930,0.159274", \
"0.026816,0.029905,0.034643,0.043501,0.060616,0.094444,0.161794", \
"0.030694,0.033847,0.038604,0.047447,0.064531,0.098358,0.165737", \
"0.034204,0.037416,0.042240,0.051150,0.068359,0.102155,0.169530", \
"0.034584,0.038230,0.043314,0.052253,0.069214,0.103057,0.170505", \
"0.024966,0.029517,0.035658,0.045375,0.062127,0.095469,0.162741");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.006148,0.007115,0.008627,0.011457,0.016923,0.028045,0.051427", \
"0.006149,0.007115,0.008627,0.011456,0.016921,0.028044,0.051426", \
"0.006149,0.007120,0.008631,0.011459,0.016924,0.028045,0.051427", \
"0.006163,0.007138,0.008649,0.011474,0.016931,0.028048,0.051424", \
"0.006646,0.007512,0.008927,0.011663,0.017040,0.028096,0.051438", \
"0.009153,0.009986,0.011267,0.013707,0.018581,0.028904,0.051625", \
"0.012982,0.013888,0.015229,0.017620,0.022143,0.031607,0.053081");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.006670,0.008828,0.012495,0.020085,0.035793,0.067615,0.131382", \
"0.006669,0.008825,0.012499,0.020088,0.035786,0.067622,0.131390", \
"0.006688,0.008843,0.012512,0.020095,0.035788,0.067607,0.131363", \
"0.007058,0.009151,0.012707,0.020166,0.035806,0.067606,0.131370", \
"0.007784,0.009715,0.013172,0.020589,0.036073,0.067623,0.131371", \
"0.009803,0.011406,0.014304,0.021051,0.036269,0.068053,0.131509", \
"0.013347,0.015098,0.017630,0.023050,0.036831,0.068057,0.131876");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.036414,0.038871,0.042242,0.047698,0.056611,0.071959,0.100442", \
"0.039325,0.041781,0.045153,0.050610,0.059523,0.074873,0.103353", \
"0.043343,0.045795,0.049164,0.054622,0.063539,0.078890,0.107373", \
"0.051863,0.054309,0.057673,0.063124,0.072044,0.087401,0.115888", \
"0.069456,0.071895,0.075249,0.080708,0.089639,0.105010,0.133505", \
"0.100334,0.103202,0.107041,0.113036,0.122414,0.138045,0.166563", \
"0.149054,0.152628,0.157378,0.164632,0.175274,0.192134,0.221321");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.023443,0.026562,0.031338,0.040235,0.057353,0.091145,0.158452", \
"0.025335,0.028450,0.033221,0.042115,0.059237,0.093037,0.160376", \
"0.027260,0.030364,0.035119,0.043998,0.061124,0.094938,0.162276", \
"0.030364,0.033508,0.038281,0.047147,0.064259,0.098093,0.165475", \
"0.033739,0.036967,0.041815,0.050749,0.067922,0.101740,0.169130", \
"0.034697,0.038222,0.043287,0.052319,0.069372,0.103200,0.170609", \
"0.026371,0.030667,0.036536,0.046155,0.063106,0.096676,0.163978");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.006669,0.007611,0.009090,0.011879,0.017298,0.028356,0.051647", \
"0.006670,0.007613,0.009092,0.011880,0.017297,0.028356,0.051646", \
"0.006670,0.007615,0.009094,0.011882,0.017299,0.028357,0.051645", \
"0.006675,0.007626,0.009107,0.011893,0.017305,0.028359,0.051646", \
"0.006841,0.007769,0.009223,0.011977,0.017355,0.028381,0.051652", \
"0.009158,0.009961,0.011206,0.013602,0.018471,0.028903,0.051787", \
"0.012990,0.013873,0.015158,0.017433,0.021878,0.031409,0.053042");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.006672,0.008824,0.012499,0.020085,0.035791,0.067627,0.131370", \
"0.006668,0.008827,0.012496,0.020089,0.035792,0.067613,0.131379", \
"0.006681,0.008837,0.012503,0.020091,0.035794,0.067609,0.131370", \
"0.006911,0.009033,0.012629,0.020137,0.035793,0.067617,0.131396", \
"0.007431,0.009474,0.013003,0.020423,0.035946,0.067620,0.131391", \
"0.008809,0.010636,0.013847,0.020861,0.036123,0.067831,0.131440", \
"0.011791,0.013578,0.016346,0.022383,0.036672,0.067936,0.131651");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.400706,1.460774,1.545710,1.629545,1.713278,1.754789,1.771706", \
"1.361032,1.426559,1.504180,1.588941,1.668214,1.714684,1.731180", \
"1.337223,1.396602,1.477128,1.569435,1.646575,1.690506,1.708127", \
"1.323548,1.380580,1.459027,1.551224,1.626933,1.677007,1.693052", \
"1.336444,1.395626,1.468038,1.546301,1.630344,1.683052,1.703813", \
"1.346595,1.395437,1.473119,1.575712,1.677751,1.729762,1.749270", \
"1.461345,1.494342,1.543120,1.631790,1.742301,1.836765,1.890191");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.877464,0.899718,0.939623,0.958954,0.958723,0.967296,0.993811", \
"0.860995,0.904073,0.921458,0.939425,0.980025,0.938725,1.009146", \
"0.868310,0.885251,0.905304,0.915737,0.944490,0.956324,0.997650", \
"0.858758,0.885364,0.898514,0.912006,0.915696,0.928814,0.911281", \
"0.866045,0.896668,0.897419,0.914829,0.892395,0.904966,0.871019", \
"0.935586,0.946040,0.970086,0.959064,0.965398,0.975483,0.944986", \
"1.062780,1.109213,1.131620,1.120649,1.110290,1.100715,1.016082");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.614592,1.682497,1.765671,1.864745,1.954761,2.006316,2.032693", \
"1.586442,1.654066,1.737727,1.835549,1.920784,1.978124,2.002743", \
"1.573088,1.639221,1.722821,1.819083,1.906192,1.962633,1.985520", \
"1.561003,1.631764,1.710164,1.804128,1.892996,1.952885,1.976784", \
"1.584017,1.643713,1.714124,1.808727,1.902391,1.958064,1.989062", \
"1.601784,1.655298,1.737176,1.848204,1.945593,2.003770,2.037232", \
"1.714137,1.749251,1.811361,1.901292,2.018889,2.118864,2.172650");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.883264,0.916697,0.935395,0.954657,0.972561,0.926483,0.961691", \
"0.861529,0.905109,0.933435,0.961515,0.949863,0.903857,1.004257", \
"0.873636,0.882265,0.923927,0.938176,0.954184,0.942249,1.003707", \
"0.857155,0.871704,0.906392,0.927211,0.925208,0.934878,0.889989", \
"0.864863,0.878087,0.878063,0.888645,0.892723,0.904030,0.910715", \
"0.894603,0.916887,0.923189,0.916596,0.921304,0.930546,0.927255", \
"0.961715,1.003259,1.018787,1.028091,1.025845,0.983545,0.901561");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X4
Cell Description : Level-shifter cell (LS_HLEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_HLEN_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1229526.591132;
leakage_power () {
when : "!A & !ISOLN";
value : 1220165.365000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1235023.931000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1221727.452030;
}
leakage_power () {
when : "A & ISOLN";
value : 1241189.616500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.940005;
fall_capacitance : 0.877507;
rise_capacitance : 1.002503;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.580798,0.577691,0.579931,0.581967,0.585591,0.586976,0.586243");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.190936,-0.187519,-0.187687,-0.188030,-0.188710,-0.190079,-0.192802");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.091949;
fall_capacitance : 1.048135;
rise_capacitance : 1.135763;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.579619,0.540057,0.533329,0.528632,0.526448,0.527441,0.521772");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("-0.210672,-0.207266,-0.207446,-0.207806,-0.208527,-0.209967,-0.212849");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.029822,0.032887,0.035978,0.041070,0.049563,0.064500,0.092663", \
"0.032496,0.035557,0.038647,0.043740,0.052234,0.067173,0.095336", \
"0.036402,0.039453,0.042538,0.047629,0.056126,0.071068,0.099232", \
"0.044913,0.047950,0.051028,0.056121,0.064625,0.079575,0.107747", \
"0.062088,0.065195,0.068311,0.073446,0.081989,0.096962,0.125133", \
"0.090017,0.093752,0.097405,0.103211,0.112409,0.127831,0.156047", \
"0.134664,0.139251,0.143743,0.150738,0.161198,0.177827,0.206715");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.020721,0.024915,0.029651,0.038517,0.055625,0.089421,0.156720", \
"0.022729,0.026913,0.031635,0.040489,0.057604,0.091403,0.158725", \
"0.025282,0.029431,0.034128,0.042960,0.060067,0.093901,0.161251", \
"0.028909,0.033150,0.037872,0.046687,0.063766,0.097600,0.164973", \
"0.032031,0.036361,0.041118,0.049991,0.067213,0.101006,0.168372", \
"0.031750,0.036649,0.041632,0.050490,0.067427,0.101273,0.168726", \
"0.021204,0.027306,0.033290,0.042833,0.059514,0.092849,0.160113");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005701,0.006971,0.008448,0.011247,0.016705,0.027878,0.051337", \
"0.005702,0.006973,0.008449,0.011247,0.016704,0.027877,0.051338", \
"0.005702,0.006977,0.008455,0.011253,0.016706,0.027878,0.051337", \
"0.005716,0.006999,0.008476,0.011268,0.016714,0.027879,0.051338", \
"0.006394,0.007491,0.008836,0.011503,0.016846,0.027935,0.051350", \
"0.008922,0.009985,0.011229,0.013610,0.018445,0.028795,0.051545", \
"0.012758,0.013924,0.015203,0.017516,0.021953,0.031382,0.052942");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.006055,0.008952,0.012647,0.020279,0.036013,0.067842,0.131568", \
"0.006056,0.008955,0.012651,0.020281,0.036015,0.067835,0.131574", \
"0.006077,0.008978,0.012667,0.020291,0.036015,0.067833,0.131565", \
"0.006464,0.009303,0.012884,0.020366,0.036022,0.067839,0.131580", \
"0.007263,0.009820,0.013306,0.020780,0.036322,0.067864,0.131585", \
"0.009358,0.011469,0.014374,0.021192,0.036490,0.068301,0.131740", \
"0.012868,0.015203,0.017677,0.023105,0.037015,0.068292,0.132104");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.034173,0.037400,0.040620,0.045878,0.054561,0.069685,0.097996", \
"0.037075,0.040300,0.043519,0.048779,0.057464,0.072588,0.100900", \
"0.041104,0.044325,0.047541,0.052800,0.061487,0.076614,0.104926", \
"0.049647,0.052859,0.056069,0.061326,0.070017,0.085150,0.113466", \
"0.067204,0.070411,0.073620,0.078883,0.087590,0.102739,0.131065", \
"0.097371,0.101180,0.104874,0.110686,0.119846,0.135268,0.163603", \
"0.145064,0.149815,0.154384,0.161398,0.171719,0.188299,0.217221");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.021935,0.026129,0.030866,0.039735,0.056846,0.090636,0.157942", \
"0.023809,0.027998,0.032728,0.041594,0.058709,0.092511,0.159823", \
"0.025704,0.029873,0.034587,0.043438,0.060557,0.094374,0.161704", \
"0.028654,0.032885,0.037619,0.046460,0.063568,0.097403,0.164752", \
"0.031721,0.036064,0.040858,0.049757,0.066932,0.100754,0.168128", \
"0.032106,0.036852,0.041839,0.050809,0.067882,0.101718,0.169141", \
"0.022792,0.028616,0.034371,0.043874,0.060948,0.094552,0.161838");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.006219,0.007456,0.008904,0.011660,0.017070,0.028174,0.051547", \
"0.006215,0.007458,0.008904,0.011661,0.017070,0.028174,0.051547", \
"0.006216,0.007460,0.008908,0.011665,0.017071,0.028174,0.051547", \
"0.006225,0.007471,0.008922,0.011675,0.017076,0.028176,0.051547", \
"0.006467,0.007652,0.009063,0.011775,0.017134,0.028201,0.051553", \
"0.008902,0.009931,0.011129,0.013481,0.018330,0.028768,0.051688", \
"0.012722,0.013859,0.015076,0.017274,0.021649,0.031170,0.052902");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.006057,0.008953,0.012646,0.020280,0.036013,0.067833,0.131574", \
"0.006057,0.008955,0.012649,0.020282,0.036010,0.067833,0.131571", \
"0.006067,0.008965,0.012658,0.020284,0.036013,0.067833,0.131565", \
"0.006321,0.009177,0.012798,0.020333,0.036020,0.067845,0.131590", \
"0.006863,0.009595,0.013145,0.020611,0.036175,0.067849,0.131590", \
"0.008320,0.010733,0.013958,0.021018,0.036345,0.068071,0.131645", \
"0.011315,0.013704,0.016437,0.022498,0.036863,0.068162,0.131861");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.614808,2.766875,2.909213,3.056223,3.195711,3.262067,3.288799", \
"2.514336,2.685481,2.829112,2.979693,3.118547,3.183645,3.212400", \
"2.490424,2.651200,2.775011,2.934008,3.064782,3.140206,3.166368", \
"2.466143,2.614646,2.735244,2.898439,3.037481,3.114329,3.144499", \
"2.497022,2.639577,2.763467,2.898760,3.047028,3.129393,3.161279", \
"2.512722,2.639669,2.769534,2.955741,3.135923,3.223460,3.263634", \
"2.795782,2.842339,2.918683,3.073557,3.260072,3.439998,3.549375");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.724094,1.802571,1.822370,1.914275,1.882154,1.924149,1.963145", \
"1.705689,1.778435,1.826612,1.877014,1.919671,1.825338,1.769099", \
"1.669252,1.757003,1.785213,1.827711,1.885204,1.848683,1.936714", \
"1.671827,1.743516,1.766521,1.817051,1.796364,1.819081,1.742589", \
"1.687261,1.758131,1.763569,1.807187,1.771765,1.816693,1.757983", \
"1.832278,1.886774,1.905058,1.920250,1.895925,1.924219,1.938563", \
"2.085291,2.193189,2.242465,2.225709,2.226989,2.186518,2.128263");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("3.031363,3.192709,3.338883,3.498887,3.653195,3.744884,3.791418", \
"2.955239,3.137410,3.282917,3.442706,3.597384,3.695240,3.737319", \
"2.928560,3.100589,3.252550,3.419876,3.570323,3.663241,3.711904", \
"2.933911,3.086415,3.225684,3.395990,3.553730,3.642558,3.691958", \
"2.959798,3.114847,3.229649,3.397541,3.561179,3.658192,3.709267", \
"3.004099,3.148134,3.279200,3.481285,3.649763,3.750009,3.806468", \
"3.259219,3.342730,3.427616,3.584271,3.794264,3.970035,4.074788");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.717350,1.804562,1.856205,1.895082,1.960708,1.980783,1.902527", \
"1.704193,1.794593,1.839220,1.901728,1.930042,1.955307,1.983748", \
"1.699496,1.758259,1.811762,1.861473,1.880396,1.931335,1.983535", \
"1.671931,1.734028,1.782488,1.804192,1.862181,1.823393,1.767358", \
"1.687491,1.722822,1.760521,1.784023,1.820503,1.742102,1.766004", \
"1.712114,1.810104,1.829275,1.842397,1.816465,1.820659,1.859884", \
"1.879236,1.991227,2.008828,2.029078,1.988745,1.975610,1.878397");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X1
Cell Description : Level-shifter cell (LS_HL_X1) with drive strength X1
*******************************************************************************************/
cell (LS_HL_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1215506.034900;
leakage_power () {
when : "!A";
value : 1213970.142100;
}
leakage_power () {
when : "A";
value : 1217041.927700;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.582587;
fall_capacitance : 0.541182;
rise_capacitance : 0.623992;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.923600;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.024478,0.026371,0.029384,0.034193,0.042112,0.055774,0.080341", \
"0.027239,0.029130,0.032139,0.036951,0.044872,0.058538,0.083108", \
"0.031390,0.033279,0.036292,0.041109,0.049035,0.062706,0.087282", \
"0.040126,0.042017,0.045035,0.049862,0.057801,0.071480,0.096060", \
"0.056005,0.058088,0.061323,0.066339,0.074379,0.088086,0.112648", \
"0.080983,0.083460,0.087235,0.092870,0.101466,0.115578,0.140282", \
"0.121686,0.124774,0.129402,0.136113,0.145632,0.160609,0.185617");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.017035,0.020143,0.025702,0.035724,0.053931,0.087180,0.148118", \
"0.019171,0.022253,0.027815,0.037861,0.056107,0.089388,0.150335", \
"0.021278,0.024334,0.029871,0.039920,0.058193,0.091521,0.152478", \
"0.023374,0.026435,0.031985,0.042011,0.060282,0.093628,0.154621", \
"0.024410,0.027447,0.032908,0.042900,0.061208,0.094544,0.155550", \
"0.021673,0.024883,0.030337,0.040161,0.058273,0.091612,0.152626", \
"0.008374,0.012372,0.018467,0.028341,0.046039,0.079092,0.140010");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.005141,0.006121,0.007860,0.010997,0.016837,0.027970,0.049080", \
"0.005146,0.006125,0.007863,0.010999,0.016838,0.027968,0.049080", \
"0.005153,0.006138,0.007870,0.011001,0.016839,0.027969,0.049081", \
"0.005222,0.006186,0.007907,0.011026,0.016851,0.027971,0.049081", \
"0.006211,0.007084,0.008648,0.011523,0.017094,0.028054,0.049090", \
"0.008226,0.009084,0.010564,0.013256,0.018450,0.028900,0.049367", \
"0.011340,0.012271,0.013804,0.016381,0.021112,0.030586,0.050278");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.007468,0.010201,0.015283,0.024639,0.041825,0.073306,0.131182", \
"0.007463,0.010204,0.015281,0.024640,0.041818,0.073315,0.131151", \
"0.007508,0.010222,0.015284,0.024640,0.041803,0.073306,0.131116", \
"0.007704,0.010391,0.015396,0.024672,0.041811,0.073319,0.131165", \
"0.008100,0.010579,0.015485,0.024808,0.041923,0.073315,0.131100", \
"0.009501,0.011497,0.015864,0.024877,0.041997,0.073535,0.131149", \
"0.012780,0.014477,0.017833,0.025609,0.042200,0.073573,0.131331");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("1.040621,1.073491,1.116539,1.147120,1.165008,1.173115,1.178080", \
"1.001884,1.034132,1.077415,1.109018,1.128539,1.135329,1.138547", \
"0.988535,1.026839,1.061230,1.095673,1.116186,1.124823,1.129395", \
"0.983412,1.019744,1.063278,1.094412,1.118390,1.126265,1.129656", \
"0.993250,1.031728,1.071874,1.114061,1.133964,1.146748,1.151390", \
"0.967708,1.015401,1.065280,1.128367,1.188444,1.208657,1.214223", \
"1.065201,1.099877,1.149865,1.214143,1.275718,1.320333,1.370630");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.475223,0.477391,0.487378,0.486699,0.490415,0.484702,0.492889", \
"0.447665,0.455717,0.467935,0.479692,0.474650,0.460689,0.499089", \
"0.441800,0.439238,0.452932,0.457861,0.472137,0.475235,0.421069", \
"0.427820,0.435984,0.440597,0.441871,0.451942,0.436103,0.444662", \
"0.455591,0.452439,0.447214,0.450627,0.452334,0.427813,0.419845", \
"0.527541,0.528908,0.529212,0.517510,0.506092,0.518536,0.474440", \
"0.679673,0.687487,0.698618,0.694564,0.683467,0.683248,0.671695");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X2
Cell Description : Level-shifter cell (LS_HL_X2) with drive strength X2
*******************************************************************************************/
cell (LS_HL_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1217571.927600;
leakage_power () {
when : "!A";
value : 1216282.959100;
}
leakage_power () {
when : "A";
value : 1218860.896100;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.577990;
fall_capacitance : 0.540062;
rise_capacitance : 0.615919;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.991700;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.029076,0.031283,0.034353,0.039404,0.047844,0.062755,0.091004", \
"0.031864,0.034066,0.037133,0.042184,0.050626,0.065537,0.093784", \
"0.035943,0.038139,0.041201,0.046252,0.054697,0.069611,0.097859", \
"0.044613,0.046800,0.049859,0.054909,0.063361,0.078284,0.106539", \
"0.061785,0.064043,0.067152,0.072262,0.080757,0.095704,0.123960", \
"0.089505,0.092222,0.095875,0.101679,0.110832,0.126231,0.154518", \
"0.133689,0.137032,0.141536,0.148531,0.159003,0.175564,0.204471");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.016626,0.019441,0.023929,0.032605,0.049649,0.083460,0.150925", \
"0.018871,0.021661,0.026122,0.034798,0.051861,0.085703,0.153169", \
"0.021429,0.024189,0.028621,0.037274,0.054349,0.088222,0.155736", \
"0.024560,0.027346,0.031788,0.040410,0.057444,0.091338,0.158879", \
"0.027040,0.029922,0.034354,0.042913,0.059949,0.093803,0.161332", \
"0.026061,0.029421,0.034116,0.042633,0.059405,0.093184,0.160695", \
"0.014954,0.019225,0.024976,0.034171,0.050663,0.084027,0.151327");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.005830,0.006767,0.008242,0.011040,0.016518,0.027781,0.051437", \
"0.005832,0.006768,0.008245,0.011041,0.016520,0.027782,0.051436", \
"0.005833,0.006773,0.008250,0.011045,0.016521,0.027782,0.051435", \
"0.005851,0.006797,0.008271,0.011061,0.016529,0.027784,0.051436", \
"0.006567,0.007368,0.008689,0.011330,0.016675,0.027842,0.051449", \
"0.009073,0.009859,0.011091,0.013465,0.018294,0.028716,0.051639", \
"0.012911,0.013766,0.015048,0.017351,0.021770,0.031231,0.052972");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.005640,0.007876,0.011743,0.019654,0.035621,0.067589,0.131525", \
"0.005650,0.007885,0.011746,0.019655,0.035617,0.067570,0.131527", \
"0.005703,0.007912,0.011755,0.019660,0.035618,0.067577,0.131534", \
"0.006070,0.008184,0.011931,0.019709,0.035615,0.067593,0.131541", \
"0.006924,0.008753,0.012236,0.019894,0.035750,0.067601,0.131507", \
"0.008967,0.010455,0.013293,0.020258,0.035866,0.067795,0.131595", \
"0.012499,0.014138,0.016560,0.022028,0.036306,0.067895,0.131773");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("1.294426,1.361396,1.431494,1.514060,1.581895,1.615739,1.627185", \
"1.258966,1.323157,1.393603,1.477103,1.547286,1.580783,1.593081", \
"1.247469,1.309004,1.383559,1.463016,1.533427,1.568573,1.578181", \
"1.238308,1.307541,1.372360,1.453469,1.525824,1.562253,1.575004", \
"1.268570,1.317572,1.383974,1.461333,1.531933,1.574049,1.592545", \
"1.265630,1.318638,1.382796,1.483252,1.582085,1.627753,1.642497", \
"1.392038,1.413545,1.456267,1.540716,1.640633,1.731270,1.786832");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.854068,0.872150,0.888495,0.910452,0.912049,0.947196,0.957456", \
"0.828199,0.850694,0.857088,0.898984,0.918777,0.927323,0.849819", \
"0.814977,0.843719,0.856426,0.870165,0.881207,0.923370,0.830164", \
"0.809768,0.832257,0.827556,0.859497,0.869987,0.848384,0.853820", \
"0.830310,0.835279,0.844656,0.846776,0.876363,0.822404,0.890628", \
"0.897340,0.915773,0.899749,0.918167,0.909568,0.828924,0.874363", \
"1.014564,1.067355,1.075259,1.085147,1.063361,1.069795,1.002026");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X4
Cell Description : Level-shifter cell (LS_HL_X4) with drive strength X4
*******************************************************************************************/
cell (LS_HL_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1221987.701450;
leakage_power () {
when : "!A";
value : 1220990.182000;
}
leakage_power () {
when : "A";
value : 1222985.220900;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.626991;
fall_capacitance : 0.589989;
rise_capacitance : 0.663992;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.037110,0.040566,0.044050,0.049743,0.059034,0.074851,0.103759", \
"0.039976,0.043430,0.046913,0.052606,0.061899,0.077717,0.106625", \
"0.043987,0.047433,0.050912,0.056604,0.065898,0.081718,0.110628", \
"0.052513,0.055959,0.059429,0.065116,0.074413,0.090240,0.119149", \
"0.070149,0.073553,0.077007,0.082689,0.091994,0.107830,0.136765", \
"0.101401,0.105292,0.109141,0.115282,0.124948,0.140975,0.169883", \
"0.150536,0.155234,0.159914,0.167242,0.178185,0.195569,0.225220");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.019286,0.023265,0.027843,0.036570,0.053609,0.087376,0.154667", \
"0.021558,0.025520,0.030084,0.038804,0.055851,0.089631,0.156929", \
"0.024364,0.028295,0.032834,0.041529,0.058577,0.092379,0.159702", \
"0.028653,0.032624,0.037147,0.045794,0.062804,0.096604,0.163959", \
"0.032879,0.037052,0.041634,0.050265,0.067235,0.100947,0.168285", \
"0.034436,0.039294,0.044196,0.052878,0.069591,0.103190,0.170432", \
"0.027227,0.033251,0.039209,0.048711,0.065172,0.098271,0.165260");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.007835,0.009128,0.010641,0.013497,0.018983,0.030025,0.053207", \
"0.007836,0.009130,0.010642,0.013499,0.018983,0.030025,0.053206", \
"0.007836,0.009131,0.010646,0.013500,0.018984,0.030026,0.053206", \
"0.007841,0.009141,0.010657,0.013511,0.018990,0.030027,0.053207", \
"0.007998,0.009273,0.010770,0.013598,0.019044,0.030053,0.053215", \
"0.010823,0.011838,0.013060,0.015458,0.020302,0.030642,0.053378", \
"0.015634,0.016691,0.017894,0.020139,0.024567,0.033901,0.055068");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.005832,0.008715,0.012457,0.020211,0.036056,0.067900,0.131663", \
"0.005833,0.008715,0.012459,0.020212,0.036052,0.067899,0.131658", \
"0.005855,0.008738,0.012471,0.020215,0.036060,0.067914,0.131648", \
"0.006282,0.009005,0.012618,0.020255,0.036055,0.067899,0.131650", \
"0.007411,0.009781,0.013146,0.020558,0.036177,0.067903,0.131646", \
"0.009773,0.011791,0.014554,0.021196,0.036409,0.068122,0.131690", \
"0.013655,0.015911,0.018334,0.023556,0.037164,0.068302,0.131927");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.022153,2.136214,2.242049,2.379311,2.508811,2.597779,2.643011", \
"1.998732,2.098921,2.200461,2.335739,2.475789,2.570114,2.607626", \
"1.974491,2.075653,2.185535,2.322546,2.454068,2.544065,2.587611", \
"1.973970,2.071656,2.166734,2.300355,2.437108,2.536238,2.574952", \
"1.996983,2.081802,2.166589,2.302802,2.434597,2.538103,2.587318", \
"2.084965,2.146003,2.219910,2.343309,2.483069,2.585220,2.634344", \
"2.305041,2.297133,2.321162,2.416305,2.558833,2.701798,2.777607");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.628260,1.681722,1.753946,1.782832,1.835397,1.803738,1.676793", \
"1.627915,1.687639,1.736764,1.763749,1.752672,1.796306,1.743046", \
"1.592370,1.654581,1.706691,1.737982,1.798796,1.775467,1.699557", \
"1.584402,1.644933,1.692686,1.719522,1.676499,1.767040,1.794729", \
"1.629938,1.671642,1.671659,1.684728,1.660658,1.754726,1.654626", \
"1.681642,1.740338,1.768658,1.737838,1.760411,1.743563,1.704607", \
"1.830114,1.887507,1.938523,1.936020,1.906289,1.890757,1.862121");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X1
Cell Description : Level-shifter cell (LS_LHEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_LHEN_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 17514.288127;
leakage_power () {
when : "!A & !ISOLN";
value : 10864.462800;
}
leakage_power () {
when : "!A & ISOLN";
value : 17504.660100;
}
leakage_power () {
when : "A & !ISOLN";
value : 15571.349440;
}
leakage_power () {
when : "A & ISOLN";
value : 26116.680170;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.485107;
fall_capacitance : 0.459372;
rise_capacitance : 0.510842;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.141947,1.127249,1.119568,1.117886,1.136092,1.195314,1.336693");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.641903,0.630835,0.622241,0.615509,0.624024,0.671891,0.812589");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.998171;
fall_capacitance : 0.990525;
rise_capacitance : 1.005816;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.454378,0.438905,0.433954,0.430049,0.426906,0.424393,0.422045");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.118245,0.121633,0.126806,0.137695,0.151923,0.168351,0.202625");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.514900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.147350,0.150243,0.155392,0.164291,0.180764,0.212639,0.275354", \
"0.150025,0.152918,0.158064,0.166964,0.183435,0.215315,0.278025", \
"0.153595,0.156491,0.161644,0.170543,0.187015,0.218889,0.281606", \
"0.161097,0.163992,0.169031,0.177930,0.194403,0.226278,0.288988", \
"0.174945,0.177839,0.182989,0.191888,0.208295,0.240176,0.302947", \
"0.199868,0.202763,0.207800,0.216544,0.233017,0.264897,0.327609", \
"0.234362,0.237260,0.242199,0.250504,0.266970,0.298855,0.361558");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.152544,0.155469,0.160720,0.170369,0.188857,0.225104,0.296503", \
"0.154960,0.157885,0.163133,0.172788,0.191269,0.227510,0.298918", \
"0.157804,0.160729,0.165978,0.175632,0.194118,0.230353,0.301760", \
"0.163284,0.166209,0.171458,0.181108,0.199598,0.235842,0.307239", \
"0.172050,0.174976,0.180226,0.189879,0.208361,0.244605,0.316007", \
"0.184486,0.187412,0.192630,0.201982,0.220474,0.256704,0.328112", \
"0.198856,0.201780,0.207043,0.216374,0.234618,0.270848,0.342245");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.006755,0.008357,0.011483,0.017887,0.031243,0.058574,0.112977", \
"0.006755,0.008357,0.011484,0.017885,0.031242,0.058580,0.112981", \
"0.006759,0.008353,0.011484,0.017887,0.031243,0.058571,0.112979", \
"0.006758,0.008355,0.011482,0.017885,0.031243,0.058578,0.112981", \
"0.006757,0.008356,0.011483,0.017886,0.031243,0.058573,0.112984", \
"0.006755,0.008352,0.011482,0.017883,0.031242,0.058572,0.112978", \
"0.006756,0.008350,0.011481,0.017884,0.031243,0.058577,0.112978");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.007206,0.009197,0.013225,0.021567,0.038719,0.073084,0.140996", \
"0.007205,0.009194,0.013226,0.021561,0.038716,0.073075,0.141003", \
"0.007200,0.009193,0.013221,0.021568,0.038726,0.073091,0.140995", \
"0.007199,0.009193,0.013228,0.021570,0.038714,0.073071,0.140998", \
"0.007203,0.009194,0.013223,0.021571,0.038711,0.073079,0.140995", \
"0.007203,0.009195,0.013222,0.021561,0.038734,0.073090,0.140994", \
"0.007204,0.009196,0.013226,0.021573,0.038715,0.073084,0.140986");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.023401,0.026164,0.031023,0.039737,0.056078,0.087887,0.150542", \
"0.025628,0.028388,0.033248,0.041968,0.058316,0.090131,0.152780", \
"0.028757,0.031509,0.036369,0.045097,0.061452,0.093280,0.155941", \
"0.034833,0.037613,0.042498,0.051245,0.067612,0.099439,0.162111", \
"0.043487,0.046543,0.051765,0.060805,0.077294,0.109095,0.171744", \
"0.055277,0.058742,0.064545,0.074125,0.090990,0.123007,0.185610", \
"0.072231,0.076283,0.083057,0.093868,0.111835,0.144256,0.207018");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.023189,0.026093,0.031318,0.040950,0.059416,0.095647,0.167049", \
"0.024963,0.027862,0.033084,0.042715,0.061190,0.097423,0.168817", \
"0.027426,0.030310,0.035516,0.045142,0.063618,0.099858,0.171271", \
"0.031732,0.034664,0.039887,0.049498,0.067965,0.104192,0.175603", \
"0.036773,0.039853,0.045213,0.054914,0.073444,0.109628,0.181017", \
"0.041878,0.045427,0.051275,0.061055,0.079528,0.115766,0.187129", \
"0.045582,0.049881,0.056913,0.067745,0.086625,0.122960,0.194375");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.005938,0.007606,0.010881,0.017485,0.031049,0.058491,0.112930", \
"0.005945,0.007613,0.010884,0.017487,0.031049,0.058498,0.112928", \
"0.005964,0.007631,0.010896,0.017492,0.031046,0.058492,0.112933", \
"0.006269,0.007865,0.011042,0.017560,0.031063,0.058498,0.112934", \
"0.007422,0.008966,0.011970,0.018171,0.031267,0.058512,0.112932", \
"0.009193,0.010762,0.013649,0.019433,0.032011,0.058841,0.112931", \
"0.012065,0.013792,0.016832,0.022370,0.033948,0.059655,0.113396");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.007002,0.009023,0.013095,0.021505,0.038698,0.073099,0.141002", \
"0.007007,0.009023,0.013098,0.021494,0.038716,0.073068,0.140984", \
"0.007019,0.009037,0.013106,0.021496,0.038698,0.073073,0.141001", \
"0.007369,0.009316,0.013268,0.021557,0.038721,0.073073,0.140994", \
"0.008242,0.010018,0.013779,0.021927,0.038889,0.073100,0.140978", \
"0.010121,0.011800,0.015071,0.022515,0.039131,0.073332,0.141020", \
"0.013156,0.015149,0.018474,0.024944,0.040271,0.073844,0.141376");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("7.452737,7.538213,7.619031,7.665876,7.688799,7.705960,7.718535", \
"7.438035,7.521730,7.598798,7.651290,7.673871,7.691656,7.704232", \
"7.442776,7.518447,7.597347,7.641388,7.664890,7.683023,7.694746", \
"7.443301,7.519161,7.589886,7.638551,7.661900,7.679553,7.691198", \
"7.479961,7.554856,7.630084,7.677306,7.697227,7.714029,7.730590", \
"7.740997,7.815989,7.888156,7.933111,7.957716,7.975676,7.987229", \
"8.142267,8.211634,8.290350,8.324092,8.357205,8.372870,8.384498");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("6.496532,6.530822,6.563201,6.577983,6.581266,6.603151,6.548080", \
"6.482396,6.519855,6.549750,6.559759,6.569205,6.494291,6.561157", \
"6.433344,6.488755,6.508943,6.534159,6.543558,6.446188,6.513986", \
"6.393201,6.416321,6.465985,6.470505,6.488117,6.489435,6.434372", \
"6.352249,6.402468,6.421154,6.444537,6.459714,6.463490,6.408572", \
"6.414914,6.452403,6.474490,6.487059,6.497345,6.409779,6.477682", \
"6.567549,6.594606,6.623850,6.637135,6.636035,6.607132,6.673322");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.673212,1.719010,1.761403,1.786050,1.799481,1.808351,1.814047", \
"1.632260,1.675361,1.717376,1.740549,1.755576,1.763722,1.768760", \
"1.618752,1.667519,1.710252,1.734776,1.744855,1.753609,1.761877", \
"1.649059,1.695714,1.738572,1.761654,1.776599,1.786931,1.793464", \
"1.703170,1.775230,1.850125,1.880094,1.894167,1.904975,1.910809", \
"1.896847,1.962755,2.055293,2.135451,2.205180,2.215807,2.222583", \
"2.480460,2.548210,2.661654,2.763441,2.851437,2.929712,2.964622");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.024279,1.067173,1.087009,1.109069,1.094010,1.118967,1.049541", \
"1.020537,1.047144,1.069663,1.077768,1.072019,1.006372,1.072700", \
"1.009165,1.034728,1.043494,1.052497,1.010870,0.991963,1.059781", \
"1.037022,1.055872,1.065692,1.077331,1.053166,1.009212,1.077289", \
"1.135329,1.165726,1.172305,1.171059,1.132199,1.190268,1.126838", \
"1.460645,1.488216,1.487010,1.470625,1.441471,1.375629,1.443703", \
"2.067858,2.106897,2.174029,2.235482,2.227824,2.198551,2.169173");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X2
Cell Description : Level-shifter cell (LS_LHEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_LHEN_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 19797.459123;
leakage_power () {
when : "!A & !ISOLN";
value : 13937.172600;
}
leakage_power () {
when : "!A & ISOLN";
value : 20727.465000;
}
leakage_power () {
when : "A & !ISOLN";
value : 18282.659980;
}
leakage_power () {
when : "A & ISOLN";
value : 26242.538910;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.432148;
fall_capacitance : 0.415062;
rise_capacitance : 0.449234;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.018144,1.004781,0.998470,0.997344,1.010718,1.058203,1.167330");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.565013,0.558612,0.553122,0.547543,0.553309,0.585256,0.696269");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.863316;
fall_capacitance : 0.872359;
rise_capacitance : 0.854274;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.294707,0.279710,0.275112,0.271546,0.268780,0.266661,0.264935");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("2.953677,2.935616,2.840507,2.789360,2.909730,3.245592,3.759568");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.405900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.160021,0.162489,0.166337,0.172556,0.183255,0.202282,0.238662", \
"0.162567,0.165035,0.168887,0.175017,0.185710,0.204736,0.241121", \
"0.166094,0.168561,0.172411,0.178594,0.189291,0.208316,0.244698", \
"0.173584,0.176054,0.179916,0.186045,0.196739,0.215764,0.252145", \
"0.188345,0.190815,0.194663,0.200744,0.211422,0.230447,0.266827", \
"0.216826,0.219293,0.223141,0.229239,0.239614,0.258640,0.295021", \
"0.259482,0.261949,0.265801,0.271492,0.281176,0.300444,0.336825");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.152563,0.155595,0.160689,0.170149,0.188456,0.224776,0.297267", \
"0.155043,0.158075,0.163166,0.172626,0.190935,0.227261,0.299752", \
"0.157804,0.160838,0.165928,0.175386,0.193695,0.230021,0.302516", \
"0.163084,0.166117,0.171208,0.180667,0.198976,0.235310,0.307799", \
"0.171450,0.174483,0.179573,0.189032,0.207345,0.243672,0.316163", \
"0.182903,0.185935,0.191025,0.200476,0.218447,0.254774,0.327264", \
"0.195430,0.198463,0.203551,0.213014,0.230852,0.267053,0.339556");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.006584,0.007658,0.009513,0.013011,0.019939,0.034435,0.065050", \
"0.006588,0.007661,0.009514,0.013011,0.019939,0.034434,0.065049", \
"0.006583,0.007657,0.009511,0.013011,0.019939,0.034434,0.065050", \
"0.006583,0.007657,0.009511,0.013011,0.019939,0.034435,0.065050", \
"0.006584,0.007658,0.009512,0.013011,0.019940,0.034435,0.065050", \
"0.006583,0.007657,0.009509,0.013012,0.019938,0.034435,0.065051", \
"0.006583,0.007660,0.009511,0.013009,0.019939,0.034435,0.065051");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.006884,0.008939,0.012811,0.020894,0.037788,0.072142,0.141025", \
"0.006882,0.008942,0.012809,0.020895,0.037783,0.072146,0.141023", \
"0.006880,0.008937,0.012810,0.020895,0.037775,0.072148,0.141025", \
"0.006882,0.008940,0.012810,0.020893,0.037778,0.072142,0.141044", \
"0.006876,0.008942,0.012810,0.020895,0.037785,0.072144,0.141034", \
"0.006882,0.008942,0.012809,0.020893,0.037785,0.072145,0.141041", \
"0.006883,0.008942,0.012812,0.020895,0.037770,0.072145,0.141029");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.027832,0.030211,0.033928,0.040111,0.050589,0.069439,0.105721", \
"0.029894,0.032267,0.035983,0.042168,0.052647,0.071499,0.107774", \
"0.032933,0.035302,0.039013,0.045197,0.055680,0.074535,0.110814", \
"0.039585,0.041935,0.045632,0.051814,0.062305,0.081165,0.117452", \
"0.051108,0.053646,0.057593,0.064045,0.074706,0.093616,0.129878", \
"0.067314,0.070204,0.074694,0.081898,0.093425,0.112953,0.149351", \
"0.091810,0.095151,0.100351,0.108665,0.121495,0.142439,0.179421");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.048452,0.051487,0.056567,0.065999,0.084269,0.120584,0.193096", \
"0.050011,0.053046,0.058125,0.067553,0.085817,0.122134,0.194640", \
"0.051695,0.054729,0.059808,0.069233,0.087495,0.123806,0.196312", \
"0.054838,0.057871,0.062950,0.072376,0.090633,0.126933,0.199433", \
"0.061056,0.064110,0.069197,0.078612,0.096849,0.133127,0.205612", \
"0.071044,0.074226,0.079400,0.088837,0.106814,0.143112,0.215544", \
"0.082059,0.085665,0.091262,0.100749,0.118534,0.153922,0.226448");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.005869,0.006978,0.008887,0.012470,0.019524,0.034171,0.064910", \
"0.005873,0.006980,0.008887,0.012471,0.019526,0.034172,0.064910", \
"0.005878,0.006986,0.008894,0.012476,0.019527,0.034171,0.064908", \
"0.005953,0.007058,0.008955,0.012521,0.019552,0.034180,0.064910", \
"0.007251,0.008265,0.009997,0.013285,0.019953,0.034320,0.064929", \
"0.009430,0.010485,0.012247,0.015470,0.021765,0.035367,0.065194", \
"0.012642,0.013764,0.015664,0.019012,0.025102,0.037668,0.066261");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.006968,0.009019,0.012869,0.020924,0.037797,0.072153,0.141049", \
"0.006972,0.009024,0.012876,0.020926,0.037794,0.072144,0.141032", \
"0.006982,0.009028,0.012877,0.020927,0.037797,0.072142,0.141038", \
"0.006981,0.009031,0.012881,0.020926,0.037787,0.072141,0.141028", \
"0.007111,0.009137,0.012946,0.020948,0.037787,0.072159,0.141018", \
"0.007905,0.009794,0.013407,0.021240,0.038008,0.072246,0.141016", \
"0.009539,0.011415,0.014700,0.021932,0.038241,0.072434,0.141250");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("7.029623,7.092516,7.178740,7.280523,7.360489,7.397049,7.413851", \
"7.018422,7.077638,7.167561,7.266345,7.342806,7.380501,7.398836", \
"7.009291,7.076184,7.158852,7.262611,7.338250,7.374402,7.391726", \
"7.011365,7.071622,7.156915,7.258998,7.336233,7.372493,7.390098", \
"7.023233,7.094618,7.179908,7.276459,7.354724,7.390548,7.407566", \
"7.274115,7.340649,7.431978,7.519988,7.593246,7.628048,7.646299", \
"7.729571,7.791780,7.882330,7.958743,8.007097,8.061202,8.080037");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("6.267457,6.312728,6.337159,6.344138,6.380835,6.372587,6.305915", \
"6.259474,6.288431,6.320059,6.340141,6.344560,6.368529,6.327071", \
"6.222743,6.254342,6.290530,6.298452,6.303151,6.294472,6.277353", \
"6.172148,6.202608,6.229473,6.235068,6.260123,6.229868,6.274528", \
"6.128053,6.164913,6.198120,6.218052,6.192751,6.198832,6.183488", \
"6.164203,6.194601,6.221445,6.230367,6.214332,6.211364,6.257774", \
"6.261441,6.298614,6.307654,6.341277,6.336963,6.324537,6.325918");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("1.449789,1.510057,1.594132,1.685214,1.750854,1.777570,1.791016", \
"1.407329,1.477606,1.562071,1.647243,1.712434,1.738814,1.746788", \
"1.398133,1.463697,1.540573,1.640218,1.700138,1.726316,1.736137", \
"1.419571,1.484816,1.564718,1.651046,1.712367,1.740668,1.751244", \
"1.498782,1.565114,1.644958,1.730142,1.789558,1.817046,1.828608", \
"1.695348,1.715952,1.779444,1.881253,1.981494,2.021057,2.029552", \
"2.204557,2.213816,2.241486,2.312068,2.404170,2.475635,2.517925");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("2.143162,2.197065,2.224991,2.240379,2.243180,2.236405,2.160983", \
"2.157774,2.178291,2.210484,2.226574,2.238604,2.170168,2.225616", \
"2.173845,2.207728,2.236011,2.247589,2.260375,2.241451,2.256062", \
"2.216840,2.265497,2.293528,2.279633,2.315005,2.252417,2.341144", \
"2.350944,2.383721,2.408341,2.404649,2.418135,2.453000,2.393549", \
"2.652809,2.659162,2.685747,2.695027,2.658235,2.646282,2.577725", \
"3.215197,3.249151,3.256086,3.269255,3.240718,3.176100,3.096582");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X4
Cell Description : Level-shifter cell (LS_LHEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_LHEN_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 24918.153750;
leakage_power () {
when : "!A & !ISOLN";
value : 20965.577400;
}
leakage_power () {
when : "!A & ISOLN";
value : 28102.414500;
}
leakage_power () {
when : "A & !ISOLN";
value : 23867.876950;
}
leakage_power () {
when : "A & ISOLN";
value : 26736.746150;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.387964;
fall_capacitance : 0.385132;
rise_capacitance : 0.390796;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.911041,0.898643,0.893435,0.891751,0.902338,0.941929,1.029716");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.502377,0.498349,0.494842,0.490788,0.492876,0.515022,0.594556");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.762750;
fall_capacitance : 0.778769;
rise_capacitance : 0.746731;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("0.220862,0.205699,0.201663,0.198188,0.195981,0.194294,0.193076");
}
rise_power(Hidden_power_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
values ("1.739457,1.728422,1.671258,1.655633,1.774181,2.069026,2.530682");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 56.457500;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.145946,0.150953,0.156079,0.164504,0.178233,0.201374,0.243336", \
"0.148337,0.153342,0.158468,0.166890,0.180620,0.203761,0.245724", \
"0.151762,0.156768,0.161895,0.170317,0.184046,0.207188,0.249150", \
"0.159198,0.164204,0.169331,0.177753,0.191481,0.214623,0.256586", \
"0.174580,0.179586,0.184713,0.193135,0.206864,0.230006,0.271968", \
"0.204739,0.209746,0.214874,0.223209,0.236876,0.260018,0.301980", \
"0.251956,0.256966,0.262093,0.270414,0.283934,0.307047,0.349005");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.135825,0.141579,0.147615,0.158103,0.177113,0.213661,0.286277", \
"0.138413,0.144165,0.150200,0.160683,0.179699,0.216248,0.288862", \
"0.141542,0.147296,0.153331,0.163819,0.182828,0.219377,0.291993", \
"0.147281,0.153034,0.159069,0.169557,0.188564,0.225122,0.297730", \
"0.155560,0.161313,0.167349,0.177837,0.196841,0.233396,0.306010", \
"0.166011,0.171762,0.177798,0.188091,0.206840,0.243392,0.316005", \
"0.177344,0.183097,0.189133,0.199546,0.217895,0.254446,0.327063");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.010315,0.012207,0.014439,0.018591,0.026446,0.042161,0.075338", \
"0.010317,0.012208,0.014440,0.018591,0.026445,0.042160,0.075337", \
"0.010315,0.012207,0.014441,0.018590,0.026446,0.042161,0.075337", \
"0.010317,0.012208,0.014439,0.018590,0.026445,0.042160,0.075338", \
"0.010317,0.012208,0.014439,0.018591,0.026445,0.042161,0.075337", \
"0.010317,0.012209,0.014438,0.018592,0.026446,0.042161,0.075336", \
"0.010313,0.012209,0.014440,0.018591,0.026445,0.042161,0.075337");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.008059,0.011405,0.015314,0.023043,0.039144,0.072918,0.141756", \
"0.008058,0.011408,0.015314,0.023042,0.039141,0.072926,0.141755", \
"0.008056,0.011407,0.015315,0.023042,0.039140,0.072922,0.141753", \
"0.008059,0.011408,0.015313,0.023041,0.039145,0.072925,0.141769", \
"0.008061,0.011405,0.015314,0.023042,0.039144,0.072918,0.141761", \
"0.008055,0.011408,0.015315,0.023043,0.039140,0.072927,0.141770", \
"0.008059,0.011407,0.015314,0.023043,0.039140,0.072935,0.141762");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.045321,0.050254,0.055323,0.063660,0.077259,0.100249,0.142073", \
"0.047554,0.052485,0.057553,0.065891,0.079491,0.102484,0.144307", \
"0.050499,0.055428,0.060492,0.068826,0.082427,0.105420,0.147244", \
"0.056885,0.061804,0.066865,0.075190,0.088788,0.111783,0.153612", \
"0.070483,0.075344,0.080359,0.088644,0.102226,0.125219,0.167039", \
"0.093531,0.098747,0.104109,0.112837,0.126845,0.150032,0.191837", \
"0.125798,0.131588,0.137637,0.147452,0.162986,0.187818,0.230431");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.062429,0.068185,0.074223,0.084702,0.103695,0.140249,0.212852", \
"0.064047,0.069803,0.075838,0.086318,0.105311,0.141855,0.214468", \
"0.065615,0.071370,0.077405,0.087884,0.106876,0.143426,0.216035", \
"0.068361,0.074112,0.080152,0.090634,0.109631,0.146177,0.218782", \
"0.074105,0.079854,0.085881,0.096349,0.115327,0.151858,0.224466", \
"0.087520,0.093514,0.099705,0.110301,0.129183,0.165605,0.238112", \
"0.103356,0.109917,0.116772,0.127547,0.146435,0.182716,0.255102");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.009840,0.011752,0.014011,0.018194,0.026095,0.041885,0.075160", \
"0.009836,0.011753,0.014009,0.018195,0.026096,0.041885,0.075160", \
"0.009838,0.011755,0.014011,0.018196,0.026097,0.041885,0.075161", \
"0.009837,0.011757,0.014018,0.018205,0.026105,0.041889,0.075161", \
"0.009976,0.011894,0.014147,0.018315,0.026182,0.041929,0.075171", \
"0.013194,0.014815,0.016783,0.020491,0.027642,0.042619,0.075367", \
"0.018178,0.019798,0.021780,0.025489,0.032357,0.046192,0.077016");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.008084,0.011432,0.015336,0.023060,0.039154,0.072932,0.141772", \
"0.008090,0.011435,0.015337,0.023061,0.039150,0.072922,0.141753", \
"0.008090,0.011437,0.015340,0.023063,0.039159,0.072937,0.141757", \
"0.008093,0.011438,0.015340,0.023064,0.039151,0.072942,0.141758", \
"0.008069,0.011422,0.015330,0.023058,0.039146,0.072933,0.141761", \
"0.009496,0.012616,0.016287,0.023697,0.039464,0.072982,0.141757", \
"0.012294,0.015426,0.018977,0.025680,0.040440,0.073388,0.141951");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("5.688316,5.666986,5.666122,5.736982,5.856818,5.940082,5.975865", \
"5.684267,5.648957,5.656286,5.731471,5.848866,5.928244,5.962232", \
"5.678239,5.638578,5.650576,5.717151,5.838006,5.923454,5.958130", \
"5.675952,5.634895,5.645490,5.719532,5.832583,5.921578,5.951979", \
"5.692659,5.657788,5.667774,5.742724,5.860571,5.940769,5.972805", \
"5.859856,5.816245,5.833239,5.907704,6.019171,6.102838,6.139196", \
"6.191263,6.153561,6.164381,6.237009,6.358485,6.437575,6.476337");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("4.942310,5.016703,5.044302,5.083405,5.059426,5.049318,5.165895", \
"4.910492,5.001258,5.043288,5.047799,5.057900,5.031393,5.024379", \
"4.918034,4.972870,5.018664,5.081173,5.044239,5.044403,5.154986", \
"4.863040,4.967436,4.995201,5.060870,4.992117,4.866749,4.847565", \
"4.886428,4.961545,4.999674,5.048484,4.997255,4.999803,5.115543", \
"4.884571,4.958594,5.009597,5.063270,5.085488,5.085802,5.081740", \
"4.999962,5.059363,5.110833,5.150896,5.118026,5.177428,5.170967");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("2.194726,2.184887,2.206066,2.285241,2.406341,2.483017,2.510531", \
"2.188472,2.153746,2.181368,2.262030,2.382632,2.457475,2.485192", \
"2.159202,2.149625,2.164811,2.243626,2.364281,2.449022,2.478161", \
"2.161206,2.151272,2.160609,2.245953,2.361627,2.441688,2.475538", \
"2.273561,2.234067,2.233405,2.288551,2.401936,2.473946,2.503061", \
"2.673872,2.577955,2.523667,2.521803,2.577692,2.624794,2.634227", \
"3.389496,3.230595,3.100123,2.960278,2.949643,2.975955,2.961062");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("2.666090,2.738445,2.782829,2.825533,2.841611,2.665932,2.906809", \
"2.682628,2.740227,2.783331,2.776599,2.750781,2.743466,2.723498", \
"2.663035,2.749668,2.799027,2.844568,2.868381,2.871207,2.772652", \
"2.672746,2.777838,2.836877,2.849200,2.808963,2.909571,2.903709", \
"2.757248,2.850534,2.865790,2.916877,2.936152,2.861833,2.954602", \
"3.056391,3.074024,3.109417,3.116623,3.059591,2.954005,3.122729", \
"3.627349,3.559047,3.583892,3.529970,3.508065,3.246388,3.182821");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X1
Cell Description : Level-shifter cell (LS_LH_X1) with drive strength X1
*******************************************************************************************/
cell (LS_LH_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 9891.685630;
leakage_power () {
when : "!A";
value : 11113.305800;
}
leakage_power () {
when : "A";
value : 8670.065460;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.392381;
fall_capacitance : 0.375105;
rise_capacitance : 0.409657;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.615700;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.084629,0.087152,0.091286,0.098031,0.109438,0.129979,0.168865", \
"0.087176,0.089702,0.093835,0.100578,0.111984,0.132522,0.171415", \
"0.090733,0.093255,0.097392,0.104141,0.115547,0.136087,0.174976", \
"0.098333,0.100860,0.105000,0.111745,0.123148,0.143685,0.182576", \
"0.113529,0.116055,0.120192,0.126940,0.138346,0.158881,0.197767", \
"0.138964,0.141492,0.145636,0.152258,0.163650,0.184190,0.223078", \
"0.175888,0.178405,0.182539,0.189319,0.200484,0.221027,0.259915");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.070474,0.074322,0.080461,0.090594,0.109287,0.145333,0.214919", \
"0.073048,0.076900,0.083039,0.093161,0.111861,0.147902,0.217494", \
"0.076265,0.080112,0.086248,0.096369,0.115072,0.151118,0.220711", \
"0.082060,0.085882,0.092009,0.102141,0.120839,0.156892,0.226483", \
"0.090183,0.094004,0.100091,0.110177,0.128880,0.164935,0.234519", \
"0.100472,0.104285,0.110398,0.120301,0.138903,0.174955,0.244552", \
"0.112021,0.115840,0.121948,0.131926,0.150059,0.186096,0.255665");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.006122,0.007334,0.009536,0.013687,0.021927,0.038685,0.072143", \
"0.006125,0.007334,0.009537,0.013689,0.021928,0.038681,0.072147", \
"0.006118,0.007334,0.009533,0.013689,0.021928,0.038683,0.072150", \
"0.006119,0.007333,0.009533,0.013690,0.021927,0.038680,0.072147", \
"0.006119,0.007337,0.009536,0.013689,0.021927,0.038683,0.072149", \
"0.006127,0.007340,0.009540,0.013694,0.021929,0.038684,0.072141", \
"0.006138,0.007350,0.009548,0.013699,0.021932,0.038683,0.072136");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.010441,0.012229,0.015606,0.023256,0.040325,0.074599,0.140844", \
"0.010439,0.012224,0.015602,0.023259,0.040336,0.074582,0.140860", \
"0.010422,0.012208,0.015591,0.023252,0.040337,0.074579,0.140860", \
"0.010393,0.012184,0.015574,0.023247,0.040329,0.074596,0.140841", \
"0.010381,0.012171,0.015561,0.023235,0.040337,0.074590,0.140858", \
"0.010358,0.012143,0.015547,0.023227,0.040333,0.074578,0.140859", \
"0.010328,0.012119,0.015529,0.023230,0.040329,0.074575,0.140810");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("4.198234,4.257796,4.329892,4.391101,4.422537,4.434583,4.438839", \
"4.174983,4.236373,4.306266,4.375604,4.407073,4.418361,4.424337", \
"4.171310,4.233484,4.305410,4.367903,4.399811,4.410429,4.415290", \
"4.182724,4.237234,4.309167,4.372025,4.404746,4.414309,4.420516", \
"4.274637,4.326764,4.402915,4.467487,4.501524,4.511920,4.516881", \
"4.566502,4.626612,4.699720,4.763098,4.795218,4.806365,4.813332", \
"5.030034,5.086566,5.162981,5.234690,5.288198,5.309466,5.316312");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("3.809643,3.988860,4.088922,4.074766,4.067441,4.078322,4.073178", \
"3.808823,3.943929,4.075472,4.081213,4.075093,4.043482,3.978715", \
"3.789844,3.926327,4.057052,4.067367,4.056756,4.003923,4.044688", \
"3.781594,3.930617,4.029016,4.040476,4.030988,4.004458,3.995417", \
"3.787658,3.928932,4.030709,4.042727,4.019943,3.972305,4.012958", \
"3.816397,3.976414,4.056934,4.082067,4.060973,4.072731,4.037479", \
"3.956105,4.132473,4.227389,4.238992,4.222910,4.220727,4.153907");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X2
Cell Description : Level-shifter cell (LS_LH_X2) with drive strength X2
*******************************************************************************************/
cell (LS_LH_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 11932.577560;
leakage_power () {
when : "!A";
value : 13716.507500;
}
leakage_power () {
when : "A";
value : 10148.647620;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.383975;
fall_capacitance : 0.367882;
rise_capacitance : 0.400068;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.444000;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.087370,0.089802,0.093592,0.099840,0.110348,0.129199,0.165518", \
"0.089893,0.092328,0.096116,0.102359,0.112865,0.131716,0.168030", \
"0.093452,0.095883,0.099668,0.105916,0.116425,0.135277,0.171592", \
"0.101027,0.103460,0.107250,0.113511,0.124003,0.142872,0.179162", \
"0.116323,0.118770,0.122552,0.128799,0.139291,0.158156,0.194465", \
"0.142260,0.144702,0.148493,0.154708,0.165119,0.183976,0.220292", \
"0.180059,0.182510,0.186284,0.192519,0.202888,0.221647,0.257965");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.073609,0.077455,0.083225,0.092864,0.110872,0.146924,0.219350", \
"0.076187,0.080031,0.085811,0.095441,0.113450,0.149503,0.221927", \
"0.079396,0.083238,0.089014,0.098643,0.116653,0.152713,0.225131", \
"0.085168,0.088984,0.094769,0.104399,0.122415,0.158468,0.230900", \
"0.093286,0.097076,0.102840,0.112426,0.130432,0.166487,0.238915", \
"0.103504,0.107313,0.113084,0.122630,0.140404,0.176455,0.248887", \
"0.114918,0.118728,0.124471,0.134081,0.151527,0.187444,0.259851");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.006171,0.007245,0.009079,0.012549,0.019499,0.034115,0.064920", \
"0.006171,0.007244,0.009077,0.012548,0.019499,0.034115,0.064920", \
"0.006170,0.007246,0.009080,0.012549,0.019499,0.034115,0.064921", \
"0.006167,0.007244,0.009078,0.012549,0.019499,0.034115,0.064917", \
"0.006167,0.007240,0.009076,0.012548,0.019499,0.034114,0.064918", \
"0.006172,0.007246,0.009081,0.012553,0.019500,0.034117,0.064921", \
"0.006184,0.007257,0.009090,0.012558,0.019505,0.034116,0.064916");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.010250,0.011981,0.014916,0.021644,0.037755,0.071998,0.140977", \
"0.010244,0.011975,0.014914,0.021642,0.037755,0.071997,0.140979", \
"0.010226,0.011959,0.014902,0.021634,0.037754,0.071997,0.140984", \
"0.010202,0.011936,0.014882,0.021626,0.037752,0.071993,0.140985", \
"0.010184,0.011919,0.014871,0.021619,0.037755,0.071991,0.140991", \
"0.010161,0.011895,0.014849,0.021613,0.037751,0.071989,0.140978", \
"0.010136,0.011872,0.014830,0.021602,0.037760,0.071996,0.140953");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("4.449054,4.513446,4.594708,4.693062,4.755354,4.781021,4.792281", \
"4.432993,4.500158,4.581381,4.672537,4.738791,4.763708,4.773257", \
"4.426303,4.491460,4.572261,4.671209,4.732242,4.757079,4.768005", \
"4.433542,4.494943,4.578355,4.675286,4.735630,4.760957,4.771804", \
"4.520952,4.585827,4.673951,4.765396,4.826903,4.854264,4.863597", \
"4.818180,4.880536,4.966629,5.057065,5.124042,5.150801,5.162158", \
"5.284054,5.350240,5.439564,5.535203,5.619091,5.656203,5.666697");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("4.104925,4.265033,4.388674,4.395564,4.373729,4.335244,4.368358", \
"4.101166,4.258919,4.383466,4.389293,4.366026,4.329548,4.245502", \
"4.079536,4.241223,4.362955,4.351440,4.357380,4.263113,4.341428", \
"4.062311,4.204961,4.330142,4.340821,4.326206,4.275278,4.282725", \
"4.036379,4.229439,4.326656,4.351371,4.301781,4.324049,4.305756", \
"4.079826,4.262685,4.352828,4.366092,4.363215,4.274854,4.281522", \
"4.233247,4.421252,4.518175,4.539928,4.465151,4.469302,4.420813");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X4
Cell Description : Level-shifter cell (LS_LH_X4) with drive strength X4
*******************************************************************************************/
cell (LS_LH_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 17379.301770;
leakage_power () {
when : "!A";
value : 20862.516200;
}
leakage_power () {
when : "A";
value : 13896.087340;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.385745;
fall_capacitance : 0.369711;
rise_capacitance : 0.401779;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.879900;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.095912,0.100306,0.104846,0.112269,0.124493,0.145777,0.185869", \
"0.098446,0.102849,0.107396,0.114803,0.127025,0.148304,0.188395", \
"0.101991,0.106382,0.110922,0.118346,0.130570,0.151853,0.191945", \
"0.109588,0.113966,0.118507,0.125934,0.138155,0.159445,0.199533", \
"0.124870,0.129258,0.133808,0.141224,0.153445,0.174727,0.214819", \
"0.150887,0.155256,0.159851,0.167207,0.179357,0.200642,0.240737", \
"0.188958,0.193330,0.197871,0.205251,0.217252,0.238540,0.278634");
}
cell_rise(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.080081,0.086207,0.092457,0.102476,0.120597,0.156655,0.229308", \
"0.082653,0.088777,0.095028,0.105037,0.123160,0.159233,0.231881", \
"0.085848,0.091985,0.098228,0.108230,0.126364,0.162435,0.235080", \
"0.091599,0.097734,0.103972,0.113972,0.132109,0.168179,0.240831", \
"0.099624,0.105757,0.111970,0.121963,0.140090,0.176173,0.248819", \
"0.109839,0.115983,0.122182,0.132064,0.150006,0.186074,0.258731", \
"0.121159,0.127289,0.133502,0.143437,0.160929,0.196996,0.269650");
}
fall_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.007702,0.009440,0.011495,0.015346,0.022888,0.038561,0.071859", \
"0.007702,0.009440,0.011494,0.015346,0.022889,0.038561,0.071860", \
"0.007701,0.009439,0.011495,0.015346,0.022889,0.038561,0.071858", \
"0.007701,0.009437,0.011496,0.015346,0.022888,0.038561,0.071860", \
"0.007704,0.009440,0.011496,0.015347,0.022888,0.038561,0.071860", \
"0.007703,0.009439,0.011496,0.015348,0.022889,0.038561,0.071860", \
"0.007710,0.009446,0.011504,0.015353,0.022892,0.038561,0.071859");
}
rise_transition(Timing_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.010749,0.013330,0.016196,0.022497,0.038082,0.072258,0.141523", \
"0.010745,0.013324,0.016190,0.022497,0.038087,0.072255,0.141526", \
"0.010725,0.013312,0.016178,0.022489,0.038081,0.072247,0.141513", \
"0.010696,0.013288,0.016159,0.022476,0.038085,0.072234,0.141516", \
"0.010676,0.013268,0.016143,0.022470,0.038080,0.072247,0.141523", \
"0.010654,0.013249,0.016121,0.022458,0.038073,0.072230,0.141515", \
"0.010624,0.013225,0.016108,0.022450,0.038072,0.072229,0.141507");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("5.411211,5.453283,5.519254,5.628228,5.738242,5.792621,5.812820", \
"5.401686,5.439496,5.499225,5.614720,5.722530,5.773844,5.797274", \
"5.385810,5.431303,5.491648,5.608695,5.715445,5.769633,5.785648", \
"5.389842,5.435116,5.500973,5.609116,5.718805,5.772101,5.793158", \
"5.489819,5.516007,5.584560,5.698567,5.808124,5.860632,5.883391", \
"5.773940,5.816956,5.888498,5.997870,6.108922,6.162225,6.185201", \
"6.265050,6.307128,6.364967,6.491420,6.619701,6.677150,6.696104");
}
rise_power(Power_7_7) {
index_1 ("0.00117378,0.00620422,0.0124084,0.0248169,0.0496338,0.0992675,0.198535");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("5.015404,5.285207,5.399368,5.341773,5.287456,5.264741,5.196452", \
"5.002388,5.281376,5.392553,5.324288,5.281745,5.157733,5.248416", \
"4.977530,5.263493,5.362641,5.329069,5.260910,5.241642,5.173798", \
"4.951703,5.230948,5.334123,5.323306,5.251344,5.147392,5.076202", \
"4.962804,5.209571,5.324758,5.313558,5.188323,5.193094,5.125243", \
"4.983574,5.226166,5.333858,5.312184,5.284914,5.143207,5.038150", \
"5.115275,5.401418,5.512414,5.458882,5.427436,5.238407,5.168726");
}
}
}
}
}
/*
* End of file
*/