blob: 0d4684a04a39973cc6690ce3fb4289e972f4094c [file] [log] [blame]
/*
* ******************************************************************************
* * *
* * Copyright (C) 2004-2011, Nangate Inc. *
* * All rights reserved. *
* * *
* * Nangate and the Nangate logo are trademarks of Nangate Inc. *
* * *
* * All trademarks, logos, software marks, and trade names (collectively the *
* * "Marks") in this program are proprietary to Nangate or other respective *
* * owners that have granted Nangate the right and license to use such Marks. *
* * You are not permitted to use the Marks without the prior written consent *
* * of Nangate or such third party that may own the Marks. *
* * *
* * This file has been provided pursuant to a License Agreement containing *
* * restrictions on its use. This file contains valuable trade secrets and *
* * proprietary information of Nangate Inc., and is protected by U.S. and *
* * international laws and/or treaties. *
* * *
* * The copyright notice(s) in this file does not indicate actual or intended *
* * publication of this file. *
* * *
* * NGLibraryCharacterizer, v2011.05-QR02-2011-05-18_32 - build 1107011217 *
* * *
* ******************************************************************************
*
* Spice engine : Nanspice v2011.05-QR02-2011-05-18_32-1107011217
* Liberty export type : conditional
*
* Characterization Corner : slow
* Process : SlowSlow
* Temperature : 125C
* Voltage : 0.95V
*
****************************************************************************/
library (LowPowerOpenCellLibrary) {
/* Documentation Attributes */
date : "Wed 17 Aug 2011, 19:29:14";
revision : "revision 1.0";
comment : "Copyright (c) 2004-2010 Nangate Inc. All Rights Reserved.";
/* General Attributes */
technology (cmos);
delay_model : table_lookup;
in_place_swap_mode : match_footprint;
library_features (report_delay_calculation,report_power_calculation);
/* Units Attributes */
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit (1,ff);
/* Operation Conditions */
nom_process : 1.00;
nom_temperature : 125.00;
nom_voltage : 0.95;
voltage_map (VDD,0.95);
voltage_map (VSS,0.00);
voltage_map (VDDL,0.80);
voltage_map (VDDBAK,0.95);
voltage_map (VVDD,0.85);
define(process_corner, operating_conditions, string);
operating_conditions (slow) {
process_corner : "SlowSlow";
process : 1.00;
voltage : 0.95;
temperature : 125.00;
tree_type : balanced_tree;
}
default_operating_conditions : slow;
/* Threshold Definitions */
slew_lower_threshold_pct_fall : 30.00 ;
slew_lower_threshold_pct_rise : 30.00 ;
slew_upper_threshold_pct_fall : 70.00 ;
slew_upper_threshold_pct_rise : 70.00 ;
slew_derate_from_library : 1.00 ;
input_threshold_pct_fall : 50.00 ;
input_threshold_pct_rise : 50.00 ;
output_threshold_pct_fall : 50.00 ;
output_threshold_pct_rise : 50.00 ;
default_leakage_power_density : 0.00 ;
default_cell_leakage_power : 0.00 ;
/* Default Pin Attributes */
default_inout_pin_cap : 1.000000;
default_input_pin_cap : 1.000000;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.500000;
define(drive_strength, cell, float);
define(ng_build_equation, cell, string);
/* Wire load tables */
wire_load("1K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3207 );
fanout_length( 2, 2.9813 );
fanout_length( 3, 5.1135 );
fanout_length( 4, 7.6639 );
fanout_length( 5, 10.0334 );
fanout_length( 6, 12.2296 );
fanout_length( 8, 19.3185 );
}
wire_load("1K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3216 );
fanout_length( 2, 2.8855 );
fanout_length( 3, 4.6810 );
fanout_length( 4, 6.7976 );
fanout_length( 5, 9.4037 );
fanout_length( 6, 13.0170 );
fanout_length( 8, 24.1720 );
}
wire_load("1K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 6.283688;
fanout_length( 1, 1.3446 );
fanout_length( 2, 2.8263 );
fanout_length( 3, 4.7581 );
fanout_length( 4, 7.4080 );
fanout_length( 5, 10.9381 );
fanout_length( 6, 15.7314 );
fanout_length( 8, 29.7891 );
}
wire_load("3K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.8234 );
fanout_length( 2, 4.5256 );
fanout_length( 3, 7.5342 );
fanout_length( 4, 10.6237 );
fanout_length( 5, 13.5401 );
fanout_length( 6, 16.3750 );
fanout_length( 7, 18.6686 );
fanout_length( 8, 19.4348 );
fanout_length( 10, 20.9672 );
}
wire_load("3K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6615 );
fanout_length( 2, 3.9827 );
fanout_length( 3, 6.6386 );
fanout_length( 4, 9.6287 );
fanout_length( 5, 12.8485 );
fanout_length( 6, 16.4145 );
fanout_length( 7, 20.0747 );
fanout_length( 8, 22.6325 );
fanout_length( 10, 21.7173 );
}
wire_load("3K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.5771 );
fanout_length( 2, 3.9330 );
fanout_length( 3, 6.6217 );
fanout_length( 4, 9.7638 );
fanout_length( 5, 13.5526 );
fanout_length( 6, 18.1322 );
fanout_length( 7, 22.5871 );
fanout_length( 8, 25.1074 );
fanout_length( 10, 30.1480 );
}
wire_load("5K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 2.0449 );
fanout_length( 2, 4.4094 );
fanout_length( 3, 7.2134 );
fanout_length( 4, 10.4927 );
fanout_length( 5, 13.9420 );
fanout_length( 6, 18.0039 );
fanout_length( 7, 23.9278 );
fanout_length( 8, 30.8475 );
fanout_length( 9, 34.9441 );
fanout_length( 11, 43.1373 );
}
wire_load("5K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6706 );
fanout_length( 2, 3.7951 );
fanout_length( 3, 6.2856 );
fanout_length( 4, 9.1309 );
fanout_length( 5, 12.1420 );
fanout_length( 6, 15.6918 );
fanout_length( 7, 20.1043 );
fanout_length( 8, 24.2827 );
fanout_length( 9, 27.3445 );
fanout_length( 11, 35.3421 );
}
wire_load("5K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.7460 );
fanout_length( 2, 3.9394 );
fanout_length( 3, 6.4626 );
fanout_length( 4, 9.2201 );
fanout_length( 5, 11.9123 );
fanout_length( 6, 14.8358 );
fanout_length( 7, 18.6155 );
fanout_length( 8, 22.6727 );
fanout_length( 9, 25.4842 );
fanout_length( 11, 27.0320 );
}
default_wire_load : "5K_hvratio_1_1" ;
power_lut_template (Hidden_power_7) {
variable_1 : input_transition_time;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
power_lut_template (Power_7_7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Timing_7_7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Tristate_disable_7) {
variable_1 : input_net_transition;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
/******************************************************************************************
Module : AON_BUF_X1
Cell Description : Combinational cell (AON_BUF_X1) with drive strength X1
*******************************************************************************************/
cell (AON_BUF_X1) {
drive_strength : 1;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4122.847705;
leakage_power () {
when : "!A";
value : 4122.456533;
}
leakage_power () {
when : "A";
value : 4123.238877;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.431656;
fall_capacitance : 0.415714;
rise_capacitance : 0.447598;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.688020;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.076939,0.082997,0.092114,0.105924,0.127433,0.162451,0.222145", \
"0.083484,0.089537,0.098666,0.112480,0.134008,0.169028,0.228726", \
"0.092534,0.098580,0.107707,0.121520,0.143056,0.178080,0.237777", \
"0.111787,0.117804,0.126931,0.140773,0.162324,0.197359,0.257066", \
"0.148004,0.154503,0.163814,0.177947,0.199721,0.234863,0.294589", \
"0.201347,0.209263,0.220356,0.236213,0.259704,0.296146,0.356536", \
"0.278816,0.288815,0.302817,0.321982,0.348628,0.387710,0.449799");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.055790,0.068659,0.091197,0.131037,0.201548,0.326654,0.549494", \
"0.063538,0.076343,0.098933,0.138754,0.209326,0.334549,0.557426", \
"0.072291,0.085059,0.107616,0.147507,0.218198,0.343421,0.566368", \
"0.086284,0.098912,0.121376,0.161210,0.231872,0.357227,0.580241", \
"0.105507,0.118070,0.139882,0.179455,0.250143,0.375531,0.598555", \
"0.128456,0.141345,0.163181,0.202303,0.272607,0.397927,0.620960", \
"0.147988,0.162606,0.185913,0.224774,0.294942,0.419624,0.642463");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.015786,0.018727,0.023729,0.032296,0.047475,0.075150,0.126360", \
"0.015795,0.018733,0.023729,0.032302,0.047473,0.075146,0.126349", \
"0.015798,0.018750,0.023734,0.032313,0.047474,0.075144,0.126360", \
"0.015895,0.018840,0.023806,0.032359,0.047498,0.075156,0.126373", \
"0.018138,0.020711,0.025237,0.033300,0.048032,0.075394,0.126433", \
"0.023656,0.026178,0.030446,0.038002,0.051849,0.078019,0.127555", \
"0.032587,0.035302,0.039632,0.046570,0.059197,0.083491,0.131130");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.028377,0.040256,0.061613,0.099670,0.167251,0.286816,0.500063", \
"0.028377,0.040251,0.061583,0.099611,0.167181,0.286816,0.500064", \
"0.028383,0.040250,0.061580,0.099621,0.167243,0.286798,0.500060", \
"0.028609,0.040308,0.061528,0.099585,0.167196,0.286817,0.500063", \
"0.029496,0.040737,0.061658,0.099338,0.167039,0.286798,0.500087", \
"0.032589,0.042513,0.062316,0.099783,0.166779,0.286803,0.500058", \
"0.040357,0.048671,0.065904,0.100878,0.167392,0.286845,0.500169");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.776844,0.810874,0.847986,0.882510,0.906685,0.915861,0.920147", \
"0.758582,0.793835,0.831480,0.864551,0.889695,0.899529,0.902798", \
"0.754040,0.786448,0.825024,0.857673,0.883795,0.894170,0.897676", \
"0.752429,0.785366,0.823863,0.860815,0.884841,0.895182,0.899474", \
"0.764536,0.797030,0.836104,0.872150,0.899236,0.911179,0.915265", \
"0.761855,0.800181,0.844738,0.899030,0.942921,0.961710,0.967575", \
"0.829291,0.862568,0.912760,0.968709,1.020441,1.058280,1.088104");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.350950,0.355963,0.360184,0.358591,0.367700,0.362454,0.357120", \
"0.342257,0.345550,0.348144,0.349294,0.360993,0.356036,0.350946", \
"0.332115,0.336562,0.341147,0.347977,0.343528,0.352748,0.348205", \
"0.326047,0.329384,0.333603,0.339296,0.342225,0.338986,0.348525", \
"0.337308,0.338765,0.336303,0.335466,0.341731,0.353499,0.350377", \
"0.393409,0.391332,0.385750,0.383360,0.378473,0.379475,0.390958", \
"0.513818,0.515285,0.512270,0.509780,0.505844,0.490454,0.502246");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X2
Cell Description : Combinational cell (AON_BUF_X2) with drive strength X2
*******************************************************************************************/
cell (AON_BUF_X2) {
drive_strength : 2;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 6455.064750;
leakage_power () {
when : "!A";
value : 6046.883000;
}
leakage_power () {
when : "A";
value : 6863.246500;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.397347;
fall_capacitance : 0.380822;
rise_capacitance : 0.413872;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.457290;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.081807,0.087879,0.096765,0.110746,0.133358,0.172101,0.243591", \
"0.088439,0.094495,0.103383,0.117372,0.139984,0.178735,0.250228", \
"0.097377,0.103427,0.112297,0.126298,0.148917,0.187672,0.259172", \
"0.116503,0.122526,0.131353,0.145357,0.167993,0.206763,0.278266", \
"0.154301,0.160625,0.169660,0.183539,0.206317,0.245164,0.316690", \
"0.211649,0.219431,0.230256,0.246249,0.270965,0.311244,0.383176", \
"0.294266,0.304179,0.318040,0.337828,0.366440,0.410133,0.484340");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.046116,0.055499,0.072190,0.104792,0.169235,0.297399,0.553230", \
"0.054004,0.063328,0.079968,0.112586,0.177069,0.305325,0.561159", \
"0.063209,0.072448,0.089010,0.121593,0.186142,0.314492,0.570393", \
"0.078587,0.087791,0.104190,0.136633,0.201157,0.329579,0.585586", \
"0.099986,0.109432,0.125648,0.157501,0.221793,0.350180,0.606199", \
"0.125579,0.136153,0.152910,0.184454,0.248273,0.376229,0.632212", \
"0.148086,0.161267,0.180887,0.213538,0.276662,0.404016,0.659473");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.016078,0.018470,0.022492,0.029939,0.044167,0.072857,0.132685", \
"0.016085,0.018474,0.022496,0.029934,0.044174,0.072856,0.132690", \
"0.016089,0.018480,0.022509,0.029942,0.044170,0.072855,0.132686", \
"0.016144,0.018539,0.022562,0.029982,0.044194,0.072871,0.132685", \
"0.018086,0.020077,0.023649,0.030679,0.044579,0.073043,0.132744", \
"0.024894,0.026835,0.030026,0.036295,0.048952,0.075725,0.133577", \
"0.035547,0.037736,0.041052,0.046766,0.058133,0.082747,0.137995");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.017503,0.025426,0.040517,0.071153,0.132656,0.255443,0.500358", \
"0.017502,0.025423,0.040519,0.071137,0.132580,0.255457,0.500356", \
"0.017556,0.025448,0.040519,0.071156,0.132625,0.255451,0.500357", \
"0.018179,0.025778,0.040598,0.071135,0.132618,0.255467,0.500355", \
"0.020264,0.027118,0.041270,0.071299,0.132578,0.255554,0.500358", \
"0.025417,0.031100,0.043408,0.072103,0.132936,0.255299,0.500361", \
"0.035212,0.040690,0.050851,0.075328,0.133840,0.255689,0.500478");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.849583,0.890775,0.940595,0.996179,1.034726,1.066025,1.074213", \
"0.831115,0.874367,0.922376,0.972092,1.025147,1.049390,1.059493", \
"0.828141,0.867874,0.916402,0.964989,1.016558,1.043832,1.053274", \
"0.825983,0.864317,0.911973,0.969205,1.010794,1.041401,1.051968", \
"0.848166,0.882491,0.923351,0.979017,1.025441,1.054612,1.066073", \
"0.868495,0.896716,0.940454,1.001564,1.066256,1.099473,1.113349", \
"0.970279,0.987692,1.021450,1.071946,1.138063,1.193852,1.231592");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.570726,0.586584,0.600719,0.616183,0.617206,0.630707,0.614678", \
"0.564824,0.579409,0.590845,0.599634,0.610174,0.625358,0.610192", \
"0.556571,0.564534,0.577282,0.585759,0.607054,0.612874,0.609682", \
"0.549036,0.558626,0.566528,0.574298,0.588845,0.589295,0.606224", \
"0.566105,0.566811,0.572123,0.570277,0.575707,0.595523,0.612991", \
"0.622098,0.624380,0.620947,0.605610,0.615315,0.604377,0.628425", \
"0.729047,0.750227,0.750152,0.748565,0.740516,0.733724,0.727745");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X4
Cell Description : Combinational cell (AON_BUF_X4) with drive strength X4
*******************************************************************************************/
cell (AON_BUF_X4) {
drive_strength : 4;
area : 2.128000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 10853.902000;
leakage_power () {
when : "!A";
value : 9664.948500;
}
leakage_power () {
when : "A";
value : 12042.855500;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.394324;
fall_capacitance : 0.379189;
rise_capacitance : 0.409459;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.712580;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.117550,0.128918,0.139974,0.157009,0.183485,0.226431,0.301248", \
"0.124451,0.135799,0.146854,0.163894,0.190376,0.233324,0.308152", \
"0.133219,0.144574,0.155623,0.172676,0.199146,0.242103,0.316932", \
"0.151891,0.163216,0.174210,0.191249,0.217730,0.260694,0.335527", \
"0.191037,0.202281,0.213208,0.229980,0.256477,0.299467,0.374315", \
"0.261499,0.274231,0.286251,0.304138,0.331444,0.374822,0.449794", \
"0.363703,0.379614,0.394679,0.416448,0.447949,0.495288,0.572837");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.055745,0.069796,0.086697,0.119325,0.183598,0.311354,0.566157", \
"0.063653,0.077683,0.094558,0.127174,0.191463,0.319253,0.574077", \
"0.073141,0.087088,0.103897,0.136464,0.200757,0.328619,0.583482", \
"0.091228,0.105097,0.121784,0.154221,0.218485,0.346375,0.601347", \
"0.119149,0.133533,0.150112,0.181988,0.245927,0.373672,0.628683", \
"0.154934,0.171105,0.188463,0.220252,0.283765,0.410978,0.665739", \
"0.193372,0.213500,0.233720,0.267104,0.329974,0.456292,0.710236");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.024386,0.028184,0.032493,0.040261,0.054673,0.082713,0.140244", \
"0.024378,0.028188,0.032495,0.040269,0.054674,0.082709,0.140231", \
"0.024390,0.028190,0.032505,0.040266,0.054668,0.082708,0.140230", \
"0.024379,0.028209,0.032526,0.040285,0.054677,0.082716,0.140237", \
"0.024563,0.028404,0.032701,0.040428,0.054768,0.082758,0.140252", \
"0.031322,0.034297,0.037740,0.044271,0.057177,0.083966,0.140718", \
"0.044723,0.048020,0.051352,0.057199,0.068723,0.093022,0.146106");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.017622,0.028301,0.042831,0.072877,0.133808,0.256024,0.500106", \
"0.017627,0.028305,0.042831,0.072881,0.133843,0.256025,0.500106", \
"0.017637,0.028324,0.042837,0.072868,0.133852,0.256013,0.500107", \
"0.018070,0.028512,0.042924,0.072888,0.133825,0.256024,0.500102", \
"0.020819,0.030214,0.043832,0.073133,0.133815,0.256021,0.500101", \
"0.026891,0.034921,0.046919,0.074515,0.134218,0.255965,0.500106", \
"0.037876,0.045848,0.055834,0.079282,0.135768,0.256581,0.500220");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.365553,1.425428,1.489817,1.581044,1.666480,1.745401,1.778935", \
"1.348022,1.417420,1.483229,1.567972,1.658492,1.732685,1.767828", \
"1.349288,1.407712,1.470009,1.562200,1.656233,1.722784,1.759028", \
"1.338952,1.405313,1.463094,1.554532,1.645980,1.721099,1.755578", \
"1.365916,1.414929,1.474993,1.550088,1.647469,1.724068,1.759991", \
"1.440128,1.479089,1.526217,1.603460,1.685159,1.757100,1.801623", \
"1.616807,1.615539,1.633503,1.679617,1.764655,1.860644,1.906120");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("1.137319,1.173279,1.202740,1.215573,1.231878,1.276719,1.286400", \
"1.127700,1.171638,1.196889,1.209075,1.229694,1.275711,1.286193", \
"1.122317,1.165081,1.183140,1.208652,1.228173,1.241239,1.232874", \
"1.122709,1.149922,1.172549,1.196561,1.211579,1.238244,1.254593", \
"1.142288,1.157855,1.174579,1.169310,1.165110,1.216742,1.247462", \
"1.187514,1.217589,1.213177,1.198651,1.192825,1.244976,1.270014", \
"1.315091,1.356100,1.371718,1.337240,1.334530,1.295527,1.319241");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X1
Cell Description : Combinational cell (AON_INV_X1) with drive strength X1
*******************************************************************************************/
cell (AON_INV_X1) {
drive_strength : 1;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 2059.953251;
leakage_power () {
when : "!A";
value : 2434.767569;
}
leakage_power () {
when : "A";
value : 1685.138932;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.378898;
fall_capacitance : 0.364902;
rise_capacitance : 0.392893;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.682860;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.010813,0.014138,0.020034,0.030509,0.049157,0.082357,0.141622", \
"0.018742,0.022117,0.028000,0.038489,0.057128,0.090338,0.149569", \
"0.025648,0.030555,0.037522,0.047983,0.066566,0.099754,0.158952", \
"0.034279,0.041710,0.052070,0.066246,0.085740,0.118733,0.177813", \
"0.043560,0.054795,0.070602,0.091849,0.119956,0.157312,0.215935", \
"0.049605,0.066948,0.090953,0.123514,0.165876,0.220810,0.292715", \
"0.043090,0.069478,0.106559,0.156609,0.221583,0.304571,0.410681");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.035514,0.048238,0.070778,0.110427,0.180843,0.307075,0.530711", \
"0.042016,0.054880,0.077486,0.117414,0.188215,0.314129,0.539407", \
"0.051288,0.063811,0.086253,0.126236,0.197195,0.323078,0.547326", \
"0.070157,0.083199,0.105079,0.144597,0.215274,0.341152,0.565438", \
"0.098114,0.116560,0.143695,0.183132,0.253032,0.378329,0.602261", \
"0.138912,0.164934,0.202827,0.256674,0.331222,0.455002,0.677843", \
"0.200303,0.236181,0.289187,0.364789,0.469629,0.612512,0.832272");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.006504,0.009457,0.014729,0.024099,0.040844,0.070641,0.123891", \
"0.007376,0.009692,0.014729,0.024093,0.040835,0.070655,0.123836", \
"0.011165,0.013150,0.016428,0.024273,0.040833,0.070657,0.123830", \
"0.018033,0.020463,0.024245,0.029976,0.042153,0.070638,0.123786", \
"0.030073,0.033574,0.038478,0.045673,0.056332,0.075713,0.123783", \
"0.050903,0.056316,0.063610,0.073178,0.086797,0.106677,0.138960", \
"0.086880,0.095285,0.106453,0.120738,0.139625,0.165603,0.202740");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.026784,0.038805,0.060339,0.098305,0.166104,0.286882,0.500929", \
"0.026771,0.038797,0.060138,0.098199,0.166056,0.286362,0.501982", \
"0.026664,0.038669,0.060049,0.098251,0.166344,0.286388,0.501037", \
"0.032654,0.040946,0.059930,0.098071,0.165999,0.286529,0.500929", \
"0.047768,0.056667,0.069606,0.099736,0.165928,0.286420,0.500977", \
"0.070722,0.082923,0.100511,0.125315,0.173444,0.286145,0.500810", \
"0.107069,0.123757,0.148205,0.182457,0.230715,0.310970,0.500620");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.039579,0.039913,0.039798,0.039568,0.039448,0.039703,0.039545", \
"0.039709,0.039474,0.040000,0.039714,0.039620,0.039720,0.039548", \
"0.040105,0.039960,0.039272,0.039957,0.039660,0.039805,0.039587", \
"0.042395,0.041607,0.039955,0.039306,0.039294,0.039415,0.039641", \
"0.044274,0.043118,0.042107,0.040681,0.039291,0.039531,0.039441", \
"0.044935,0.044458,0.043592,0.042398,0.040951,0.038977,0.039000", \
"0.132916,0.118083,0.096714,0.071779,0.045731,0.040443,0.038228");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.366025,0.367171,0.369302,0.370483,0.365680,0.372791,0.374379", \
"0.347092,0.348749,0.349708,0.349690,0.361134,0.368242,0.378223", \
"0.343419,0.345039,0.350005,0.347871,0.361137,0.355328,0.361673", \
"0.345941,0.343290,0.341547,0.348883,0.347282,0.362303,0.364011", \
"0.371533,0.367352,0.353908,0.349536,0.344880,0.352464,0.358440", \
"0.397630,0.403998,0.409342,0.391551,0.367999,0.372473,0.361170", \
"0.502285,0.496393,0.490008,0.483408,0.459421,0.430261,0.408636");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X2
Cell Description : Combinational cell (AON_INV_X2) with drive strength X2
*******************************************************************************************/
cell (AON_INV_X2) {
drive_strength : 2;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4391.378141;
leakage_power () {
when : "!A";
value : 5174.284850;
}
leakage_power () {
when : "A";
value : 3608.471432;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.681652;
fall_capacitance : 0.647732;
rise_capacitance : 0.715572;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.463840;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.008045,0.010467,0.014876,0.023632,0.041080,0.075954,0.145689", \
"0.015292,0.018325,0.022833,0.031588,0.049045,0.083928,0.153648", \
"0.020464,0.025011,0.031460,0.041126,0.058499,0.093336,0.163048", \
"0.026320,0.033300,0.043056,0.057285,0.077705,0.112305,0.181890", \
"0.031150,0.041897,0.056888,0.078469,0.108626,0.150747,0.219928", \
"0.030706,0.047020,0.069899,0.103134,0.148919,0.211156,0.296783", \
"0.015430,0.039411,0.073950,0.124693,0.195128,0.289610,0.416118");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.024052,0.033160,0.049517,0.081756,0.145935,0.273894,0.529641", \
"0.030755,0.039715,0.056161,0.088715,0.153207,0.281502,0.537297", \
"0.040462,0.049062,0.065178,0.097533,0.162062,0.290381,0.546361", \
"0.055706,0.067495,0.084540,0.116239,0.180324,0.308636,0.564842", \
"0.077764,0.094180,0.118465,0.155452,0.218369,0.345916,0.601758", \
"0.110573,0.133307,0.167155,0.219345,0.296599,0.422736,0.677113", \
"0.161583,0.192353,0.239003,0.311866,0.420785,0.578779,0.831727");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.004027,0.006141,0.010049,0.017867,0.033516,0.064797,0.127400", \
"0.005793,0.007111,0.010207,0.017870,0.033514,0.064802,0.127383", \
"0.009189,0.010840,0.013454,0.018807,0.033508,0.064805,0.127376", \
"0.015384,0.017533,0.020817,0.026202,0.036258,0.064795,0.127375", \
"0.026405,0.029484,0.033949,0.040826,0.051740,0.071308,0.127368", \
"0.045097,0.050041,0.057088,0.066708,0.080912,0.102861,0.141480", \
"0.077402,0.085390,0.096476,0.111639,0.131880,0.160855,0.204994");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.016151,0.024480,0.039857,0.070546,0.132094,0.254849,0.499791", \
"0.016162,0.024464,0.039833,0.070613,0.132066,0.254862,0.499851", \
"0.017858,0.024595,0.039820,0.070543,0.132131,0.254904,0.499820", \
"0.026322,0.031281,0.041904,0.070539,0.132071,0.254885,0.499887", \
"0.038746,0.045969,0.057162,0.077049,0.131956,0.254921,0.500085", \
"0.058166,0.068428,0.084017,0.107745,0.147522,0.254939,0.500073", \
"0.089776,0.103917,0.125606,0.158810,0.208238,0.288133,0.500306");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.082955,0.083068,0.082449,0.081931,0.081697,0.082176,0.082343", \
"0.082754,0.082122,0.082250,0.083065,0.082771,0.082572,0.082261", \
"0.086097,0.084058,0.081922,0.082533,0.082636,0.082484,0.082175", \
"0.089905,0.088350,0.086105,0.081977,0.082543,0.081562,0.081712", \
"0.092908,0.091870,0.088936,0.086289,0.081761,0.081894,0.081750", \
"0.094652,0.093878,0.092026,0.089651,0.086216,0.080737,0.080406", \
"0.313448,0.286809,0.243671,0.184428,0.115722,0.084614,0.079080");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.677676,0.689762,0.694430,0.701437,0.688405,0.697545,0.679779", \
"0.643294,0.649306,0.661896,0.676967,0.678203,0.693258,0.677882", \
"0.640465,0.643696,0.645281,0.658132,0.676022,0.663883,0.680343", \
"0.663718,0.656364,0.653931,0.637709,0.658797,0.669205,0.687750", \
"0.672532,0.693925,0.694518,0.681701,0.649411,0.662680,0.681189", \
"0.758157,0.757979,0.766184,0.761871,0.719408,0.705214,0.683578", \
"0.999267,0.986648,0.971183,0.946356,0.921764,0.835412,0.760321");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X4
Cell Description : Combinational cell (AON_INV_X4) with drive strength X4
*******************************************************************************************/
cell (AON_INV_X4) {
drive_strength : 4;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 8787.927500;
leakage_power () {
when : "!A";
value : 10352.710500;
}
leakage_power () {
when : "A";
value : 7223.144500;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 1.261264;
fall_capacitance : 1.192256;
rise_capacitance : 1.330272;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.871030;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.007175,0.010644,0.015049,0.023798,0.041250,0.076124,0.145851", \
"0.014010,0.018524,0.023006,0.031761,0.049213,0.084090,0.153814", \
"0.018515,0.025297,0.031688,0.041301,0.058678,0.093506,0.163203", \
"0.023298,0.033757,0.043396,0.057528,0.077889,0.112500,0.182073", \
"0.026466,0.042600,0.057422,0.078858,0.108901,0.150949,0.220147", \
"0.023615,0.047924,0.070750,0.103765,0.149358,0.211471,0.297008", \
"0.005162,0.040725,0.074972,0.125521,0.195761,0.290090,0.416499");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.020618,0.033672,0.050012,0.082261,0.146396,0.274395,0.530179", \
"0.027491,0.040249,0.056689,0.089199,0.153667,0.281929,0.537873", \
"0.037078,0.049599,0.065729,0.098068,0.162544,0.290904,0.546941", \
"0.050731,0.068150,0.085051,0.116792,0.180852,0.309135,0.565198", \
"0.070704,0.095092,0.119178,0.156014,0.219014,0.346501,0.602270", \
"0.100900,0.134487,0.168206,0.220240,0.297220,0.423368,0.677788", \
"0.148639,0.193953,0.240296,0.312868,0.421513,0.579320,0.832226");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.003252,0.006260,0.010170,0.017980,0.033616,0.064901,0.127465", \
"0.005231,0.007181,0.010311,0.017988,0.033621,0.064894,0.127459", \
"0.008461,0.010904,0.013510,0.018894,0.033627,0.064902,0.127454", \
"0.014408,0.017583,0.020863,0.026227,0.036323,0.064903,0.127467", \
"0.024969,0.029528,0.033942,0.040828,0.051728,0.071355,0.127463", \
"0.042761,0.050261,0.057048,0.066618,0.080817,0.102813,0.141484", \
"0.073713,0.085727,0.096759,0.111660,0.131892,0.160780,0.204959");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.013081,0.024895,0.040236,0.070941,0.132351,0.255084,0.500300", \
"0.013079,0.024897,0.040238,0.070909,0.132326,0.255078,0.500302", \
"0.015865,0.024976,0.040227,0.070924,0.132353,0.255089,0.500302", \
"0.023899,0.031428,0.042231,0.070904,0.132296,0.255082,0.500332", \
"0.035626,0.046240,0.057204,0.077287,0.132289,0.255043,0.500263", \
"0.053757,0.068928,0.084193,0.107724,0.147685,0.255070,0.500440", \
"0.083888,0.104660,0.126181,0.159118,0.208385,0.288417,0.500778");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.067397,0.074315,0.076261,0.077199,0.078760,0.079002,0.078935", \
"0.040890,0.053361,0.063807,0.071115,0.073208,0.077234,0.078074", \
"0.055054,0.049141,0.055057,0.064146,0.071484,0.075293,0.076364", \
"0.080791,0.072676,0.065420,0.058735,0.067482,0.071238,0.075606", \
"0.134125,0.116210,0.102426,0.085806,0.068857,0.072952,0.075822", \
"0.249928,0.216447,0.189004,0.156378,0.123304,0.090247,0.085577", \
"0.707893,0.628850,0.546628,0.427344,0.289953,0.194980,0.133750");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("1.192933,1.231636,1.237306,1.227506,1.205577,1.256370,1.250130", \
"1.126407,1.154986,1.173142,1.177249,1.185380,1.212390,1.246670", \
"1.127063,1.134908,1.144111,1.141784,1.180514,1.213630,1.195110", \
"1.178439,1.159957,1.157840,1.148486,1.132370,1.186610,1.209190", \
"1.167938,1.231783,1.234403,1.205886,1.193484,1.155540,1.194620", \
"1.352719,1.356950,1.369967,1.387331,1.311548,1.243440,1.204260", \
"1.842479,1.811278,1.778778,1.740408,1.671121,1.502920,1.410340");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X1
Cell Description : Combinational cell (HEADER_OE_X1) with drive strength X1
*******************************************************************************************/
cell (HEADER_OE_X1) {
drive_strength : 1;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 1451877.233750;
leakage_power () {
when : "!SLEEP";
value : 2899485.500000;
}
leakage_power () {
when : "SLEEP";
value : 4268.967500;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.651760;
fall_capacitance : 0.607771;
rise_capacitance : 0.695749;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 12.165300;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.075637,0.081613,0.090718,0.104666,0.126652,0.162885,0.225383", \
"0.082238,0.088203,0.097309,0.111261,0.133267,0.169498,0.231994", \
"0.091275,0.097253,0.106360,0.120323,0.142333,0.178571,0.241064", \
"0.110527,0.116477,0.125593,0.139574,0.161607,0.197858,0.260361", \
"0.146384,0.152847,0.162160,0.176458,0.198725,0.235080,0.297597", \
"0.198964,0.206755,0.217845,0.233839,0.257803,0.295442,0.358587", \
"0.275053,0.284917,0.298896,0.318178,0.345305,0.385488,0.450143");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.055571,0.068094,0.090209,0.129492,0.199591,0.324905,0.549475", \
"0.063275,0.075774,0.097965,0.137344,0.207478,0.332765,0.557375", \
"0.072077,0.084529,0.106689,0.146024,0.216306,0.341709,0.566340", \
"0.086096,0.098400,0.120440,0.159752,0.230071,0.355516,0.580259", \
"0.105387,0.117648,0.139036,0.178149,0.248319,0.373919,0.598678", \
"0.128568,0.141192,0.162531,0.201171,0.271198,0.396636,0.621352", \
"0.148540,0.162940,0.185826,0.224323,0.293783,0.418821,0.643577");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.015673,0.018642,0.023715,0.032534,0.048282,0.077283,0.131276", \
"0.015678,0.018645,0.023714,0.032535,0.048287,0.077265,0.131271", \
"0.015700,0.018662,0.023731,0.032536,0.048280,0.077266,0.131263", \
"0.015803,0.018755,0.023800,0.032576,0.048300,0.077273,0.131267", \
"0.018125,0.020720,0.025324,0.033576,0.048853,0.077520,0.131329", \
"0.023595,0.026129,0.030446,0.038220,0.052601,0.080069,0.132384", \
"0.032482,0.035209,0.039519,0.046670,0.059804,0.085301,0.135735");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.028095,0.039673,0.060587,0.098119,0.165424,0.285129,0.500034", \
"0.028095,0.039668,0.060599,0.098232,0.165412,0.285142,0.500034", \
"0.028111,0.039672,0.060590,0.098117,0.165376,0.285134,0.500035", \
"0.028342,0.039728,0.060542,0.098087,0.165390,0.285122,0.500034", \
"0.029243,0.040187,0.060662,0.097883,0.165107,0.285118,0.500033", \
"0.032417,0.042002,0.061375,0.098318,0.164957,0.285130,0.500031", \
"0.040229,0.048287,0.065035,0.099489,0.165604,0.285160,0.500144");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.851931,0.884103,0.915895,0.942669,0.958074,0.960112,0.967111", \
"0.819935,0.845439,0.873564,0.897308,0.908955,0.928030,0.930203", \
"0.790527,0.815671,0.845704,0.874627,0.884969,0.901768,0.902389", \
"0.743865,0.768049,0.803047,0.823919,0.845004,0.854794,0.855551", \
"0.660961,0.690790,0.716619,0.750622,0.768123,0.766891,0.781672", \
"0.485567,0.511914,0.553244,0.585803,0.620516,0.642170,0.636016", \
"0.329908,0.348938,0.368345,0.388808,0.394780,0.383411,0.398402");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.283679,0.290450,0.293472,0.300283,0.301226,0.294365,0.290804", \
"0.254911,0.259460,0.261340,0.262552,0.273412,0.267560,0.264270", \
"0.221245,0.226838,0.231382,0.229280,0.232414,0.241031,0.238297", \
"0.167951,0.172081,0.177285,0.182115,0.184274,0.179936,0.191743", \
"0.087953,0.087631,0.087365,0.089523,0.089506,0.100942,0.099821", \
"-0.025838,-0.031192,-0.042743,-0.052844,-0.059330,-0.045367,-0.045299", \
"-0.273178,-0.270183,-0.274777,-0.281676,-0.300146,-0.306600,-0.304856");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X2
Cell Description : Combinational cell (HEADER_OE_X2) with drive strength X2
*******************************************************************************************/
cell (HEADER_OE_X2) {
drive_strength : 2;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 3012151.092000;
leakage_power () {
when : "!SLEEP";
value : 6017167.000000;
}
leakage_power () {
when : "SLEEP";
value : 7135.184000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.842347;
fall_capacitance : 0.768931;
rise_capacitance : 0.915762;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.357900;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.088628,0.094664,0.103690,0.118016,0.141113,0.180361,0.252004", \
"0.095341,0.101392,0.110420,0.124756,0.147858,0.187102,0.258757", \
"0.104211,0.110257,0.119287,0.133618,0.156725,0.195972,0.267634", \
"0.123251,0.129260,0.138276,0.152610,0.175737,0.214996,0.286658", \
"0.161532,0.167713,0.176816,0.191080,0.214320,0.253651,0.325344", \
"0.221077,0.228654,0.239494,0.255674,0.280815,0.321512,0.393595", \
"0.306275,0.315919,0.329710,0.349732,0.378892,0.423283,0.497940");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.051312,0.060565,0.077147,0.109597,0.173759,0.301424,0.556128", \
"0.059187,0.068402,0.084948,0.117394,0.181598,0.309310,0.564040", \
"0.068510,0.077646,0.094115,0.126530,0.190793,0.318561,0.573375", \
"0.084784,0.093869,0.110183,0.142477,0.206697,0.334546,0.589443", \
"0.108045,0.117351,0.133543,0.165241,0.229206,0.357057,0.611986", \
"0.136727,0.147016,0.163733,0.195189,0.258796,0.386230,0.641121", \
"0.164172,0.176924,0.196324,0.229013,0.291966,0.418792,0.673161");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.017319,0.019711,0.023767,0.031235,0.045438,0.073844,0.132965", \
"0.017319,0.019712,0.023771,0.031243,0.045433,0.073837,0.132973", \
"0.017338,0.019726,0.023780,0.031249,0.045446,0.073849,0.132971", \
"0.017354,0.019770,0.023827,0.031279,0.045464,0.073851,0.132961", \
"0.018798,0.020858,0.024614,0.031802,0.045765,0.073984,0.133019", \
"0.025551,0.027518,0.030803,0.037202,0.049912,0.076461,0.133793", \
"0.036156,0.038366,0.041788,0.047698,0.059228,0.083790,0.138421");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.019532,0.027336,0.042292,0.072744,0.133914,0.256236,0.500064", \
"0.019536,0.027341,0.042299,0.072752,0.133907,0.256243,0.500063", \
"0.019564,0.027366,0.042294,0.072736,0.133920,0.256236,0.500065", \
"0.020072,0.027624,0.042369,0.072743,0.133975,0.256227,0.500066", \
"0.022094,0.028954,0.043041,0.072893,0.133880,0.256229,0.500065", \
"0.027046,0.032799,0.045196,0.073715,0.134164,0.256091,0.500067", \
"0.036669,0.042115,0.052446,0.076991,0.135115,0.256442,0.500188");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("1.119690,1.166504,1.220020,1.263935,1.315030,1.355809,1.359721", \
"1.068635,1.102670,1.164426,1.208035,1.268440,1.303840,1.313443", \
"1.010904,1.053920,1.096873,1.154537,1.224957,1.243056,1.268428", \
"0.910600,0.952695,1.002632,1.059750,1.100964,1.144884,1.150647", \
"0.735750,0.770880,0.823618,0.867568,0.941389,0.974655,0.988896", \
"0.379249,0.405961,0.447298,0.514735,0.593964,0.626181,0.617547", \
"-0.059941,-0.067432,-0.054657,-0.047863,-0.042001,-0.037765,-0.024910");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.472780,0.487789,0.499394,0.512159,0.507737,0.529555,0.529734", \
"0.426415,0.435530,0.450192,0.455713,0.461169,0.483892,0.484683", \
"0.370167,0.377835,0.389614,0.394960,0.408839,0.404883,0.406766", \
"0.267227,0.270084,0.278880,0.283062,0.307891,0.296415,0.300651", \
"0.094899,0.089079,0.088709,0.093430,0.095884,0.124162,0.130410", \
"-0.214807,-0.214605,-0.228394,-0.252974,-0.267947,-0.242054,-0.231298", \
"-0.866159,-0.855124,-0.853402,-0.867520,-0.890679,-0.918341,-0.905056");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X4
Cell Description : Combinational cell (HEADER_OE_X4) with drive strength X4
*******************************************************************************************/
cell (HEADER_OE_X4) {
drive_strength : 4;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 6129469.008000;
leakage_power () {
when : "!SLEEP";
value : 12246070.000000;
}
leakage_power () {
when : "SLEEP";
value : 12868.016000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.190675;
fall_capacitance : 1.062008;
rise_capacitance : 1.319342;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.780870;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.114068,0.126103,0.137380,0.154576,0.181084,0.223993,0.298698", \
"0.121021,0.133049,0.144344,0.161540,0.188057,0.230962,0.305671", \
"0.129804,0.141821,0.153110,0.170311,0.196838,0.239742,0.314456", \
"0.148443,0.160435,0.171665,0.188858,0.215391,0.258304,0.333020", \
"0.187526,0.199476,0.210644,0.227554,0.254104,0.297046,0.371782", \
"0.257276,0.270813,0.283187,0.301287,0.328735,0.372110,0.446969", \
"0.357873,0.374721,0.390248,0.412396,0.444125,0.491501,0.569020");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.054584,0.069135,0.086218,0.119009,0.183506,0.311670,0.567251", \
"0.062517,0.077056,0.094109,0.126889,0.191397,0.319585,0.575186", \
"0.072010,0.086477,0.103462,0.136186,0.200697,0.328956,0.584606", \
"0.090290,0.104651,0.121493,0.154088,0.218562,0.346854,0.602603", \
"0.118323,0.133380,0.150180,0.182310,0.246460,0.374638,0.630405", \
"0.154401,0.171554,0.189269,0.221292,0.284966,0.412579,0.668157", \
"0.193696,0.214909,0.235644,0.269495,0.332633,0.459270,0.714072");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.023430,0.027413,0.031758,0.039547,0.053955,0.081979,0.139495", \
"0.023445,0.027418,0.031757,0.039546,0.053950,0.081975,0.139494", \
"0.023431,0.027423,0.031768,0.039551,0.053960,0.081978,0.139490", \
"0.023438,0.027439,0.031793,0.039572,0.053965,0.081982,0.139492", \
"0.023652,0.027648,0.031980,0.039719,0.054067,0.082028,0.139509", \
"0.030618,0.033752,0.037217,0.043746,0.056595,0.083292,0.140002", \
"0.044070,0.047494,0.050860,0.056694,0.068184,0.092414,0.145441");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.016315,0.027032,0.041511,0.071568,0.132677,0.255221,0.500103", \
"0.016322,0.027031,0.041511,0.071561,0.132694,0.255237,0.500106", \
"0.016327,0.027046,0.041521,0.071571,0.132684,0.255231,0.500117", \
"0.016744,0.027240,0.041606,0.071581,0.132688,0.255258,0.500116", \
"0.019586,0.029044,0.042574,0.071835,0.132676,0.255204,0.500107", \
"0.025689,0.033931,0.045830,0.073312,0.133072,0.255228,0.500114", \
"0.036644,0.044953,0.054963,0.078267,0.134692,0.255835,0.500214");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("1.649106,1.712096,1.795426,1.884204,1.989952,2.030469,2.044517", \
"1.554247,1.621313,1.696676,1.779808,1.873295,1.953557,1.966376", \
"1.452444,1.513297,1.593902,1.650684,1.765783,1.804796,1.882195", \
"1.248519,1.312946,1.385121,1.485431,1.562394,1.657710,1.645326", \
"0.867413,0.943650,1.005237,1.080719,1.168883,1.246848,1.296131", \
"0.174935,0.208174,0.271026,0.322412,0.432991,0.505778,0.524956", \
"-0.921831,-0.988775,-1.012370,-1.043600,-1.043680,-0.975375,-0.968191");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.705073,0.764123,0.792063,0.831826,0.841985,0.835795,0.864568", \
"0.620585,0.673250,0.705793,0.709853,0.762992,0.770310,0.780473", \
"0.517296,0.561980,0.588949,0.610850,0.598963,0.638172,0.685894", \
"0.322867,0.351799,0.382146,0.397708,0.415564,0.457144,0.451526", \
"-0.045607,-0.028433,-0.015446,-0.001928,0.013671,0.037147,0.034800", \
"-0.741820,-0.709395,-0.728677,-0.776425,-0.774214,-0.732429,-0.729292", \
"-2.165120,-2.114290,-2.114750,-2.158250,-2.201030,-2.215030,-2.212850");
}
}
}
}
/******************************************************************************************
Module : HEADER_X1
Cell Description : Physical cell (HEADER_X1)
*******************************************************************************************/
cell (HEADER_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 1447701.817500;
leakage_power () {
when : "!SLEEP";
value : 2895286.500000;
}
leakage_power () {
when : "SLEEP";
value : 117.135000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.300634;
fall_capacitance : 0.271955;
rise_capacitance : 0.329314;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.076598,0.058142,0.034807,-0.012013,-0.105511,-0.292647,-0.666862");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.011879,-0.060087,-0.099922,-0.146591,-0.239971,-0.426714,-0.800129");
}
}
}
}
/******************************************************************************************
Module : HEADER_X2
Cell Description : Physical cell (HEADER_X2)
*******************************************************************************************/
cell (HEADER_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 3005722.277700;
leakage_power () {
when : "!SLEEP";
value : 6011201.000000;
}
leakage_power () {
when : "SLEEP";
value : 243.555400;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.472967;
fall_capacitance : 0.417015;
rise_capacitance : 0.528919;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.160951,0.121059,0.072618,-0.024505,-0.218501,-0.606590,-1.382870");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.024673,-0.124765,-0.206923,-0.303735,-0.497442,-0.884825,-1.659510");
}
}
}
}
/******************************************************************************************
Module : HEADER_X4
Cell Description : Physical cell (HEADER_X4)
*******************************************************************************************/
cell (HEADER_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 6117250.692456;
leakage_power () {
when : "!SLEEP";
value : 12234005.000000;
}
leakage_power () {
when : "SLEEP";
value : 496.384913;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.816772;
fall_capacitance : 0.709494;
rise_capacitance : 0.924051;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.329142,0.246313,0.147764,-0.049556,-0.444237,-1.233540,-2.812220");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.050236,-0.253941,-0.420357,-0.617110,-1.011160,-1.798990,-3.374540");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X1
Cell Description : Combinational cell (ISO_FENCE0N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 7891.426973;
leakage_power () {
when : "!A & !EN";
value : 4257.643500;
}
leakage_power () {
when : "!A & EN";
value : 10239.518000;
}
leakage_power () {
when : "A & !EN";
value : 6167.495893;
}
leakage_power () {
when : "A & EN";
value : 10901.050500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.536538;
fall_capacitance : 0.512438;
rise_capacitance : 0.560638;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.269947,0.271055,0.272003,0.272903,0.274276,0.275139,0.274504");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.139425,-0.143125,-0.144825,-0.145319,-0.144428,-0.143064,-0.141897");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.629142;
fall_capacitance : 0.618373;
rise_capacitance : 0.639911;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.288912,0.271115,0.267486,0.264944,0.263688,0.262566,0.261376");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.221468,-0.223418,-0.225405,-0.228524,-0.230643,-0.231545,-0.231325");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.959000;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.072895,0.077655,0.085279,0.097342,0.116848,0.149962,0.209717", \
"0.079011,0.083763,0.091392,0.103459,0.122968,0.156080,0.215839", \
"0.087737,0.092495,0.100119,0.112192,0.131708,0.164826,0.224590", \
"0.106833,0.111585,0.119224,0.131322,0.150862,0.183995,0.243770", \
"0.142708,0.147981,0.156110,0.168401,0.188280,0.221592,0.281410", \
"0.195661,0.202157,0.211954,0.226229,0.248184,0.283217,0.344044", \
"0.273852,0.282176,0.294751,0.312625,0.338252,0.376592,0.439607");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.060709,0.070455,0.088720,0.123333,0.189489,0.316454,0.561178", \
"0.067398,0.077123,0.095350,0.129940,0.196143,0.323173,0.567908", \
"0.075569,0.085219,0.103373,0.137935,0.204160,0.331246,0.576063", \
"0.089544,0.099192,0.117272,0.151717,0.217901,0.345048,0.589964", \
"0.107893,0.117606,0.135588,0.169678,0.235685,0.362819,0.607780", \
"0.127741,0.138080,0.156209,0.189886,0.255707,0.382941,0.627851", \
"0.140579,0.152819,0.172887,0.207214,0.272601,0.399142,0.644306");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.013621,0.015737,0.019542,0.026431,0.039294,0.064370,0.114748", \
"0.013635,0.015743,0.019543,0.026432,0.039293,0.064371,0.114741", \
"0.013648,0.015761,0.019559,0.026439,0.039292,0.064386,0.114743", \
"0.013771,0.015876,0.019645,0.026495,0.039325,0.064388,0.114753", \
"0.016241,0.018079,0.021448,0.027743,0.040035,0.064713,0.114843", \
"0.021840,0.023581,0.026770,0.032736,0.044275,0.067795,0.116270", \
"0.030575,0.032617,0.035912,0.041586,0.052169,0.073869,0.120243");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.023404,0.031691,0.048170,0.080659,0.143771,0.265362,0.499475", \
"0.023419,0.031694,0.048170,0.080656,0.143773,0.265384,0.499474", \
"0.023435,0.031708,0.048177,0.080674,0.143880,0.265377,0.499472", \
"0.023940,0.031990,0.048259,0.080655,0.143736,0.265355,0.499475", \
"0.025276,0.032962,0.048998,0.080971,0.143658,0.265360,0.499474", \
"0.029015,0.035612,0.050182,0.081491,0.144198,0.265404,0.499476", \
"0.037375,0.043094,0.055343,0.083455,0.144780,0.265786,0.499930");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.084168,0.089182,0.097115,0.109588,0.129553,0.163178,0.223429", \
"0.090739,0.095723,0.103674,0.116142,0.136115,0.169737,0.229989", \
"0.099598,0.104591,0.112528,0.124998,0.144984,0.178611,0.238874", \
"0.118655,0.123622,0.131552,0.144040,0.164033,0.197672,0.257940", \
"0.156751,0.161980,0.170055,0.182454,0.202592,0.236318,0.296615", \
"0.215612,0.221995,0.231660,0.245815,0.267661,0.302721,0.363654", \
"0.301782,0.309883,0.322122,0.339473,0.364617,0.402536,0.465470");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.063422,0.073173,0.091447,0.126047,0.192218,0.319210,0.563910", \
"0.070679,0.080424,0.098689,0.133300,0.199505,0.326511,0.571217", \
"0.078141,0.087835,0.106056,0.140640,0.206889,0.333951,0.578687", \
"0.091246,0.100928,0.119072,0.153591,0.219809,0.347028,0.591791", \
"0.110645,0.120417,0.138490,0.172713,0.238832,0.366073,0.610989", \
"0.135024,0.145218,0.163429,0.196904,0.262925,0.390046,0.635061", \
"0.156315,0.168033,0.187696,0.221896,0.287614,0.414473,0.659509");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.014544,0.016628,0.020418,0.027297,0.040157,0.065204,0.115479", \
"0.014528,0.016637,0.020412,0.027301,0.040158,0.065207,0.115472", \
"0.014540,0.016641,0.020425,0.027307,0.040158,0.065205,0.115477", \
"0.014582,0.016688,0.020475,0.027330,0.040173,0.065210,0.115486", \
"0.015949,0.017804,0.021268,0.027872,0.040487,0.065364,0.115533", \
"0.021220,0.022959,0.026172,0.032238,0.043977,0.067707,0.116495", \
"0.029482,0.031408,0.034582,0.040193,0.050993,0.073106,0.120034");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.023413,0.031690,0.048170,0.080655,0.143796,0.265385,0.499473", \
"0.023416,0.031691,0.048167,0.080655,0.143763,0.265385,0.499471", \
"0.023413,0.031687,0.048167,0.080657,0.143881,0.265384,0.499474", \
"0.023696,0.031864,0.048212,0.080640,0.143801,0.265495,0.499472", \
"0.024688,0.032599,0.048658,0.080735,0.143679,0.265370,0.499476", \
"0.027224,0.034501,0.049721,0.081238,0.143810,0.265278,0.499472", \
"0.033707,0.040104,0.053565,0.082899,0.144499,0.265396,0.499619");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.163405,1.203048,1.254690,1.314106,1.354804,1.378317,1.384454", \
"1.126001,1.165344,1.222145,1.277580,1.320828,1.339225,1.348806", \
"1.108590,1.154425,1.207120,1.257847,1.304095,1.325797,1.333547", \
"1.105110,1.149955,1.202580,1.253862,1.304349,1.326847,1.334169", \
"1.126758,1.172376,1.225651,1.277687,1.326550,1.352953,1.361823", \
"1.140706,1.184169,1.241143,1.320587,1.399275,1.443990,1.454664", \
"1.288778,1.321573,1.380287,1.456095,1.538961,1.613028,1.664995");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.627023,0.636572,0.646718,0.660856,0.655660,0.677618,0.676022", \
"0.616985,0.622153,0.633870,0.644596,0.647047,0.650769,0.649488", \
"0.603576,0.611507,0.620189,0.631899,0.641731,0.653875,0.648973", \
"0.599312,0.602341,0.607259,0.610297,0.612006,0.626474,0.627801", \
"0.620242,0.622349,0.620589,0.617397,0.625694,0.651111,0.653930", \
"0.718233,0.717389,0.711306,0.698129,0.685296,0.706399,0.712648", \
"0.924025,0.932297,0.935403,0.931026,0.920812,0.906910,0.912100");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.362400,1.410309,1.468165,1.532291,1.584141,1.617177,1.633052", \
"1.332853,1.381831,1.441430,1.503119,1.557038,1.587888,1.606684", \
"1.326943,1.367504,1.431169,1.489315,1.547332,1.578434,1.595104", \
"1.325106,1.365240,1.424814,1.486709,1.546805,1.579852,1.596334", \
"1.349532,1.396224,1.452565,1.512174,1.568547,1.602147,1.620114", \
"1.366529,1.415851,1.482835,1.562969,1.642317,1.686821,1.706437", \
"1.510974,1.550010,1.604949,1.681151,1.773888,1.844801,1.904507");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.629274,0.638881,0.646181,0.654819,0.652072,0.667454,0.673978", \
"0.619211,0.628570,0.638601,0.649138,0.643384,0.662249,0.665448", \
"0.609310,0.618072,0.623483,0.629840,0.642217,0.643610,0.648703", \
"0.594402,0.602102,0.611816,0.615545,0.630545,0.641419,0.641007", \
"0.591082,0.594660,0.600110,0.602907,0.614321,0.617696,0.619679", \
"0.644628,0.643848,0.636906,0.627263,0.627990,0.633584,0.639126", \
"0.770330,0.777154,0.785812,0.774252,0.775363,0.765549,0.771960");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X2
Cell Description : Combinational cell (ISO_FENCE0N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 11339.485435;
leakage_power () {
when : "!A & !EN";
value : 7331.967000;
}
leakage_power () {
when : "!A & EN";
value : 13314.810500;
}
leakage_power () {
when : "A & !EN";
value : 9242.836739;
}
leakage_power () {
when : "A & EN";
value : 15468.327500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.572538;
fall_capacitance : 0.544918;
rise_capacitance : 0.600158;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.269943,0.270651,0.271563,0.272573,0.273515,0.274723,0.274394");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.138408,-0.141611,-0.143581,-0.144604,-0.143978,-0.142798,-0.141898");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.643144;
fall_capacitance : 0.629026;
rise_capacitance : 0.657261;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.289077,0.270753,0.267051,0.264587,0.263056,0.262424,0.261347");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.222151,-0.223979,-0.225505,-0.227721,-0.230271,-0.231500,-0.231356");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.520300;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.083890,0.092002,0.100709,0.114281,0.135858,0.172046,0.237347", \
"0.090209,0.098329,0.107033,0.120613,0.142200,0.178386,0.243692", \
"0.098792,0.106887,0.115588,0.129169,0.150758,0.186949,0.252256", \
"0.117622,0.125673,0.134376,0.147967,0.169566,0.205768,0.271078", \
"0.155805,0.164180,0.172985,0.186431,0.208161,0.244445,0.309789", \
"0.214550,0.224916,0.235520,0.251068,0.274737,0.312549,0.378458", \
"0.300481,0.313705,0.327265,0.346641,0.374362,0.415903,0.484601");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.059097,0.072107,0.089160,0.121928,0.186341,0.314292,0.569369", \
"0.065865,0.078859,0.095888,0.128635,0.193057,0.321039,0.576135", \
"0.074185,0.087119,0.104079,0.136754,0.201165,0.329210,0.584362", \
"0.089806,0.102692,0.119547,0.152089,0.216434,0.344522,0.599760", \
"0.111624,0.124940,0.141846,0.173989,0.238086,0.366058,0.621315", \
"0.136260,0.151093,0.168505,0.200490,0.264339,0.392081,0.647244", \
"0.155330,0.173544,0.193621,0.226869,0.290063,0.417104,0.672119");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.015603,0.018615,0.022390,0.029271,0.042198,0.067809,0.120871", \
"0.015612,0.018614,0.022393,0.029270,0.042199,0.067814,0.120873", \
"0.015611,0.018630,0.022407,0.029285,0.042203,0.067811,0.120867", \
"0.015643,0.018688,0.022460,0.029313,0.042219,0.067816,0.120880", \
"0.017420,0.019980,0.023362,0.029908,0.042573,0.067992,0.120928", \
"0.024167,0.026680,0.029695,0.035509,0.046998,0.070817,0.121944", \
"0.034634,0.037525,0.040643,0.046016,0.056422,0.078408,0.127056");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.017658,0.027440,0.041877,0.071827,0.132810,0.255172,0.499431", \
"0.017661,0.027437,0.041878,0.071819,0.132809,0.255182,0.499429", \
"0.017670,0.027456,0.041888,0.071833,0.132798,0.255208,0.499432", \
"0.018153,0.027708,0.042000,0.071855,0.132808,0.255167,0.499432", \
"0.020320,0.029197,0.043032,0.072307,0.132839,0.255145,0.499432", \
"0.025526,0.033086,0.045268,0.073289,0.133548,0.255262,0.499430", \
"0.035117,0.042567,0.052701,0.076789,0.134389,0.255935,0.499842");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.094883,0.103320,0.112334,0.126306,0.148357,0.185075,0.250908", \
"0.101565,0.109993,0.119010,0.132987,0.155042,0.191760,0.257597", \
"0.110374,0.118804,0.127819,0.141797,0.163858,0.200578,0.266412", \
"0.129222,0.137623,0.146631,0.160618,0.182687,0.219417,0.285258", \
"0.168282,0.176741,0.185753,0.199496,0.221629,0.258407,0.324271", \
"0.232386,0.242651,0.253160,0.268593,0.292224,0.330058,0.396244", \
"0.325628,0.338686,0.352051,0.371042,0.398365,0.439643,0.508337");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.061813,0.074823,0.091878,0.124654,0.189070,0.317046,0.572085", \
"0.069124,0.082130,0.099177,0.131949,0.196383,0.324384,0.579424", \
"0.076693,0.089662,0.106671,0.139409,0.203851,0.331868,0.586970", \
"0.090627,0.103586,0.120513,0.153159,0.217580,0.345638,0.600824", \
"0.112132,0.125421,0.142435,0.174792,0.239071,0.367117,0.622377", \
"0.140587,0.154842,0.172240,0.204090,0.268161,0.396031,0.651312", \
"0.168570,0.185354,0.204447,0.237388,0.301279,0.428734,0.683835");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.016553,0.019547,0.023306,0.030168,0.043089,0.068680,0.121644", \
"0.016549,0.019550,0.023306,0.030169,0.043089,0.068677,0.121642", \
"0.016553,0.019558,0.023313,0.030175,0.043091,0.068674,0.121646", \
"0.016564,0.019592,0.023351,0.030201,0.043104,0.068685,0.121655", \
"0.017289,0.020102,0.023731,0.030474,0.043268,0.068761,0.121675", \
"0.023620,0.026059,0.029099,0.034992,0.046658,0.070722,0.122368", \
"0.033645,0.036409,0.039419,0.044735,0.055328,0.077707,0.126879");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.017658,0.027437,0.041877,0.071816,0.132833,0.255187,0.499430", \
"0.017658,0.027441,0.041878,0.071831,0.132809,0.255187,0.499435", \
"0.017660,0.027447,0.041883,0.071821,0.132826,0.255156,0.499430", \
"0.017932,0.027590,0.041949,0.071848,0.132802,0.255200,0.499432", \
"0.019274,0.028629,0.042641,0.072100,0.132818,0.255189,0.499433", \
"0.022397,0.031084,0.044291,0.072927,0.133188,0.255138,0.499432", \
"0.029545,0.037572,0.049205,0.075485,0.134055,0.255571,0.499575");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.408623,1.489377,1.575513,1.657490,1.751299,1.803334,1.824156", \
"1.377862,1.463091,1.542643,1.635285,1.716863,1.768641,1.791262", \
"1.359853,1.445832,1.520104,1.617390,1.695884,1.753687,1.775715", \
"1.356076,1.431593,1.507379,1.605884,1.693844,1.749725,1.771428", \
"1.385243,1.455524,1.531390,1.624978,1.709752,1.765893,1.790689", \
"1.432725,1.497622,1.571517,1.666063,1.783025,1.848524,1.875813", \
"1.630136,1.659955,1.708126,1.799847,1.907806,2.012854,2.079954");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.970757,0.998232,1.020057,1.041373,1.079753,1.073470,1.074650", \
"0.955356,0.992650,1.013612,1.034675,1.031272,1.076903,1.083618", \
"0.948900,0.976080,0.999396,1.007883,1.041351,1.039521,1.043059", \
"0.944470,0.968013,0.981547,0.997906,1.027304,1.026952,1.033954", \
"0.972482,0.990585,0.990515,1.002988,1.008762,1.025146,1.034806", \
"1.058676,1.084418,1.083530,1.059608,1.063037,1.093681,1.108688", \
"1.255691,1.304004,1.309464,1.296348,1.273655,1.248469,1.256462");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.607558,1.692856,1.775780,1.880825,1.975362,2.033727,2.069649", \
"1.584324,1.668826,1.754659,1.856723,1.950874,2.010875,2.043514", \
"1.569618,1.658157,1.742537,1.845096,1.939032,2.002002,2.033619", \
"1.573698,1.654789,1.732161,1.826939,1.934520,1.996861,2.032231", \
"1.605887,1.674742,1.755565,1.841787,1.950519,2.015281,2.049633", \
"1.657530,1.731516,1.805075,1.908208,2.020869,2.094644,2.129958", \
"1.849742,1.886485,1.941945,2.032770,2.141168,2.251089,2.322297");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.971300,1.001467,1.028589,1.040082,1.071427,1.095849,1.096129", \
"0.963516,0.993394,1.012067,1.030653,1.052101,1.053097,1.097919", \
"0.955198,0.983919,1.005072,1.033165,1.045726,1.068581,1.070895", \
"0.942781,0.969144,0.984537,1.010137,1.023015,1.024748,1.074002", \
"0.943452,0.963159,0.974070,0.993108,1.007501,1.005134,1.013180", \
"0.985814,1.008797,1.012706,1.009578,1.019497,1.004839,1.063452", \
"1.098041,1.138948,1.152144,1.153538,1.145300,1.102280,1.158137");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X4
Cell Description : Combinational cell (ISO_FENCE0N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 22421.001452;
leakage_power () {
when : "!A & !EN";
value : 14594.280000;
}
leakage_power () {
when : "!A & EN";
value : 26209.208000;
}
leakage_power () {
when : "A & !EN";
value : 18302.981808;
}
leakage_power () {
when : "A & EN";
value : 30577.536000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.954304;
fall_capacitance : 0.901397;
rise_capacitance : 1.007211;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.528020,0.529903,0.531678,0.533162,0.534118,0.535200,0.534488");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.279145,-0.283764,-0.286409,-0.287663,-0.287118,-0.285074,-0.283274");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.080276;
fall_capacitance : 1.052777;
rise_capacitance : 1.107775;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.566217,0.530135,0.522789,0.517519,0.513347,0.510746,0.508871");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.435333,-0.438124,-0.440710,-0.444924,-0.448703,-0.450706,-0.450205");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 78.945420;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.078395,0.087968,0.096239,0.109290,0.130271,0.165865,0.230750", \
"0.084700,0.094256,0.102529,0.115584,0.136571,0.172167,0.237054", \
"0.093319,0.102858,0.111127,0.124182,0.145173,0.180779,0.245659", \
"0.112221,0.121730,0.129965,0.143029,0.164040,0.199671,0.264573", \
"0.149834,0.159868,0.168321,0.181338,0.202495,0.238195,0.303118", \
"0.206628,0.219030,0.229211,0.244207,0.267296,0.304537,0.370067", \
"0.289989,0.305841,0.318834,0.337537,0.364497,0.405233,0.473266");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.055083,0.070831,0.087802,0.120529,0.184920,0.312834,0.567937", \
"0.061832,0.077559,0.094499,0.127207,0.191612,0.319562,0.574694", \
"0.070152,0.085778,0.102644,0.135288,0.199691,0.327730,0.582914", \
"0.085427,0.101056,0.117742,0.150240,0.214621,0.342696,0.597975", \
"0.106109,0.122180,0.138955,0.171082,0.235280,0.363217,0.618514", \
"0.128980,0.146862,0.164044,0.195915,0.259716,0.387511,0.642688", \
"0.145468,0.167587,0.187142,0.220004,0.283090,0.410166,0.665221");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.014624,0.018203,0.021902,0.028693,0.041584,0.067303,0.120704", \
"0.014618,0.018206,0.021903,0.028694,0.041585,0.067302,0.120698", \
"0.014626,0.018221,0.021914,0.028703,0.041588,0.067302,0.120705", \
"0.014671,0.018287,0.021974,0.028742,0.041609,0.067311,0.120703", \
"0.016931,0.019883,0.023117,0.029474,0.042024,0.067511,0.120770", \
"0.023687,0.026558,0.029499,0.035201,0.046606,0.070518,0.121869", \
"0.034182,0.037489,0.040456,0.045682,0.055920,0.077867,0.126791");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.016049,0.027941,0.042482,0.072515,0.133477,0.255735,0.500175", \
"0.016050,0.027943,0.042486,0.072516,0.133477,0.255728,0.500171", \
"0.016062,0.027962,0.042497,0.072520,0.133478,0.255751,0.500177", \
"0.016678,0.028227,0.042613,0.072545,0.133493,0.255750,0.500177", \
"0.018935,0.029646,0.043626,0.073038,0.133529,0.255751,0.500161", \
"0.024367,0.033342,0.045683,0.073936,0.134302,0.255957,0.500171", \
"0.033970,0.042834,0.052887,0.077207,0.135103,0.256722,0.500587");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.089227,0.099181,0.107750,0.121184,0.142634,0.178744,0.244145", \
"0.095886,0.105847,0.114421,0.127862,0.149314,0.185426,0.250826", \
"0.104711,0.114655,0.123221,0.136666,0.158122,0.194237,0.259640", \
"0.123636,0.133544,0.142061,0.155507,0.176975,0.213095,0.278506", \
"0.162522,0.172544,0.181122,0.194315,0.215852,0.252029,0.317459", \
"0.224743,0.237005,0.247082,0.261995,0.285012,0.322294,0.388056", \
"0.315428,0.331097,0.343840,0.362155,0.388716,0.429181,0.497222");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.057784,0.073529,0.090503,0.123240,0.187635,0.315549,0.570653", \
"0.065063,0.080804,0.097765,0.130504,0.194922,0.322873,0.577953", \
"0.072605,0.088294,0.105215,0.137917,0.202348,0.330325,0.585463", \
"0.086324,0.102013,0.118850,0.151473,0.215878,0.343931,0.599131", \
"0.107087,0.123159,0.140078,0.172631,0.236914,0.364935,0.620223", \
"0.133892,0.151119,0.168358,0.200326,0.264534,0.392401,0.647709", \
"0.159142,0.179476,0.198263,0.231083,0.294865,0.422372,0.677453");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.015544,0.019112,0.022797,0.029583,0.042459,0.068149,0.121447", \
"0.015558,0.019118,0.022797,0.029581,0.042460,0.068147,0.121447", \
"0.015552,0.019125,0.022807,0.029585,0.042462,0.068148,0.121447", \
"0.015571,0.019161,0.022843,0.029613,0.042479,0.068155,0.121448", \
"0.016578,0.019803,0.023314,0.029939,0.042669,0.068251,0.121482", \
"0.023032,0.025859,0.028837,0.034631,0.046247,0.070377,0.122217", \
"0.033072,0.036218,0.039061,0.044279,0.054736,0.077115,0.126587");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.016044,0.027942,0.042482,0.072517,0.133478,0.255736,0.500173", \
"0.016046,0.027943,0.042480,0.072518,0.133477,0.255773,0.500177", \
"0.016046,0.027951,0.042488,0.072515,0.133492,0.255736,0.500174", \
"0.016389,0.028101,0.042557,0.072528,0.133491,0.255772,0.500174", \
"0.017774,0.029117,0.043250,0.072813,0.133495,0.255730,0.500177", \
"0.021030,0.031488,0.044803,0.073587,0.133936,0.255818,0.500181", \
"0.028329,0.037950,0.049600,0.076053,0.134777,0.256370,0.500308");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.639452,2.841374,2.988063,3.136611,3.287202,3.373279,3.413357", \
"2.575323,2.779184,2.923069,3.080822,3.224278,3.317273,3.350580", \
"2.548189,2.749545,2.882829,3.051509,3.193807,3.282476,3.318593", \
"2.532422,2.726967,2.864904,3.027969,3.174952,3.273676,3.308879", \
"2.624042,2.776880,2.908849,3.068410,3.211351,3.312674,3.354786", \
"2.703449,2.846676,2.978825,3.151571,3.352296,3.471178,3.517705", \
"3.124039,3.190631,3.264717,3.409733,3.612836,3.797182,3.923131");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.917945,1.997368,2.039251,2.075879,2.096542,2.138117,2.143104", \
"1.892250,1.971508,2.023352,2.059193,2.081486,2.144502,2.150217", \
"1.878885,1.952688,1.991035,2.042823,2.066938,2.101708,2.150997", \
"1.876770,1.939765,1.968164,1.981235,2.002886,2.079420,2.069281", \
"1.922296,1.970540,1.987755,2.008979,2.026176,2.068059,2.090217", \
"2.108871,2.165056,2.150296,2.139992,2.148837,2.153358,2.182372", \
"2.466197,2.587847,2.612362,2.586382,2.552616,2.506257,2.521341");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.032957,3.228974,3.381279,3.555775,3.711826,3.825772,3.882441", \
"2.984611,3.179434,3.321609,3.508087,3.666782,3.778494,3.833872", \
"2.946483,3.154514,3.301411,3.486190,3.643538,3.761054,3.814528", \
"2.961339,3.149450,3.295801,3.474119,3.638207,3.749041,3.807265", \
"3.025890,3.197596,3.332230,3.496967,3.671705,3.788821,3.847910", \
"3.145644,3.286726,3.425964,3.618689,3.809392,3.937355,4.004109", \
"3.536563,3.621602,3.706388,3.855174,4.059311,4.249488,4.385594");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.909919,2.006355,2.038583,2.089561,2.156352,2.181498,2.185871", \
"1.894109,1.987056,2.037041,2.069031,2.137494,2.097227,2.100655", \
"1.891776,1.967310,2.009859,2.030069,2.082710,2.128772,2.135202", \
"1.870901,1.940745,1.978823,2.024652,2.037001,2.046837,2.057413", \
"1.872259,1.923347,1.936854,1.955839,2.007060,2.020044,2.039837", \
"1.945629,2.006259,2.013561,2.004589,2.048068,2.047314,2.076608", \
"2.168502,2.270447,2.298166,2.307155,2.288804,2.295284,2.314448");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X1
Cell Description : Combinational cell (ISO_FENCE0_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4635.361125;
leakage_power () {
when : "!A & !EN";
value : 8828.131500;
}
leakage_power () {
when : "!A & EN";
value : 2662.040600;
}
leakage_power () {
when : "A & !EN";
value : 3190.071500;
}
leakage_power () {
when : "A & EN";
value : 3861.200900;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.599112;
fall_capacitance : 0.588197;
rise_capacitance : 0.610027;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.086561,0.086505,0.086495,0.086500,0.086548,0.086639,0.086824");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.005000,-0.005016,-0.005035,-0.005073,-0.005149,-0.005301,-0.005606");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.623950;
fall_capacitance : 0.598550;
rise_capacitance : 0.649351;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.288154,0.288238,0.288508,0.288884,0.289019,0.289040,0.289240");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.015184,-0.015144,-0.015086,-0.015013,-0.014980,-0.015065,-0.015414");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 10.275890;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.010081,0.011860,0.014932,0.020267,0.029536,0.045677,0.073823", \
"0.018027,0.019957,0.023036,0.028379,0.037669,0.053828,0.081973", \
"0.024549,0.027447,0.031752,0.037939,0.047200,0.063314,0.091424", \
"0.032448,0.036911,0.043417,0.052603,0.065242,0.082487,0.110445", \
"0.040240,0.047116,0.057138,0.071263,0.090236,0.115434,0.148806", \
"0.043438,0.054017,0.069509,0.091482,0.120737,0.158931,0.208228", \
"0.031019,0.047335,0.071277,0.105254,0.150826,0.209884,0.284952");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.066876,0.080972,0.105282,0.147119,0.219764,0.345952,0.566240", \
"0.069148,0.083351,0.108011,0.150539,0.223647,0.350427,0.571383", \
"0.076201,0.090150,0.114526,0.156812,0.230010,0.356906,0.578004", \
"0.093170,0.106671,0.130453,0.172007,0.244765,0.371545,0.592230", \
"0.125750,0.141560,0.165635,0.206062,0.277628,0.403513,0.623808", \
"0.173051,0.194194,0.226804,0.275998,0.348380,0.471867,0.690122", \
"0.245366,0.273179,0.316650,0.382333,0.478496,0.615719,0.829620");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.005667,0.007231,0.009958,0.014718,0.023013,0.037499,0.062753", \
"0.006673,0.007790,0.010082,0.014717,0.023017,0.037498,0.062751", \
"0.010256,0.011390,0.013210,0.016269,0.023217,0.037504,0.062758", \
"0.016753,0.018187,0.020439,0.023881,0.029067,0.039284,0.062765", \
"0.028494,0.030593,0.033532,0.037940,0.044377,0.053951,0.069693", \
"0.049278,0.052447,0.057116,0.063020,0.071538,0.083732,0.101291", \
"0.085684,0.090603,0.097839,0.107076,0.119460,0.136100,0.158968");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.048030,0.060611,0.082556,0.120501,0.186410,0.300562,0.500159", \
"0.048030,0.060600,0.082540,0.120653,0.186399,0.300554,0.500723", \
"0.048007,0.060599,0.082512,0.120536,0.186399,0.300583,0.500460", \
"0.048708,0.060362,0.082397,0.120353,0.186391,0.300636,0.499907", \
"0.062432,0.070286,0.086587,0.120249,0.186389,0.300927,0.500762", \
"0.085447,0.096536,0.114201,0.139852,0.191354,0.300638,0.500525", \
"0.121748,0.136127,0.158696,0.192311,0.242092,0.323546,0.500961");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.011093,0.013119,0.016540,0.022281,0.031989,0.048520,0.076960", \
"0.019376,0.021268,0.024537,0.030181,0.039806,0.056313,0.084755", \
"0.027224,0.029882,0.033912,0.039818,0.049303,0.065705,0.094110", \
"0.037464,0.041396,0.047275,0.055847,0.067928,0.084872,0.113078", \
"0.049479,0.055110,0.063991,0.076820,0.094689,0.118958,0.151594", \
"0.059505,0.068279,0.081319,0.101071,0.128234,0.164685,0.212605", \
"0.058008,0.071493,0.091918,0.122026,0.163858,0.219603,0.292088");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.081298,0.095214,0.119266,0.161051,0.233505,0.359494,0.580212", \
"0.087358,0.101362,0.125670,0.167912,0.240689,0.367228,0.587738", \
"0.096198,0.110130,0.134405,0.176468,0.249353,0.376185,0.597078", \
"0.112851,0.126648,0.150778,0.192710,0.265580,0.392844,0.613256", \
"0.142639,0.157616,0.181413,0.223098,0.295884,0.422520,0.643233", \
"0.186262,0.204864,0.234924,0.282093,0.356264,0.482588,0.703152", \
"0.254329,0.278642,0.316853,0.375701,0.465523,0.602986,0.823144");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.007859,0.009510,0.012307,0.017084,0.025313,0.039674,0.064843", \
"0.008134,0.009454,0.012030,0.016877,0.025211,0.039650,0.064839", \
"0.011662,0.012723,0.014412,0.017818,0.025204,0.039620,0.064826", \
"0.018128,0.019498,0.021661,0.025002,0.030099,0.040914,0.064819", \
"0.029338,0.031443,0.034434,0.038805,0.045285,0.054821,0.070980", \
"0.048896,0.052181,0.057054,0.063274,0.072003,0.084330,0.102007", \
"0.082474,0.087816,0.095541,0.105591,0.118664,0.135929,0.159250");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.048027,0.060610,0.082558,0.120578,0.186408,0.300584,0.500740", \
"0.048014,0.060596,0.082497,0.120634,0.186399,0.300618,0.500453", \
"0.048020,0.060611,0.082517,0.120549,0.186457,0.300691,0.500853", \
"0.048082,0.060595,0.082474,0.120443,0.186398,0.301558,0.500638", \
"0.053806,0.064266,0.083631,0.120196,0.186321,0.300942,0.500534", \
"0.069509,0.080900,0.100101,0.130786,0.188801,0.300534,0.500473", \
"0.098524,0.110677,0.131351,0.164445,0.219825,0.314811,0.500754");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("-0.000050,-0.000055,-0.000065,-0.000081,-0.000109,-0.000158,-0.000243", \
"-0.000208,-0.000213,-0.000223,-0.000239,-0.000267,-0.000316,-0.000401", \
"-0.000393,-0.000399,-0.000408,-0.000424,-0.000452,-0.000501,-0.000587", \
"-0.000756,-0.000764,-0.000777,-0.000796,-0.000824,-0.000872,-0.000958", \
"-0.001470,-0.001482,-0.001500,-0.001526,-0.001563,-0.001615,-0.001700", \
"0.050999,0.043874,0.034949,0.022669,0.009437,-0.003086,-0.003185", \
"0.212657,0.201333,0.182632,0.156915,0.125019,0.090467,0.056487");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.717765,0.724028,0.730396,0.732799,0.734304,0.729896,0.732855", \
"0.681798,0.686705,0.696401,0.703432,0.713928,0.713714,0.722660", \
"0.675878,0.678470,0.684447,0.693291,0.698914,0.712938,0.722699", \
"0.682988,0.684671,0.682554,0.686843,0.692545,0.692748,0.700305", \
"0.717794,0.711326,0.707410,0.704007,0.703545,0.693774,0.699923", \
"0.782186,0.797735,0.788006,0.762402,0.742768,0.731462,0.728051", \
"0.931009,0.930839,0.929171,0.935360,0.894066,0.862697,0.819014");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.024586,0.032029,0.041746,0.055616,0.064629,0.075250,0.083306", \
"0.009669,0.015957,0.028205,0.039586,0.050941,0.060074,0.068626", \
"0.009149,0.012084,0.023490,0.033966,0.043273,0.053475,0.064763", \
"0.035602,0.035656,0.032642,0.032183,0.042714,0.052228,0.060506", \
"0.073523,0.070817,0.067128,0.064103,0.057358,0.056115,0.064312", \
"0.145684,0.141270,0.134531,0.123429,0.111365,0.097207,0.080455", \
"0.306916,0.297536,0.284772,0.263237,0.234834,0.201709,0.167443");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.943330,0.946760,0.945886,0.943336,0.956179,0.951057,0.956370", \
"0.919877,0.923795,0.926956,0.938965,0.934103,0.944777,0.950590", \
"0.909682,0.914687,0.918052,0.924573,0.928969,0.940667,0.936880", \
"0.902977,0.903427,0.908023,0.913581,0.916219,0.931621,0.924180", \
"0.903302,0.902159,0.900656,0.899168,0.911648,0.911593,0.918641", \
"0.904014,0.925434,0.925899,0.910318,0.913325,0.923863,0.915807", \
"0.948703,0.952631,0.966637,0.981176,0.971273,0.951958,0.944126");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X2
Cell Description : Combinational cell (ISO_FENCE0_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 9446.614750;
leakage_power () {
when : "!A & !EN";
value : 17961.555000;
}
leakage_power () {
when : "!A & EN";
value : 5436.945000;
}
leakage_power () {
when : "A & !EN";
value : 6527.744500;
}
leakage_power () {
when : "A & EN";
value : 7860.214500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.033466;
fall_capacitance : 1.013321;
rise_capacitance : 1.053612;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.177076,0.176995,0.177014,0.177038,0.177135,0.177326,0.177714");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.010358,-0.010391,-0.010430,-0.010509,-0.010666,-0.010979,-0.011606");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.127714;
fall_capacitance : 1.076744;
rise_capacitance : 1.178684;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.595000,0.595110,0.595108,0.595648,0.596013,0.595850,0.596239");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.030806,-0.030733,-0.030622,-0.030493,-0.030437,-0.030626,-0.031361");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.337510;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.008496,0.009657,0.011921,0.016334,0.024965,0.041916,0.075291", \
"0.016013,0.017500,0.020009,0.024429,0.033081,0.050053,0.083426", \
"0.021514,0.023739,0.027499,0.033466,0.042635,0.059543,0.092875", \
"0.027780,0.031209,0.036963,0.045945,0.059329,0.078739,0.111870", \
"0.032818,0.038213,0.047144,0.061066,0.081367,0.110024,0.150243", \
"0.031835,0.040133,0.053913,0.075577,0.107107,0.150760,0.210246", \
"0.013633,0.026120,0.047205,0.080353,0.129347,0.197069,0.287786");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.052863,0.061987,0.079590,0.113636,0.179969,0.309887,0.565203", \
"0.055222,0.064279,0.082010,0.116502,0.183613,0.314262,0.570564", \
"0.062621,0.071453,0.088854,0.123005,0.189933,0.320808,0.577037", \
"0.080271,0.088581,0.105399,0.138815,0.205004,0.335471,0.591822", \
"0.108288,0.119791,0.140053,0.173853,0.238538,0.367677,0.623021", \
"0.149703,0.165002,0.192008,0.237360,0.310140,0.436370,0.689311", \
"0.214665,0.234582,0.270050,0.330304,0.427794,0.579110,0.828794");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004232,0.005239,0.007223,0.011140,0.018853,0.034049,0.064001", \
"0.005768,0.006382,0.007768,0.011170,0.018854,0.034055,0.063994", \
"0.009050,0.009876,0.011351,0.013881,0.019524,0.034055,0.063985", \
"0.015126,0.016204,0.018078,0.021251,0.026519,0.036526,0.063989", \
"0.026295,0.027784,0.030353,0.034503,0.041135,0.051726,0.070549", \
"0.045889,0.048277,0.052358,0.058336,0.067199,0.080827,0.101961", \
"0.080122,0.083972,0.090453,0.100233,0.113528,0.132298,0.159810");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.035883,0.043745,0.059288,0.089815,0.149862,0.267834,0.499418", \
"0.035817,0.043740,0.059246,0.089782,0.149843,0.267790,0.499598", \
"0.035667,0.043673,0.059220,0.089779,0.149810,0.267811,0.499417", \
"0.038744,0.044998,0.058988,0.089716,0.149766,0.267821,0.499408", \
"0.053067,0.059214,0.069459,0.092794,0.149683,0.267889,0.499474", \
"0.073733,0.081551,0.095597,0.119513,0.161617,0.267690,0.499655", \
"0.106516,0.116696,0.134997,0.166066,0.215898,0.298650,0.500314");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.009262,0.010625,0.013216,0.018096,0.027268,0.044729,0.078482", \
"0.017538,0.018915,0.021347,0.026042,0.035099,0.052512,0.086252", \
"0.024626,0.026574,0.029980,0.035576,0.044633,0.061922,0.095609", \
"0.033674,0.036540,0.041557,0.049697,0.062295,0.081106,0.114561", \
"0.043513,0.047853,0.055389,0.067645,0.086394,0.113776,0.153039", \
"0.050327,0.056970,0.068275,0.087033,0.115669,0.156929,0.214640", \
"0.044308,0.054255,0.071580,0.100012,0.144065,0.207506,0.294923");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.067445,0.076300,0.093634,0.127426,0.193580,0.323359,0.579078", \
"0.073469,0.082388,0.099855,0.133962,0.200630,0.330944,0.586541", \
"0.082418,0.091267,0.108632,0.142677,0.209350,0.339805,0.596286", \
"0.099131,0.107923,0.125143,0.158980,0.225508,0.355966,0.612032", \
"0.126809,0.137000,0.155665,0.189584,0.255816,0.386115,0.642385", \
"0.166068,0.179109,0.202752,0.244330,0.316126,0.446124,0.702067", \
"0.227186,0.244713,0.275739,0.328793,0.417378,0.564999,0.822400");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.006320,0.007433,0.009537,0.013533,0.021220,0.036279,0.066104", \
"0.007086,0.007811,0.009467,0.013245,0.021076,0.036242,0.066092", \
"0.010548,0.011318,0.012692,0.015162,0.021344,0.036206,0.066091", \
"0.016572,0.017600,0.019388,0.022460,0.027581,0.038074,0.066087", \
"0.027157,0.028680,0.031235,0.035402,0.042039,0.052593,0.071871", \
"0.045479,0.047886,0.052106,0.058312,0.067550,0.081417,0.102678", \
"0.076550,0.080651,0.087620,0.098101,0.112364,0.132011,0.160142");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.035864,0.043744,0.059244,0.089822,0.149848,0.267751,0.499677", \
"0.035880,0.043721,0.059247,0.089774,0.149899,0.267732,0.499422", \
"0.035857,0.043743,0.059240,0.089784,0.149834,0.267846,0.499840", \
"0.036075,0.043790,0.059212,0.089750,0.149811,0.267746,0.499409", \
"0.043728,0.050343,0.063171,0.090616,0.149689,0.267811,0.499712", \
"0.058335,0.065649,0.079751,0.106064,0.156012,0.267751,0.499688", \
"0.086484,0.094409,0.109700,0.137840,0.189738,0.286707,0.500504");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("-0.000092,-0.000100,-0.000114,-0.000141,-0.000195,-0.000301,-0.000510", \
"-0.000414,-0.000421,-0.000435,-0.000463,-0.000517,-0.000623,-0.000832", \
"-0.000788,-0.000798,-0.000813,-0.000841,-0.000895,-0.001001,-0.001210", \
"-0.001522,-0.001535,-0.001557,-0.001593,-0.001651,-0.001757,-0.001965", \
"-0.002966,-0.002985,-0.003017,-0.003069,-0.003149,-0.003269,-0.003477", \
"0.119596,0.106234,0.084749,0.058396,0.025716,-0.006255,-0.006500", \
"0.455763,0.438750,0.410328,0.359220,0.282857,0.196011,0.110047");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("1.339296,1.346834,1.359379,1.359400,1.357279,1.389227,1.382643", \
"1.265223,1.273121,1.285121,1.311002,1.337235,1.354512,1.358948", \
"1.257041,1.262154,1.270540,1.282922,1.306187,1.327042,1.333142", \
"1.276203,1.277803,1.281023,1.272886,1.295302,1.312106,1.320475", \
"1.359315,1.351203,1.337756,1.320806,1.312707,1.315971,1.315412", \
"1.454728,1.470837,1.503312,1.469957,1.415266,1.396424,1.344664", \
"1.792816,1.787137,1.781424,1.777308,1.750897,1.647761,1.559797");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.027573,0.042135,0.059523,0.089313,0.118915,0.142767,0.165563", \
"-0.000420,0.012700,0.033018,0.059297,0.086596,0.112690,0.135284", \
"0.013629,0.010950,0.022585,0.047620,0.076174,0.101814,0.124103", \
"0.067554,0.064375,0.065849,0.059350,0.070810,0.096236,0.120094", \
"0.145396,0.142736,0.137144,0.127845,0.115746,0.104414,0.122209", \
"0.301910,0.294036,0.281284,0.259449,0.231431,0.195620,0.155085", \
"0.639140,0.627156,0.605199,0.563213,0.501972,0.420108,0.334267");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("1.808982,1.813878,1.820335,1.824155,1.816473,1.820521,1.815834", \
"1.755672,1.761268,1.773899,1.781090,1.795260,1.806440,1.803686", \
"1.741226,1.746142,1.750842,1.762569,1.782301,1.797949,1.803268", \
"1.725269,1.733646,1.733440,1.738464,1.759156,1.774306,1.778195", \
"1.736676,1.733827,1.729904,1.728900,1.725478,1.764408,1.769595", \
"1.696814,1.721736,1.771029,1.766337,1.761677,1.765413,1.762279", \
"1.817976,1.821545,1.837206,1.861510,1.896215,1.830814,1.826286");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X4
Cell Description : Combinational cell (ISO_FENCE0_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 18901.984937;
leakage_power () {
when : "!A & !EN";
value : 35923.205000;
}
leakage_power () {
when : "!A & EN";
value : 10885.527500;
}
leakage_power () {
when : "A & !EN";
value : 13067.136000;
}
leakage_power () {
when : "A & EN";
value : 15732.071250;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.932769;
fall_capacitance : 1.892483;
rise_capacitance : 1.973056;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.354248,0.354048,0.354066,0.354141,0.354277,0.354641,0.355390");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.021065,-0.021132,-0.021211,-0.021368,-0.021684,-0.022315,-0.023576");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.277742;
fall_capacitance : 2.171234;
rise_capacitance : 2.384250;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("1.189944,1.190166,1.190608,1.191159,1.192001,1.191724,1.192578");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.061956,-0.061808,-0.061599,-0.061337,-0.061227,-0.061609,-0.063084");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 42.710910;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.007909,0.009510,0.011676,0.015958,0.024461,0.041415,0.075291", \
"0.015192,0.017313,0.019761,0.024051,0.032575,0.049546,0.083431", \
"0.020261,0.023451,0.027108,0.033000,0.042133,0.059037,0.092883", \
"0.025810,0.030761,0.036364,0.045250,0.058634,0.078244,0.111879", \
"0.029710,0.037469,0.046210,0.059990,0.080331,0.109299,0.150260", \
"0.026969,0.038872,0.052421,0.073925,0.105518,0.149677,0.210277", \
"0.006321,0.024165,0.044740,0.077719,0.126864,0.195450,0.287875");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.047689,0.060252,0.077100,0.110165,0.175524,0.305499,0.564847", \
"0.050140,0.062577,0.079534,0.113013,0.179116,0.309908,0.569886", \
"0.057727,0.069828,0.086439,0.119551,0.185475,0.316434,0.576722", \
"0.075402,0.087057,0.103074,0.135478,0.200641,0.331138,0.591654", \
"0.101325,0.117686,0.137418,0.170658,0.234333,0.363408,0.622776", \
"0.140540,0.162173,0.188415,0.233335,0.305891,0.432288,0.689025", \
"0.202790,0.230890,0.265284,0.324618,0.421927,0.574610,0.828390");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003682,0.005056,0.006953,0.010748,0.018347,0.033542,0.063935", \
"0.005366,0.006261,0.007548,0.010801,0.018343,0.033538,0.063936", \
"0.008532,0.009692,0.011131,0.013630,0.019091,0.033546,0.063937", \
"0.014424,0.015943,0.017776,0.020923,0.026151,0.036121,0.063941", \
"0.025283,0.027424,0.029897,0.034008,0.040655,0.051348,0.070482", \
"0.044333,0.047789,0.051652,0.057549,0.066532,0.080288,0.101828", \
"0.077535,0.083150,0.089484,0.099149,0.112542,0.131543,0.159595");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.031466,0.042223,0.057024,0.086590,0.145667,0.263594,0.499152", \
"0.031405,0.042204,0.057016,0.086592,0.145622,0.263573,0.499140", \
"0.031207,0.042134,0.056997,0.086567,0.145603,0.263589,0.499177", \
"0.035675,0.043791,0.056845,0.086544,0.145605,0.263579,0.499281", \
"0.049520,0.058056,0.067980,0.090209,0.145570,0.263583,0.499257", \
"0.069241,0.080232,0.093755,0.117122,0.158518,0.263477,0.499253", \
"0.100707,0.115017,0.132725,0.163157,0.212834,0.295769,0.499976");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.008521,0.010408,0.012898,0.017650,0.026712,0.044187,0.078475", \
"0.016747,0.018706,0.021048,0.025609,0.034548,0.051976,0.086248", \
"0.023506,0.026290,0.029597,0.035112,0.044092,0.061385,0.095604", \
"0.032028,0.036156,0.041008,0.049039,0.061618,0.080587,0.114555", \
"0.041023,0.047244,0.054604,0.066696,0.085414,0.113062,0.153042", \
"0.046499,0.055908,0.066995,0.085591,0.114214,0.155889,0.214686", \
"0.038566,0.052634,0.069469,0.097603,0.141806,0.205929,0.295017");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.062362,0.074573,0.091146,0.123949,0.189109,0.318980,0.578264", \
"0.068413,0.080630,0.097342,0.130460,0.196130,0.326511,0.586189", \
"0.077434,0.089554,0.106157,0.139178,0.204834,0.335398,0.595329", \
"0.094188,0.106218,0.122690,0.155531,0.221013,0.351552,0.611664", \
"0.120787,0.134939,0.153000,0.186104,0.251325,0.381646,0.641781", \
"0.158283,0.176630,0.199492,0.240039,0.311469,0.441622,0.701439", \
"0.216598,0.241399,0.271509,0.323648,0.411853,0.560432,0.821970");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.005694,0.007228,0.009253,0.013142,0.020717,0.035782,0.066062", \
"0.006724,0.007658,0.009221,0.012845,0.020569,0.035737,0.066056", \
"0.010076,0.011148,0.012479,0.014892,0.020884,0.035707,0.066054", \
"0.015916,0.017344,0.019095,0.022121,0.027226,0.037648,0.066056", \
"0.026198,0.028296,0.030774,0.034909,0.041569,0.052217,0.071806", \
"0.043876,0.047395,0.051383,0.057529,0.066836,0.080872,0.102557", \
"0.073869,0.079847,0.086651,0.096967,0.111290,0.131242,0.159942");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.031467,0.042203,0.057013,0.086599,0.145650,0.263611,0.499163", \
"0.031492,0.042196,0.057011,0.086579,0.145643,0.263617,0.499157", \
"0.031488,0.042203,0.057010,0.086579,0.145611,0.263588,0.499172", \
"0.031936,0.042322,0.057017,0.086583,0.145619,0.263610,0.499142", \
"0.039852,0.049163,0.061350,0.087751,0.145594,0.263561,0.499257", \
"0.054275,0.064294,0.077709,0.103285,0.152529,0.263521,0.499245", \
"0.082083,0.093079,0.107566,0.134870,0.186228,0.283418,0.500139");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("-0.000177,-0.000197,-0.000224,-0.000277,-0.000384,-0.000597,-0.001022", \
"-0.000821,-0.000841,-0.000867,-0.000921,-0.001028,-0.001241,-0.001666", \
"-0.001567,-0.001593,-0.001624,-0.001677,-0.001783,-0.001996,-0.002421", \
"-0.003029,-0.003066,-0.003109,-0.003181,-0.003296,-0.003508,-0.003932", \
"0.043283,0.029246,0.013434,-0.001665,-0.006290,-0.006532,-0.006956", \
"0.362503,0.327365,0.288584,0.229331,0.165044,0.093997,0.013008", \
"1.042163,0.996912,0.938402,0.836634,0.686408,0.507180,0.331617");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("2.534114,2.564045,2.582300,2.599525,2.628908,2.637063,2.638905", \
"2.388989,2.416915,2.444633,2.462269,2.535225,2.568056,2.588455", \
"2.380732,2.388085,2.401951,2.424624,2.474176,2.512219,2.542735", \
"2.430096,2.431767,2.434877,2.442461,2.454911,2.483690,2.520283", \
"2.596964,2.577011,2.557650,2.519867,2.494088,2.492563,2.505361", \
"2.762741,2.810120,2.873416,2.835772,2.756856,2.657933,2.567063", \
"3.461763,3.449909,3.441558,3.436673,3.373915,3.163741,2.992773");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.129099,0.186070,0.231914,0.288470,0.348439,0.400457,0.443433", \
"0.091163,0.126876,0.171131,0.222404,0.282513,0.333899,0.383080", \
"0.140754,0.132010,0.154396,0.199054,0.260308,0.312563,0.363311", \
"0.244954,0.246685,0.239119,0.230056,0.249095,0.302120,0.351390", \
"0.403667,0.391124,0.385590,0.366633,0.338565,0.316631,0.357246", \
"0.726815,0.699816,0.673219,0.635442,0.573122,0.500190,0.423497", \
"1.402217,1.367079,1.326559,1.246177,1.118576,0.954251,0.780169");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("3.470220,3.490163,3.499445,3.508528,3.495892,3.501232,3.500483", \
"3.372450,3.393803,3.407303,3.441953,3.451529,3.472468,3.508299", \
"3.345145,3.355171,3.374387,3.391864,3.433148,3.453311,3.473010", \
"3.323305,3.331048,3.338204,3.350791,3.381514,3.408649,3.433492", \
"3.336670,3.330131,3.337147,3.342185,3.316067,3.334616,3.412374", \
"3.239468,3.302088,3.400175,3.404902,3.390454,3.388137,3.395419", \
"3.508691,3.517249,3.527458,3.567178,3.659887,3.585883,3.527165");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X1
Cell Description : Combinational cell (ISO_FENCE1N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 4589.298855;
leakage_power () {
when : "!A & !EN";
value : 1326.599000;
}
leakage_power () {
when : "!A & EN";
value : 7307.903500;
}
leakage_power () {
when : "A & !EN";
value : 3235.855420;
}
leakage_power () {
when : "A & EN";
value : 6486.837500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.605022;
fall_capacitance : 0.579504;
rise_capacitance : 0.630539;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.273500,0.274461,0.275132,0.275389,0.275836,0.275552,0.274591");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.097047,-0.097123,-0.097212,-0.097390,-0.097746,-0.098459,-0.099885");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.606632;
fall_capacitance : 0.594608;
rise_capacitance : 0.618656;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.291682,0.274530,0.270516,0.267222,0.264841,0.263048,0.261531");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.155089,-0.155197,-0.155324,-0.155578,-0.156085,-0.157101,-0.159132");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.504220;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.016826,0.020663,0.028000,0.042073,0.069109,0.121088,0.221078", \
"0.023540,0.027419,0.034812,0.048934,0.076006,0.128008,0.228015", \
"0.030920,0.035584,0.043174,0.057233,0.084277,0.136262,0.236242", \
"0.039640,0.046511,0.057443,0.074154,0.101095,0.152936,0.252846", \
"0.048218,0.058127,0.074254,0.098585,0.133950,0.186861,0.286347", \
"0.051909,0.066629,0.090099,0.126007,0.177654,0.250305,0.354555", \
"0.040637,0.061973,0.096369,0.149071,0.225284,0.331581,0.477803");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.036829,0.046242,0.063960,0.097698,0.162369,0.286180,0.524386", \
"0.042840,0.052312,0.070372,0.104559,0.169598,0.293849,0.532239", \
"0.051844,0.061094,0.078927,0.113088,0.178265,0.302650,0.541217", \
"0.070696,0.080471,0.097669,0.131288,0.196158,0.320583,0.559284", \
"0.099029,0.112744,0.135244,0.170067,0.233798,0.357578,0.595951", \
"0.141123,0.160253,0.191368,0.240059,0.312492,0.434235,0.671438", \
"0.205927,0.232026,0.275164,0.342874,0.444424,0.591666,0.826326");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.010359,0.013676,0.020051,0.032340,0.055935,0.101324,0.188696", \
"0.010432,0.013661,0.020051,0.032323,0.055915,0.101316,0.188688", \
"0.013789,0.015854,0.020709,0.032311,0.055918,0.101301,0.188651", \
"0.021106,0.023651,0.028061,0.035921,0.056061,0.101293,0.188667", \
"0.033656,0.037301,0.042970,0.052029,0.066898,0.102491,0.188645", \
"0.054844,0.060133,0.068572,0.080728,0.099214,0.128300,0.192827", \
"0.090833,0.098597,0.110865,0.128698,0.154417,0.191808,0.248732");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.026780,0.035492,0.052197,0.084340,0.146371,0.265051,0.493054", \
"0.026770,0.035436,0.052151,0.084304,0.146322,0.265064,0.493059", \
"0.026663,0.035366,0.052100,0.084401,0.146318,0.265078,0.493053", \
"0.032516,0.038332,0.052430,0.084234,0.146311,0.265073,0.493056", \
"0.047527,0.054005,0.064399,0.087754,0.146176,0.265057,0.493051", \
"0.070159,0.079084,0.093912,0.116429,0.157698,0.265089,0.493449", \
"0.106279,0.118416,0.138749,0.169803,0.216842,0.294718,0.493636");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.019557,0.023376,0.030703,0.044767,0.071794,0.123782,0.223756", \
"0.026779,0.030650,0.038035,0.052155,0.079227,0.131224,0.231226", \
"0.033861,0.038106,0.045616,0.059784,0.086899,0.138931,0.238936", \
"0.044200,0.049667,0.058922,0.074597,0.101962,0.154105,0.254183", \
"0.057098,0.065109,0.077928,0.098278,0.130443,0.184085,0.284384", \
"0.068187,0.080824,0.100770,0.130874,0.174283,0.239470,0.344416", \
"0.067198,0.087435,0.118739,0.166082,0.231921,0.322108,0.452263");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.047197,0.056514,0.074274,0.108175,0.172936,0.296930,0.535174", \
"0.053629,0.063024,0.080999,0.115074,0.180115,0.304198,0.542763", \
"0.062566,0.071919,0.089785,0.123871,0.188988,0.313252,0.551665", \
"0.081955,0.091036,0.108575,0.142338,0.207307,0.331428,0.569953", \
"0.115454,0.127411,0.147689,0.181065,0.245108,0.368777,0.606994", \
"0.164389,0.181172,0.209367,0.254625,0.323805,0.445745,0.682669", \
"0.238165,0.261341,0.300581,0.363780,0.460738,0.603935,0.838052");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.010355,0.013674,0.020054,0.032326,0.055933,0.101311,0.188662", \
"0.010355,0.013669,0.020059,0.032316,0.055941,0.101310,0.188675", \
"0.011670,0.014481,0.020273,0.032318,0.055920,0.101308,0.188662", \
"0.015324,0.018195,0.023639,0.033984,0.055996,0.101298,0.188667", \
"0.023573,0.026541,0.031985,0.042021,0.061409,0.102081,0.188631", \
"0.040214,0.043950,0.050054,0.060059,0.078723,0.115255,0.191246", \
"0.070242,0.075549,0.084173,0.096719,0.116230,0.151217,0.220415");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.032575,0.041388,0.058323,0.090950,0.153255,0.272117,0.500543", \
"0.032555,0.041385,0.058274,0.090802,0.153239,0.272202,0.500499", \
"0.032538,0.041316,0.058211,0.090748,0.153145,0.272132,0.500516", \
"0.034588,0.042111,0.058184,0.090626,0.153160,0.272184,0.500558", \
"0.048390,0.054849,0.066239,0.092360,0.152781,0.272164,0.500552", \
"0.070925,0.079975,0.094862,0.117591,0.161720,0.272141,0.500523", \
"0.105523,0.118283,0.139366,0.170912,0.218277,0.298524,0.500799");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.070059,0.071384,0.072494,0.073499,0.072583,0.073901,0.073656", \
"0.055648,0.060282,0.063469,0.066306,0.070686,0.072715,0.072942", \
"0.047560,0.054071,0.059661,0.064863,0.068697,0.071126,0.072460", \
"0.059137,0.055171,0.053375,0.059740,0.065919,0.068621,0.071361", \
"0.093576,0.085474,0.078047,0.067698,0.067697,0.070647,0.071744", \
"0.174843,0.162347,0.144033,0.122355,0.098733,0.086059,0.080913", \
"0.358332,0.338865,0.307814,0.263209,0.211023,0.160376,0.122622");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.641751,0.643029,0.644317,0.641387,0.653871,0.659453,0.658829", \
"0.599472,0.610057,0.614447,0.626811,0.645655,0.636269,0.638635", \
"0.589855,0.591401,0.600442,0.618875,0.619957,0.634191,0.639258", \
"0.597210,0.592729,0.593977,0.597123,0.616987,0.633649,0.641544", \
"0.635842,0.632460,0.619678,0.600058,0.611311,0.624047,0.630950", \
"0.687031,0.693195,0.695454,0.682877,0.652947,0.640979,0.633724", \
"0.873534,0.868716,0.854574,0.842900,0.799069,0.737771,0.694651");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.072159,0.072826,0.072788,0.071667,0.074029,0.073240,0.074111", \
"0.059660,0.062862,0.066825,0.066802,0.071843,0.072072,0.073389", \
"0.049882,0.054062,0.058299,0.063751,0.068770,0.071305,0.072179", \
"0.049415,0.048086,0.049623,0.057334,0.063989,0.067486,0.070759", \
"0.062838,0.060053,0.059414,0.054341,0.059817,0.064954,0.069158", \
"0.100842,0.094878,0.088385,0.080718,0.071537,0.066304,0.069768", \
"0.212660,0.200807,0.183835,0.159889,0.133486,0.107837,0.087593");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.863044,0.867977,0.867594,0.878626,0.882295,0.891107,0.894844", \
"0.832691,0.838806,0.842907,0.852024,0.872439,0.884483,0.889769", \
"0.824488,0.831041,0.840907,0.846791,0.849494,0.863763,0.870311", \
"0.827466,0.828848,0.832408,0.846437,0.853044,0.867952,0.875768", \
"0.865412,0.862272,0.858137,0.845581,0.850855,0.864288,0.870421", \
"0.919904,0.930278,0.943742,0.915230,0.896196,0.900219,0.876635", \
"1.091118,1.090817,1.089896,1.090565,1.061255,1.007885,0.967560");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X2
Cell Description : Combinational cell (ISO_FENCE1N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 9457.677868;
leakage_power () {
when : "!A & !EN";
value : 2730.518500;
}
leakage_power () {
when : "!A & EN";
value : 15046.024000;
}
leakage_power () {
when : "A & !EN";
value : 6660.479972;
}
leakage_power () {
when : "A & EN";
value : 13393.689000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.055016;
fall_capacitance : 1.002246;
rise_capacitance : 1.107785;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.569799,0.571359,0.571922,0.572677,0.572147,0.571414,0.569527");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.199749,-0.199905,-0.200089,-0.200455,-0.201189,-0.202656,-0.205591");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.105496;
fall_capacitance : 1.072549;
rise_capacitance : 1.138443;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.608396,0.570478,0.561979,0.555431,0.549762,0.545408,0.542388");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.319311,-0.319534,-0.319795,-0.320317,-0.321363,-0.323453,-0.327634");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 38.582250;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.013867,0.018570,0.025256,0.038563,0.065127,0.118204,0.224341", \
"0.020550,0.025304,0.032047,0.045415,0.072015,0.125122,0.231267", \
"0.026740,0.033106,0.040433,0.053729,0.080293,0.133391,0.239528", \
"0.033498,0.042878,0.053581,0.070318,0.097137,0.150075,0.256134", \
"0.038926,0.052777,0.068589,0.093052,0.129289,0.184032,0.289636", \
"0.038295,0.058486,0.081683,0.117801,0.170915,0.246807,0.357816", \
"0.021309,0.050202,0.083706,0.136621,0.214898,0.326080,0.481591");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.028891,0.040385,0.056361,0.087808,0.150322,0.274925,0.523839", \
"0.034974,0.046453,0.062681,0.094611,0.157582,0.282543,0.531701", \
"0.044343,0.055390,0.071335,0.103130,0.166203,0.291363,0.540702", \
"0.061306,0.074701,0.090347,0.121488,0.184201,0.309357,0.558815", \
"0.085908,0.104405,0.126191,0.160544,0.222024,0.346437,0.595753", \
"0.122918,0.148488,0.178747,0.227035,0.300503,0.423139,0.670875", \
"0.181139,0.215814,0.257280,0.324276,0.427274,0.579683,0.825663");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.007728,0.011772,0.017572,0.029163,0.052339,0.098683,0.191376", \
"0.008188,0.011753,0.017571,0.029165,0.052334,0.098682,0.191362", \
"0.011897,0.014652,0.018672,0.029162,0.052341,0.098681,0.191350", \
"0.018679,0.022116,0.026324,0.033730,0.052680,0.098664,0.191346", \
"0.030442,0.035220,0.040719,0.049726,0.064587,0.100127,0.191333", \
"0.050052,0.057195,0.065396,0.077637,0.096484,0.126574,0.195206", \
"0.083520,0.094218,0.106535,0.124545,0.150947,0.189884,0.250431");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.019508,0.029925,0.044882,0.074759,0.134582,0.254019,0.492572", \
"0.019508,0.029918,0.044850,0.074722,0.134503,0.254001,0.492575", \
"0.020234,0.029889,0.044826,0.074751,0.134564,0.253986,0.492572", \
"0.028399,0.034391,0.045989,0.074717,0.134469,0.253981,0.492572", \
"0.041590,0.049925,0.059958,0.080050,0.134463,0.253999,0.492752", \
"0.062051,0.073711,0.087675,0.109850,0.148958,0.253965,0.492638", \
"0.095193,0.111115,0.130663,0.161299,0.208763,0.286936,0.493151");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.016600,0.021276,0.027945,0.041244,0.067797,0.120874,0.227025", \
"0.023725,0.028497,0.035235,0.048595,0.075196,0.128309,0.234468", \
"0.030250,0.035732,0.042775,0.056200,0.082854,0.135999,0.242187", \
"0.039394,0.046619,0.055442,0.070720,0.097866,0.151119,0.257369", \
"0.049716,0.060714,0.073254,0.093409,0.125846,0.181008,0.287498", \
"0.055927,0.073657,0.093542,0.123868,0.168256,0.235760,0.347323", \
"0.048036,0.075934,0.106893,0.154912,0.222875,0.317092,0.455306");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.039177,0.050454,0.066367,0.097920,0.160562,0.285319,0.534359", \
"0.045551,0.056966,0.073087,0.104852,0.167761,0.292676,0.541851", \
"0.054634,0.065938,0.081956,0.113695,0.176692,0.301681,0.550928", \
"0.073897,0.085217,0.100906,0.132299,0.194994,0.319984,0.569255", \
"0.104000,0.119776,0.139155,0.171312,0.233053,0.357459,0.606354", \
"0.148387,0.170391,0.197260,0.242018,0.311993,0.434427,0.681989", \
"0.216057,0.246308,0.283684,0.345859,0.443899,0.591946,0.837164");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.007728,0.011773,0.017574,0.029157,0.052349,0.098678,0.191391", \
"0.007772,0.011775,0.017573,0.029162,0.052348,0.098675,0.191367", \
"0.009332,0.012848,0.017983,0.029166,0.052330,0.098680,0.191354", \
"0.012873,0.016465,0.021481,0.031269,0.052546,0.098672,0.191345", \
"0.020951,0.024793,0.029795,0.039332,0.058469,0.099592,0.191330", \
"0.036859,0.041800,0.047632,0.057374,0.075744,0.113050,0.193814", \
"0.065407,0.072503,0.080906,0.093477,0.113188,0.148904,0.222432");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.025083,0.035628,0.050725,0.080897,0.141018,0.260881,0.499911", \
"0.025098,0.035620,0.050722,0.080871,0.141055,0.260867,0.499892", \
"0.025084,0.035617,0.050710,0.080852,0.141094,0.260867,0.499870", \
"0.029000,0.037137,0.050802,0.080828,0.140970,0.260852,0.499879", \
"0.042321,0.050708,0.060904,0.083893,0.140911,0.260890,0.499916", \
"0.062576,0.074399,0.088585,0.111006,0.152415,0.260779,0.499903", \
"0.093490,0.110571,0.130865,0.162173,0.210103,0.290362,0.500244");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.135059,0.138703,0.138661,0.142001,0.143217,0.144562,0.144073", \
"0.096344,0.110894,0.122000,0.127951,0.134453,0.138983,0.142645", \
"0.079983,0.097574,0.107442,0.119336,0.131169,0.137052,0.140007", \
"0.119903,0.107490,0.098445,0.111369,0.124804,0.133019,0.137725", \
"0.197602,0.177787,0.156494,0.134873,0.128195,0.134258,0.139772", \
"0.379349,0.339747,0.301330,0.251728,0.200195,0.168440,0.158443", \
"0.769384,0.715996,0.649795,0.555772,0.440320,0.327668,0.244629");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.170370,1.193788,1.206524,1.178033,1.201815,1.200369,1.190837", \
"1.088494,1.112858,1.135515,1.144726,1.185056,1.195646,1.194019", \
"1.070569,1.089207,1.097336,1.131196,1.130632,1.191460,1.195919", \
"1.101279,1.097443,1.104850,1.081815,1.126804,1.148414,1.172407", \
"1.144358,1.175736,1.151752,1.129973,1.120393,1.131376,1.141617", \
"1.276637,1.285643,1.297636,1.294130,1.215338,1.194805,1.183945", \
"1.684060,1.664751,1.636287,1.602300,1.562399,1.413947,1.308961");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.135794,0.139782,0.141658,0.143595,0.143756,0.143401,0.143399", \
"0.101698,0.115058,0.126018,0.133413,0.137981,0.141154,0.142865", \
"0.078687,0.096475,0.109393,0.123406,0.129086,0.136037,0.141029", \
"0.093298,0.091557,0.089814,0.108375,0.121944,0.132039,0.138046", \
"0.119938,0.117160,0.111753,0.106204,0.111954,0.124813,0.133109", \
"0.209689,0.193426,0.176684,0.161672,0.141159,0.128098,0.134323", \
"0.459098,0.423333,0.382325,0.332991,0.274603,0.216827,0.172742");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.626235,1.636809,1.641903,1.663334,1.687106,1.670487,1.716362", \
"1.559913,1.579139,1.604826,1.631689,1.644731,1.657457,1.663194", \
"1.545574,1.561918,1.582423,1.613644,1.641941,1.658756,1.667390", \
"1.562770,1.571448,1.578132,1.604123,1.627791,1.624732,1.680478", \
"1.629175,1.640437,1.629454,1.624263,1.608741,1.620779,1.672069", \
"1.736800,1.760183,1.778142,1.754068,1.710362,1.695105,1.684787", \
"2.107044,2.111507,2.110118,2.097955,2.063057,1.921855,1.868273");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X4
Cell Description : Combinational cell (ISO_FENCE1N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 18921.601986;
leakage_power () {
when : "!A & !EN";
value : 5469.349500;
}
leakage_power () {
when : "!A & EN";
value : 30100.427000;
}
leakage_power () {
when : "A & !EN";
value : 13329.262945;
}
leakage_power () {
when : "A & EN";
value : 26787.368500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.932334;
fall_capacitance : 1.828723;
rise_capacitance : 2.035946;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("1.139383,1.142158,1.143751,1.144801,1.144399,1.143324,1.139579");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.399747,-0.400060,-0.400427,-0.401161,-0.402629,-0.405566,-0.411440");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.405855;
fall_capacitance : 2.347694;
rise_capacitance : 2.464016;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("1.216408,1.140734,1.123779,1.110652,1.099422,1.090764,1.084764");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.638870,-0.639316,-0.639839,-0.640885,-0.642977,-0.647160,-0.655527");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 77.045040;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.013122,0.018860,0.025541,0.038848,0.065407,0.118485,0.224623", \
"0.019816,0.025604,0.032342,0.045702,0.072302,0.125403,0.231553", \
"0.025591,0.033460,0.040727,0.054022,0.080588,0.133677,0.239815", \
"0.031807,0.043416,0.054013,0.070647,0.097449,0.150377,0.256422", \
"0.036358,0.053557,0.069231,0.093558,0.129658,0.184351,0.289958", \
"0.034553,0.059528,0.082631,0.118569,0.171492,0.247225,0.358176", \
"0.016016,0.051679,0.084989,0.137662,0.215707,0.326690,0.482050");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.027104,0.041131,0.057091,0.088547,0.151038,0.275712,0.524782", \
"0.033237,0.047224,0.063448,0.095349,0.158321,0.283366,0.532656", \
"0.042710,0.056157,0.072110,0.103888,0.166954,0.292198,0.541671", \
"0.058985,0.075494,0.091081,0.122270,0.184999,0.310209,0.559805", \
"0.082689,0.105619,0.127102,0.161271,0.222837,0.347319,0.596598", \
"0.118480,0.150007,0.180070,0.228077,0.301327,0.424071,0.671921", \
"0.175163,0.217846,0.259067,0.325724,0.428391,0.580538,0.826571");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.007089,0.012011,0.017807,0.029391,0.052556,0.098879,0.191536", \
"0.007699,0.011996,0.017810,0.029393,0.052558,0.098884,0.191535", \
"0.011417,0.014776,0.018866,0.029393,0.052563,0.098881,0.191524", \
"0.018072,0.022273,0.026456,0.033860,0.052881,0.098876,0.191509", \
"0.029584,0.035411,0.040879,0.049850,0.064685,0.100307,0.191509", \
"0.048816,0.057638,0.065551,0.077728,0.096567,0.126632,0.195354", \
"0.081641,0.094842,0.106972,0.124810,0.151095,0.189961,0.250485");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.017832,0.030488,0.045410,0.075270,0.135022,0.254553,0.493381", \
"0.017836,0.030479,0.045409,0.075267,0.135022,0.254528,0.493379", \
"0.018918,0.030470,0.045413,0.075269,0.135028,0.254531,0.493391", \
"0.027232,0.034742,0.046450,0.075273,0.135033,0.254551,0.493372", \
"0.039979,0.050148,0.060136,0.080432,0.134996,0.254531,0.493344", \
"0.059844,0.074193,0.087827,0.109981,0.149261,0.254508,0.493431", \
"0.092235,0.111873,0.131106,0.161598,0.209017,0.287370,0.493933");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.015851,0.021551,0.028218,0.041516,0.068066,0.121144,0.227283", \
"0.022951,0.028771,0.035510,0.048869,0.075466,0.128579,0.234739", \
"0.029300,0.036025,0.043046,0.056471,0.083125,0.136269,0.242452", \
"0.038085,0.046992,0.055762,0.071010,0.098130,0.151388,0.257636", \
"0.047651,0.061323,0.073779,0.093791,0.126159,0.181300,0.287786", \
"0.052499,0.074640,0.094393,0.124504,0.168680,0.236104,0.347622", \
"0.042786,0.077290,0.108319,0.156002,0.223697,0.317634,0.455698");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.037410,0.051142,0.067060,0.098578,0.161222,0.286051,0.535197", \
"0.043752,0.057699,0.073799,0.105545,0.168413,0.293414,0.542682", \
"0.052888,0.066668,0.082680,0.114411,0.177328,0.302430,0.551770", \
"0.071971,0.085960,0.101658,0.133031,0.195723,0.320753,0.570123", \
"0.101300,0.120714,0.140027,0.172090,0.233837,0.358231,0.607262", \
"0.144617,0.171674,0.198539,0.243037,0.312765,0.435276,0.682931", \
"0.210912,0.248064,0.285177,0.347133,0.444897,0.592703,0.837925");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.007088,0.012012,0.017808,0.029394,0.052561,0.098885,0.191525", \
"0.007157,0.012009,0.017808,0.029398,0.052563,0.098881,0.191525", \
"0.008750,0.013053,0.018201,0.029395,0.052553,0.098882,0.191518", \
"0.012285,0.016661,0.021683,0.031467,0.052760,0.098883,0.191520", \
"0.020253,0.024986,0.029931,0.039497,0.058647,0.099794,0.191500", \
"0.035980,0.042033,0.047784,0.057502,0.075817,0.113191,0.193991", \
"0.064168,0.072904,0.081095,0.093575,0.113234,0.148951,0.222496");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.023374,0.036191,0.051281,0.081406,0.141499,0.261372,0.500589", \
"0.023368,0.036189,0.051289,0.081403,0.141470,0.261364,0.500589", \
"0.023348,0.036186,0.051285,0.081395,0.141459,0.261364,0.500586", \
"0.027753,0.037649,0.051329,0.081397,0.141471,0.261364,0.500612", \
"0.040733,0.051016,0.061195,0.084369,0.141458,0.261366,0.500596", \
"0.060415,0.074862,0.088780,0.111206,0.152804,0.261285,0.500614", \
"0.090290,0.111367,0.131308,0.162524,0.210356,0.290757,0.500895");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.261930,0.279347,0.283200,0.287130,0.288896,0.288770,0.288249", \
"0.188146,0.223955,0.243180,0.263796,0.270391,0.279894,0.284740", \
"0.158837,0.194209,0.220573,0.244045,0.260928,0.273457,0.279512", \
"0.241646,0.213733,0.201332,0.229330,0.250330,0.265116,0.275011", \
"0.398284,0.351187,0.315393,0.266158,0.260986,0.272290,0.278850", \
"0.776340,0.676937,0.602072,0.504020,0.397262,0.334926,0.315734", \
"1.555851,1.426976,1.295280,1.104830,0.880610,0.653434,0.488270");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("2.415264,2.460380,2.463435,2.485580,2.448371,2.528064,2.506143", \
"2.245416,2.315297,2.362074,2.421168,2.417739,2.433298,2.513071", \
"2.222309,2.252039,2.286602,2.348117,2.394772,2.425992,2.430247", \
"2.286047,2.284833,2.280512,2.295819,2.304220,2.340867,2.444508", \
"2.356186,2.435983,2.397972,2.376587,2.293295,2.396600,2.408801", \
"2.631340,2.650748,2.679169,2.633670,2.569942,2.479028,2.412357", \
"3.454876,3.400459,3.345406,3.288242,3.170539,2.949807,2.743204");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.273864,0.281563,0.285222,0.284256,0.285229,0.286139,0.289421", \
"0.191906,0.235269,0.247748,0.267245,0.274279,0.280859,0.283520", \
"0.157858,0.196710,0.221392,0.246832,0.260448,0.277239,0.281646", \
"0.185621,0.183400,0.183505,0.213189,0.240758,0.261037,0.275740", \
"0.245080,0.235404,0.227399,0.210000,0.222682,0.249899,0.265690", \
"0.423708,0.382386,0.359515,0.321720,0.280008,0.256884,0.270918", \
"0.929750,0.838554,0.762725,0.660277,0.545905,0.431860,0.344338");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("3.331758,3.365479,3.396049,3.374283,3.373399,3.473202,3.474683", \
"3.196848,3.247836,3.289336,3.346848,3.335910,3.360226,3.456921", \
"3.170673,3.214244,3.254147,3.278766,3.331055,3.364078,3.466071", \
"3.194072,3.234009,3.247835,3.260869,3.263682,3.385402,3.405642", \
"3.317481,3.350339,3.350169,3.358393,3.359787,3.378024,3.391334", \
"3.551241,3.600377,3.656928,3.633648,3.564520,3.443740,3.507372", \
"4.290377,4.295100,4.291433,4.244138,4.191362,3.980012,3.775945");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X1
Cell Description : Combinational cell (ISO_FENCE1_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 8681.271000;
leakage_power () {
when : "!A & !EN";
value : 11756.630000;
}
leakage_power () {
when : "!A & EN";
value : 7080.445000;
}
leakage_power () {
when : "A & !EN";
value : 7608.626000;
}
leakage_power () {
when : "A & EN";
value : 8279.383000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.538179;
fall_capacitance : 0.526834;
rise_capacitance : 0.549523;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.087636,0.087131,0.086910,0.086771,0.086729,0.086703,0.086850");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.080142,-0.079753,-0.079382,-0.079257,-0.079230,-0.079308,-0.079518");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.634073;
fall_capacitance : 0.607448;
rise_capacitance : 0.660697;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.288105,0.287809,0.288152,0.288520,0.288753,0.288903,0.289196");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.147758,-0.147864,-0.147981,-0.148254,-0.148909,-0.150376,-0.153487");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 19.015090;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.117646,0.124242,0.134435,0.149941,0.173698,0.211586,0.275605", \
"0.120095,0.126699,0.136893,0.152402,0.176159,0.214047,0.278064", \
"0.126852,0.133420,0.143634,0.159130,0.182885,0.220780,0.284798", \
"0.143266,0.149829,0.160010,0.175512,0.199286,0.237183,0.301206", \
"0.178685,0.185293,0.195458,0.210836,0.234669,0.272612,0.336665", \
"0.237305,0.244969,0.256555,0.273377,0.298684,0.337994,0.402698", \
"0.323401,0.332899,0.347059,0.367144,0.395506,0.437285,0.504413");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.046394,0.056045,0.074261,0.108884,0.175083,0.302451,0.548229", \
"0.054264,0.063848,0.082049,0.116742,0.183055,0.310474,0.556295", \
"0.062947,0.072446,0.090597,0.125321,0.191712,0.319234,0.565146", \
"0.076043,0.085503,0.103513,0.138087,0.204539,0.332164,0.578192", \
"0.093536,0.102963,0.120659,0.154787,0.221034,0.348775,0.594862", \
"0.113134,0.123133,0.140942,0.174422,0.240505,0.368013,0.614131", \
"0.125712,0.137818,0.157595,0.191647,0.257163,0.384145,0.630053");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.020127,0.022528,0.026777,0.034222,0.047606,0.072494,0.121079", \
"0.020130,0.022528,0.026776,0.034227,0.047597,0.072495,0.121073", \
"0.020149,0.022549,0.026778,0.034230,0.047609,0.072495,0.121083", \
"0.020137,0.022559,0.026813,0.034250,0.047625,0.072498,0.121087", \
"0.020696,0.023006,0.027159,0.034510,0.047777,0.072598,0.121119", \
"0.026346,0.028407,0.032018,0.038669,0.051228,0.075025,0.122228", \
"0.035282,0.037536,0.041177,0.047106,0.058171,0.080535,0.127056");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.021230,0.029896,0.046807,0.079635,0.142959,0.264999,0.500196", \
"0.021244,0.029900,0.046808,0.079571,0.142956,0.264995,0.500195", \
"0.021266,0.029908,0.046794,0.079639,0.142947,0.264972,0.500197", \
"0.021637,0.030098,0.046816,0.079568,0.142891,0.264970,0.500197", \
"0.022765,0.030719,0.047143,0.079571,0.142799,0.264987,0.500198", \
"0.026505,0.033206,0.048165,0.080060,0.143065,0.264817,0.500203", \
"0.035077,0.040831,0.053158,0.081788,0.143739,0.265093,0.500325");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.131854,0.138437,0.148636,0.164137,0.187899,0.225779,0.289793", \
"0.138085,0.144664,0.154862,0.170365,0.194134,0.232024,0.296033", \
"0.146866,0.153405,0.163599,0.179103,0.202870,0.240755,0.304768", \
"0.163343,0.169923,0.180093,0.195588,0.219364,0.257251,0.321266", \
"0.194352,0.200904,0.211081,0.226226,0.250163,0.288082,0.352108", \
"0.245368,0.252634,0.263719,0.280017,0.304971,0.343852,0.408358", \
"0.324681,0.333171,0.346042,0.364646,0.392271,0.434045,0.501372");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.049406,0.059143,0.077503,0.112274,0.178685,0.306287,0.552209", \
"0.057193,0.066869,0.085182,0.119970,0.186410,0.314048,0.560014", \
"0.066263,0.075863,0.094097,0.128886,0.195433,0.323144,0.569167", \
"0.080998,0.090515,0.108641,0.143320,0.209853,0.337610,0.583762", \
"0.101396,0.110895,0.128683,0.162877,0.229256,0.357086,0.603266", \
"0.125685,0.135624,0.153442,0.187149,0.253319,0.380923,0.627190", \
"0.146112,0.157758,0.177057,0.210873,0.276554,0.403821,0.649876");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.020135,0.022531,0.026773,0.034227,0.047597,0.072502,0.121074", \
"0.020120,0.022534,0.026777,0.034234,0.047598,0.072492,0.121072", \
"0.020152,0.022536,0.026777,0.034229,0.047608,0.072497,0.121074", \
"0.020123,0.022535,0.026783,0.034241,0.047610,0.072495,0.121077", \
"0.020312,0.022701,0.026924,0.034332,0.047676,0.072531,0.121091", \
"0.023646,0.025973,0.030012,0.037165,0.050058,0.074138,0.121819", \
"0.029465,0.031946,0.036072,0.043188,0.055907,0.079662,0.126391");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.021705,0.030291,0.047127,0.079787,0.143091,0.265168,0.500350", \
"0.021672,0.030271,0.047107,0.079806,0.143084,0.265157,0.500348", \
"0.021666,0.030258,0.047100,0.079818,0.143191,0.265171,0.500350", \
"0.021885,0.030368,0.047108,0.079800,0.143043,0.265152,0.500352", \
"0.022870,0.030899,0.047366,0.079806,0.143040,0.265189,0.500359", \
"0.025980,0.032899,0.048171,0.080208,0.143151,0.265069,0.500386", \
"0.033334,0.039206,0.051968,0.081405,0.143722,0.265190,0.500518");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.092301,1.139156,1.197109,1.268404,1.344627,1.397377,1.424194", \
"1.064546,1.104731,1.162657,1.232285,1.312195,1.365903,1.390000", \
"1.053088,1.094580,1.150084,1.222888,1.299543,1.353008,1.379738", \
"1.052551,1.098081,1.155340,1.225839,1.300457,1.357657,1.382507", \
"1.083895,1.122813,1.183343,1.252436,1.329760,1.382772,1.410130", \
"1.129554,1.170051,1.230628,1.320963,1.399173,1.463540,1.489760", \
"1.276292,1.303829,1.354914,1.432461,1.520528,1.611500,1.678842");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.532962,0.543361,0.552398,0.558762,0.562285,0.572264,0.557278", \
"0.524773,0.534181,0.544200,0.556025,0.559991,0.570986,0.556473", \
"0.515922,0.520840,0.532877,0.540852,0.550375,0.547396,0.555490", \
"0.503642,0.507845,0.513480,0.518998,0.527222,0.548275,0.536799", \
"0.529005,0.525475,0.522959,0.521729,0.527574,0.551520,0.541894", \
"0.620286,0.619054,0.611726,0.596666,0.582718,0.603230,0.597711", \
"0.806119,0.811772,0.818499,0.817088,0.804838,0.793548,0.786142");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.319910,1.360215,1.419076,1.491565,1.568589,1.620282,1.645987", \
"1.292486,1.338523,1.398076,1.471274,1.540254,1.599795,1.623428", \
"1.287895,1.326488,1.387773,1.462432,1.533255,1.586502,1.613309", \
"1.274060,1.320204,1.377184,1.451263,1.523268,1.577392,1.604962", \
"1.279219,1.318188,1.376455,1.439982,1.520922,1.574722,1.602037", \
"1.255495,1.295681,1.365973,1.449218,1.526910,1.592430,1.618330", \
"1.270402,1.308016,1.363854,1.447006,1.547618,1.643225,1.710394");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.660420,0.675811,0.692306,0.706564,0.718885,0.722303,0.736028", \
"0.642702,0.653079,0.670372,0.681521,0.701060,0.714114,0.718972", \
"0.627490,0.640771,0.654871,0.667623,0.671859,0.698510,0.713674", \
"0.618518,0.630725,0.644428,0.659931,0.664569,0.692809,0.688056", \
"0.637809,0.637987,0.644476,0.653995,0.673241,0.681631,0.699762", \
"0.721548,0.722306,0.717342,0.710174,0.717259,0.725055,0.747230", \
"0.897314,0.906809,0.908902,0.896327,0.896036,0.874506,0.897680");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X2
Cell Description : Combinational cell (ISO_FENCE1_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 12874.687375;
leakage_power () {
when : "!A & !EN";
value : 14833.680000;
}
leakage_power () {
when : "!A & EN";
value : 11646.088000;
}
leakage_power () {
when : "A & !EN";
value : 12174.316500;
}
leakage_power () {
when : "A & EN";
value : 12844.665000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.529921;
fall_capacitance : 0.518645;
rise_capacitance : 0.541196;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.087800,0.087233,0.086994,0.086898,0.086812,0.086765,0.086861");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.080088,-0.079766,-0.079444,-0.079214,-0.079107,-0.079164,-0.079413");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.632206;
fall_capacitance : 0.605243;
rise_capacitance : 0.659169;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.288276,0.287957,0.288162,0.288552,0.288620,0.288928,0.289179");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.182071,-0.207448,-0.220543,-0.232149,-0.240783,-0.247162,-0.251767");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.580390;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.141713,0.152886,0.164689,0.182517,0.209496,0.252007,0.323432", \
"0.144514,0.155684,0.167497,0.185326,0.212317,0.254829,0.326253", \
"0.151033,0.162171,0.173968,0.191794,0.218782,0.261296,0.332720", \
"0.166930,0.178104,0.189869,0.207682,0.234665,0.277178,0.348610", \
"0.202179,0.213256,0.224951,0.242561,0.269551,0.312079,0.383524", \
"0.267912,0.280111,0.292743,0.311326,0.339114,0.382081,0.453754", \
"0.364553,0.379424,0.394827,0.416818,0.448064,0.494202,0.568482");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.043652,0.055948,0.072639,0.105244,0.169628,0.297617,0.553046", \
"0.051698,0.063918,0.080563,0.113180,0.177615,0.305670,0.561122", \
"0.060968,0.073067,0.089624,0.122211,0.186711,0.314877,0.570412", \
"0.076286,0.088358,0.104758,0.137194,0.201666,0.329919,0.585566", \
"0.097112,0.109525,0.125775,0.157884,0.222106,0.350323,0.606038", \
"0.121473,0.135444,0.152162,0.183644,0.247484,0.375317,0.630977", \
"0.141041,0.158594,0.177948,0.210462,0.273594,0.400831,0.656006");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.025204,0.028774,0.033014,0.040496,0.054058,0.079763,0.131006", \
"0.025194,0.028772,0.033015,0.040489,0.054067,0.079763,0.131001", \
"0.025196,0.028779,0.033021,0.040498,0.054060,0.079760,0.131001", \
"0.025238,0.028785,0.033036,0.040517,0.054073,0.079765,0.131010", \
"0.025281,0.028897,0.033154,0.040622,0.054149,0.079813,0.131028", \
"0.030763,0.033748,0.037334,0.043875,0.056265,0.080962,0.131564", \
"0.041958,0.045157,0.048617,0.054341,0.065372,0.088229,0.137107");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.015391,0.025665,0.040738,0.071285,0.132613,0.255162,0.499883", \
"0.015401,0.025671,0.040748,0.071293,0.132584,0.255178,0.499879", \
"0.015451,0.025689,0.040743,0.071293,0.132594,0.255155,0.499881", \
"0.016137,0.025996,0.040815,0.071289,0.132585,0.255183,0.499881", \
"0.018231,0.027204,0.041461,0.071506,0.132589,0.255196,0.499880", \
"0.023369,0.030879,0.043406,0.072217,0.132989,0.255129,0.499883", \
"0.033004,0.040294,0.050506,0.075280,0.133843,0.255618,0.500003");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.155754,0.166934,0.178720,0.196542,0.223530,0.266028,0.337448", \
"0.162219,0.173407,0.185191,0.203030,0.230013,0.272518,0.343947", \
"0.170920,0.182071,0.193869,0.211695,0.238683,0.281188,0.352611", \
"0.187293,0.198443,0.210251,0.228067,0.255045,0.297559,0.368987", \
"0.218361,0.229480,0.241295,0.258814,0.285804,0.328316,0.399742", \
"0.273734,0.285544,0.297909,0.316132,0.343705,0.386571,0.458184", \
"0.360843,0.374309,0.388346,0.408867,0.439198,0.485132,0.559461");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.046766,0.059201,0.076013,0.108775,0.173329,0.301542,0.557122", \
"0.054592,0.066984,0.083750,0.116491,0.181069,0.309324,0.564918", \
"0.064004,0.076283,0.092959,0.125657,0.190273,0.318595,0.574269", \
"0.080510,0.092689,0.109221,0.141784,0.206360,0.334749,0.590525", \
"0.103858,0.116328,0.132686,0.164670,0.229021,0.357368,0.613175", \
"0.132434,0.146215,0.162931,0.194531,0.258516,0.386507,0.642277", \
"0.159055,0.176024,0.194905,0.227200,0.290560,0.417927,0.673296");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.025203,0.028774,0.033005,0.040484,0.054070,0.079765,0.131002", \
"0.025209,0.028768,0.033016,0.040489,0.054062,0.079763,0.130997", \
"0.025204,0.028773,0.033012,0.040496,0.054060,0.079759,0.131001", \
"0.025215,0.028780,0.033015,0.040501,0.054063,0.079761,0.131002", \
"0.025226,0.028813,0.033068,0.040546,0.054097,0.079779,0.131012", \
"0.028244,0.031624,0.035590,0.042613,0.055469,0.080537,0.131369", \
"0.034915,0.038446,0.042434,0.049431,0.062141,0.086567,0.135947");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.015904,0.026102,0.041092,0.071560,0.132784,0.255322,0.500037", \
"0.015880,0.026085,0.041084,0.071561,0.132798,0.255332,0.500038", \
"0.015870,0.026080,0.041077,0.071552,0.132798,0.255331,0.500036", \
"0.016327,0.026247,0.041113,0.071548,0.132817,0.255341,0.500036", \
"0.018203,0.027304,0.041635,0.071699,0.132793,0.255337,0.500042", \
"0.022730,0.030470,0.043303,0.072344,0.133088,0.255327,0.500066", \
"0.031423,0.038660,0.049211,0.074739,0.133849,0.255721,0.500200");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.388326,1.452314,1.526128,1.617571,1.729745,1.827164,1.883814", \
"1.363094,1.419073,1.495748,1.588536,1.702951,1.796979,1.852483", \
"1.351927,1.407386,1.480504,1.578047,1.685229,1.788546,1.839651", \
"1.351729,1.412169,1.481502,1.576744,1.688537,1.786379,1.839749", \
"1.384186,1.437026,1.501988,1.595925,1.707169,1.802383,1.860831", \
"1.478382,1.526734,1.582599,1.671884,1.774850,1.879030,1.934059", \
"1.681322,1.697773,1.733310,1.803595,1.916212,2.034527,2.112986");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.924439,0.954338,0.983275,0.992413,1.000023,1.023805,1.011741", \
"0.918957,0.953460,0.976057,0.997038,1.000194,1.026392,1.015149", \
"0.909564,0.932486,0.954500,0.974882,0.995977,1.003392,1.016903", \
"0.903343,0.922512,0.932825,0.951039,0.982233,0.971118,1.010587", \
"0.926416,0.934070,0.939548,0.931684,0.953594,0.974486,0.982947", \
"1.011355,1.025857,1.013810,0.993161,1.010283,0.996265,1.046083", \
"1.183671,1.225102,1.233521,1.226140,1.210659,1.180127,1.179444");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.610320,1.674799,1.743469,1.841057,1.953663,2.048023,2.103756", \
"1.587635,1.652493,1.726985,1.824410,1.926238,2.029391,2.085224", \
"1.584153,1.639879,1.715692,1.811373,1.918446,2.017443,2.072098", \
"1.571125,1.634843,1.698374,1.801732,1.906645,2.010672,2.064262", \
"1.570150,1.632848,1.700112,1.793405,1.903057,2.001299,2.058543", \
"1.589861,1.655897,1.718787,1.806619,1.916138,2.015232,2.071989", \
"1.636517,1.678239,1.729081,1.820139,1.934644,2.070636,2.152003");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.041848,1.079556,1.113127,1.141165,1.162953,1.196834,1.192489", \
"1.028431,1.062782,1.089588,1.126901,1.147109,1.137833,1.178550", \
"1.017655,1.048503,1.072372,1.098897,1.109505,1.132592,1.176003", \
"1.004418,1.031340,1.057037,1.081428,1.116844,1.112470,1.159223", \
"1.031549,1.043917,1.051993,1.072162,1.097274,1.094336,1.144015", \
"1.112126,1.127570,1.133906,1.121200,1.126648,1.165163,1.175449", \
"1.283506,1.321777,1.324230,1.307800,1.293764,1.269443,1.322399");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X4
Cell Description : Combinational cell (ISO_FENCE1_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 25124.177375;
leakage_power () {
when : "!A & !EN";
value : 28460.005000;
}
leakage_power () {
when : "!A & EN";
value : 22940.039500;
}
leakage_power () {
when : "A & !EN";
value : 23932.029500;
}
leakage_power () {
when : "A & EN";
value : 25164.635500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.940480;
fall_capacitance : 0.922364;
rise_capacitance : 0.958595;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.163606,0.162567,0.162176,0.161797,0.161649,0.161651,0.161726");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.149470,-0.148512,-0.147813,-0.147410,-0.147287,-0.147417,-0.147745");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.092301;
fall_capacitance : 1.040419;
rise_capacitance : 1.144182;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.540940,0.540475,0.540673,0.541049,0.541162,0.541756,0.541879");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.341118,-0.388694,-0.413048,-0.434440,-0.450584,-0.462663,-0.471412");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.030840;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.133899,0.147043,0.158242,0.175297,0.201378,0.242880,0.313289", \
"0.136669,0.149803,0.160997,0.178067,0.204156,0.245664,0.316074", \
"0.143215,0.156335,0.167498,0.184587,0.210674,0.252182,0.322564", \
"0.159237,0.172338,0.183505,0.200544,0.226631,0.268138,0.338557", \
"0.194612,0.207627,0.218709,0.235479,0.261570,0.303097,0.373525", \
"0.258945,0.273441,0.285499,0.303336,0.330354,0.372402,0.443068", \
"0.353179,0.370893,0.385534,0.406647,0.436851,0.481831,0.554931");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.040879,0.055789,0.072438,0.104959,0.169183,0.296922,0.551905", \
"0.048910,0.063717,0.080319,0.112871,0.177163,0.304968,0.559968", \
"0.058131,0.072785,0.089304,0.121831,0.186183,0.314108,0.569207", \
"0.073013,0.087632,0.103988,0.136364,0.200697,0.328725,0.583937", \
"0.093009,0.108000,0.124209,0.156223,0.220317,0.348275,0.603550", \
"0.115939,0.132778,0.149275,0.180678,0.244361,0.371961,0.627166", \
"0.133419,0.154609,0.173470,0.205701,0.268614,0.395575,0.650284");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.024297,0.028444,0.032544,0.039860,0.053275,0.078880,0.130209", \
"0.024278,0.028448,0.032544,0.039859,0.053278,0.078882,0.130207", \
"0.024281,0.028450,0.032550,0.039869,0.053279,0.078883,0.130209", \
"0.024298,0.028465,0.032571,0.039884,0.053292,0.078887,0.130210", \
"0.024385,0.028605,0.032715,0.040008,0.053368,0.078931,0.130229", \
"0.030583,0.033886,0.037294,0.043710,0.055904,0.080307,0.130859", \
"0.042001,0.045621,0.048763,0.054228,0.064931,0.087545,0.136431");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.013966,0.026388,0.041464,0.071954,0.133073,0.255344,0.499755", \
"0.013966,0.026395,0.041472,0.071953,0.133057,0.255342,0.499732", \
"0.014034,0.026414,0.041474,0.071951,0.133055,0.255323,0.499751", \
"0.014821,0.026715,0.041542,0.071952,0.133054,0.255345,0.499737", \
"0.017061,0.027843,0.042177,0.072206,0.133070,0.255299,0.499731", \
"0.022447,0.031359,0.044016,0.072910,0.133539,0.255369,0.499757", \
"0.032199,0.040823,0.050941,0.075867,0.134448,0.256020,0.499870");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.147829,0.160965,0.172151,0.189209,0.215290,0.256790,0.327195", \
"0.154305,0.167426,0.178615,0.195677,0.221767,0.263270,0.333677", \
"0.163009,0.176156,0.187326,0.204383,0.230471,0.271973,0.342381", \
"0.179412,0.192535,0.203717,0.220776,0.246859,0.288363,0.358774", \
"0.210388,0.223451,0.234573,0.251385,0.277475,0.318991,0.389408", \
"0.264826,0.278772,0.290551,0.308181,0.334975,0.376915,0.447535", \
"0.350162,0.366056,0.379447,0.399144,0.428608,0.473548,0.546867");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.043943,0.059027,0.075791,0.108477,0.172885,0.300843,0.555980", \
"0.051771,0.066786,0.083508,0.116180,0.180616,0.308619,0.563775", \
"0.061174,0.076037,0.092672,0.125302,0.189788,0.317845,0.573091", \
"0.077366,0.092114,0.108602,0.141104,0.205551,0.333686,0.589023", \
"0.099961,0.115028,0.131320,0.163455,0.227682,0.355753,0.611140", \
"0.127270,0.143882,0.160416,0.191939,0.255795,0.383565,0.638908", \
"0.151885,0.172434,0.190818,0.222870,0.286060,0.413167,0.668061");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.024290,0.028446,0.032543,0.039865,0.053277,0.078880,0.130212", \
"0.024292,0.028445,0.032543,0.039862,0.053273,0.078884,0.130204", \
"0.024303,0.028448,0.032546,0.039861,0.053275,0.078883,0.130207", \
"0.024290,0.028453,0.032555,0.039868,0.053282,0.078887,0.130204", \
"0.024316,0.028515,0.032619,0.039925,0.053312,0.078901,0.130220", \
"0.027651,0.031558,0.035396,0.042278,0.054958,0.079806,0.130634", \
"0.034566,0.038531,0.042361,0.049151,0.061674,0.085942,0.135322");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.014495,0.026823,0.041820,0.072225,0.133275,0.255520,0.499904", \
"0.014455,0.026807,0.041806,0.072220,0.133293,0.255519,0.499904", \
"0.014448,0.026795,0.041796,0.072214,0.133293,0.255514,0.499904", \
"0.014994,0.026961,0.041836,0.072207,0.133271,0.255518,0.499904", \
"0.016983,0.027950,0.042354,0.072404,0.133296,0.255491,0.499910", \
"0.021743,0.030935,0.043910,0.073015,0.133689,0.255593,0.499931", \
"0.030440,0.039066,0.049570,0.075328,0.134420,0.256117,0.500060");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.568265,2.691260,2.816268,2.988123,3.175669,3.341661,3.430193", \
"2.506176,2.630751,2.752113,2.915271,3.114342,3.275941,3.372589", \
"2.486522,2.620842,2.735707,2.904237,3.094654,3.260216,3.350669", \
"2.482642,2.620561,2.729631,2.892562,3.087035,3.256790,3.349022", \
"2.554046,2.670518,2.775330,2.924417,3.121562,3.285741,3.389774", \
"2.746029,2.842687,2.937172,3.077687,3.266115,3.434854,3.525540", \
"3.163522,3.172765,3.225196,3.335979,3.514776,3.730141,3.871048");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("1.839260,1.918551,1.968458,2.021816,2.041319,2.059512,2.047804", \
"1.841025,1.913476,1.948864,1.983153,1.993719,2.051979,2.053018", \
"1.810160,1.871420,1.903180,1.936562,1.985917,1.963824,2.058924", \
"1.804784,1.841837,1.882047,1.890656,1.966153,1.956776,1.965863", \
"1.848693,1.867421,1.877096,1.900777,1.894534,1.972988,1.994897", \
"2.005990,2.041335,2.040329,2.016633,2.032137,2.040519,2.072618", \
"2.321437,2.430533,2.441342,2.410127,2.387975,2.341923,2.361161");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.985527,3.107430,3.224444,3.395739,3.591755,3.748815,3.843688", \
"2.945819,3.069559,3.191967,3.353712,3.555754,3.712450,3.808505", \
"2.921777,3.052829,3.167021,3.337641,3.530783,3.698308,3.786793", \
"2.915069,3.035264,3.158772,3.315292,3.517853,3.678985,3.769434", \
"2.916097,3.030219,3.147878,3.306445,3.496403,3.659932,3.756144", \
"2.948871,3.066071,3.171869,3.331351,3.521940,3.687063,3.783559", \
"3.050685,3.122275,3.208075,3.354722,3.560900,3.796620,3.943193");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("2.050752,2.159008,2.206434,2.274159,2.295197,2.367350,2.382609", \
"2.025412,2.114295,2.176741,2.200939,2.278354,2.327225,2.356913", \
"2.006076,2.085692,2.141399,2.185817,2.257756,2.243349,2.298589", \
"1.997886,2.057044,2.090281,2.151730,2.213609,2.210893,2.297332", \
"2.038431,2.075144,2.101202,2.136078,2.187758,2.187949,2.301271", \
"2.201468,2.234613,2.234865,2.205055,2.263205,2.260098,2.302442", \
"2.513602,2.610147,2.606107,2.587794,2.572198,2.578941,2.613103");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X1
Cell Description : Level-shifter cell (LS_HLEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_HLEN_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 907474.605492;
leakage_power () {
when : "!A & !ISOLN";
value : 904633.136000;
}
leakage_power () {
when : "!A & ISOLN";
value : 909167.529500;
}
leakage_power () {
when : "A & !ISOLN";
value : 906407.048467;
}
leakage_power () {
when : "A & ISOLN";
value : 909690.708000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.507892;
fall_capacitance : 0.483376;
rise_capacitance : 0.532408;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.218274,0.222033,0.222242,0.221581,0.219722,0.223548,0.218727");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.100535,-0.106517,-0.099542,-0.100490,-0.099999,-0.098810,-0.098022");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.593772;
fall_capacitance : 0.583596;
rise_capacitance : 0.603948;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.226800,0.215620,0.211758,0.208367,0.204156,0.206206,0.200996");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.132067,-0.135156,-0.127093,-0.127354,-0.127874,-0.128916,-0.130998");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.847300;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.106931,0.112877,0.121915,0.135548,0.156390,0.189683,0.245740", \
"0.114124,0.120135,0.129133,0.142787,0.163662,0.196944,0.253013", \
"0.124768,0.130735,0.139781,0.153414,0.174288,0.207569,0.263635", \
"0.148419,0.154331,0.163400,0.177045,0.197928,0.231224,0.287297", \
"0.198441,0.204344,0.213222,0.226525,0.247457,0.280784,0.336872", \
"0.294062,0.300788,0.310566,0.324699,0.346142,0.379767,0.435940", \
"0.452302,0.461124,0.473708,0.491154,0.515937,0.552403,0.610604");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.070041,0.081847,0.102959,0.140937,0.209990,0.335800,0.566104", \
"0.076967,0.088725,0.109805,0.147893,0.216933,0.342824,0.573171", \
"0.085162,0.096855,0.117906,0.155966,0.225085,0.351132,0.581547", \
"0.098588,0.110271,0.131238,0.169281,0.238409,0.364553,0.595112", \
"0.115295,0.127019,0.147902,0.185761,0.254882,0.381034,0.611663", \
"0.131256,0.142998,0.163606,0.201096,0.270457,0.396932,0.627543", \
"0.131491,0.144341,0.165833,0.202949,0.271661,0.397724,0.629002");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.019033,0.021538,0.025868,0.033317,0.046471,0.070569,0.116279", \
"0.019079,0.021526,0.025893,0.033320,0.046459,0.070566,0.116289", \
"0.019065,0.021551,0.025882,0.033327,0.046465,0.070582,0.116280", \
"0.019088,0.021599,0.025911,0.033345,0.046481,0.070565,0.116287", \
"0.019248,0.021744,0.026027,0.033431,0.046525,0.070604,0.116290", \
"0.023134,0.025190,0.028827,0.035447,0.047724,0.071223,0.116527", \
"0.032928,0.034884,0.038434,0.044367,0.055537,0.077239,0.120252");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.030279,0.040594,0.059894,0.095650,0.161198,0.280318,0.498884", \
"0.030270,0.040588,0.059890,0.095626,0.161117,0.280286,0.498883", \
"0.030313,0.040607,0.059896,0.095591,0.161083,0.280241,0.498886", \
"0.030642,0.040784,0.059940,0.095607,0.161078,0.280320,0.498880", \
"0.031599,0.041686,0.060682,0.095874,0.161025,0.280303,0.498878", \
"0.033903,0.042923,0.061248,0.096559,0.161530,0.280741,0.498888", \
"0.040279,0.047889,0.064066,0.097273,0.161766,0.281199,0.499818");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.125829,0.132175,0.141717,0.155991,0.177622,0.211706,0.268616", \
"0.133887,0.140251,0.149791,0.164088,0.185695,0.219791,0.276696", \
"0.144918,0.151281,0.160836,0.175104,0.196736,0.230835,0.287746", \
"0.168537,0.174915,0.184503,0.198798,0.220422,0.254530,0.311438", \
"0.218369,0.224715,0.234052,0.247967,0.269616,0.303744,0.360669", \
"0.317479,0.324121,0.333877,0.348157,0.370007,0.404261,0.461243", \
"0.487630,0.496291,0.508662,0.525835,0.550400,0.586772,0.645104");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.072559,0.084364,0.105493,0.143484,0.212533,0.338342,0.568650", \
"0.079876,0.091663,0.112776,0.150857,0.219877,0.345764,0.576076", \
"0.087199,0.098939,0.120031,0.158144,0.227232,0.353201,0.583554", \
"0.099985,0.111670,0.132726,0.170806,0.239992,0.366023,0.596471", \
"0.118296,0.130041,0.150933,0.188778,0.257946,0.384129,0.614688", \
"0.139543,0.151408,0.172045,0.209053,0.278251,0.404540,0.635095", \
"0.150397,0.163265,0.184904,0.222214,0.290894,0.416980,0.647939");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.020802,0.023198,0.027501,0.034903,0.048031,0.072125,0.117749", \
"0.020773,0.023197,0.027486,0.034898,0.048021,0.072113,0.117759", \
"0.020767,0.023199,0.027500,0.034910,0.048038,0.072116,0.117748", \
"0.020804,0.023227,0.027507,0.034911,0.048034,0.072117,0.117753", \
"0.020857,0.023305,0.027568,0.034970,0.048068,0.072138,0.117755", \
"0.022587,0.024717,0.028639,0.035702,0.048506,0.072368,0.117841", \
"0.032039,0.033912,0.037369,0.043350,0.054742,0.076843,0.120251");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.030274,0.040579,0.059886,0.095633,0.161148,0.280322,0.498885", \
"0.030282,0.040595,0.059881,0.095592,0.161084,0.280316,0.498885", \
"0.030298,0.040592,0.059878,0.095588,0.161077,0.280323,0.498881", \
"0.030486,0.040694,0.059899,0.095655,0.161126,0.280295,0.498879", \
"0.031253,0.041338,0.060204,0.095663,0.161073,0.280307,0.498890", \
"0.033116,0.042530,0.060964,0.096121,0.160961,0.280497,0.498880", \
"0.038571,0.046820,0.063514,0.097028,0.161400,0.280580,0.499265");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.858318,0.879518,0.910383,0.936988,0.961188,0.978692,0.980098", \
"0.823641,0.846694,0.875572,0.902242,0.926507,0.940164,0.948226", \
"0.807261,0.831074,0.856529,0.887349,0.908876,0.927356,0.930910", \
"0.796318,0.816890,0.847281,0.875551,0.900954,0.914891,0.922016", \
"0.794710,0.813133,0.839485,0.865111,0.890458,0.909432,0.915039", \
"0.796811,0.822003,0.851890,0.882423,0.909059,0.926038,0.929324", \
"0.784041,0.809155,0.844945,0.884792,0.928102,0.959956,0.974083");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.431241,0.439239,0.442661,0.449048,0.445612,0.456222,0.446428", \
"0.425519,0.430148,0.434758,0.439939,0.443291,0.438480,0.445201", \
"0.417813,0.422073,0.426988,0.433893,0.442217,0.438293,0.445639", \
"0.405435,0.408793,0.416831,0.418879,0.422518,0.419354,0.427580", \
"0.398566,0.402821,0.402799,0.405937,0.412126,0.409950,0.419041", \
"0.423473,0.422696,0.416997,0.415610,0.403120,0.419716,0.413745", \
"0.468501,0.468500,0.467300,0.455722,0.448984,0.447501,0.449919");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.028624,1.053397,1.086822,1.118600,1.151046,1.174653,1.184177", \
"1.000889,1.030557,1.058030,1.097203,1.127703,1.149430,1.160672", \
"0.990786,1.015592,1.047882,1.082052,1.114236,1.136617,1.147672", \
"0.981949,1.006144,1.035884,1.071343,1.098237,1.126542,1.140344", \
"0.980547,1.006122,1.032895,1.066394,1.097713,1.119852,1.132876", \
"0.985519,1.009274,1.043882,1.077551,1.110022,1.134141,1.149119", \
"0.979185,1.008765,1.047361,1.086438,1.137477,1.168143,1.187963");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.433215,0.438220,0.442681,0.446017,0.454254,0.448626,0.455133", \
"0.428934,0.433807,0.441282,0.447506,0.453471,0.448434,0.454936", \
"0.423666,0.428283,0.434197,0.438123,0.442172,0.437614,0.444441", \
"0.413856,0.418071,0.422407,0.426259,0.426144,0.438622,0.429767", \
"0.401733,0.405870,0.407777,0.412310,0.422354,0.419891,0.428418", \
"0.412652,0.411731,0.406436,0.401251,0.400954,0.413138,0.410860", \
"0.434111,0.433587,0.432844,0.428901,0.422588,0.406465,0.418650");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X2
Cell Description : Level-shifter cell (LS_HLEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_HLEN_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 909178.431117;
leakage_power () {
when : "!A & !ISOLN";
value : 905934.600000;
}
leakage_power () {
when : "!A & ISOLN";
value : 910469.913500;
}
leakage_power () {
when : "A & !ISOLN";
value : 907709.360467;
}
leakage_power () {
when : "A & ISOLN";
value : 912599.850500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.552978;
fall_capacitance : 0.530724;
rise_capacitance : 0.575231;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.218526,0.221963,0.221892,0.221146,0.219134,0.223058,0.218613");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.100038,-0.104922,-0.098453,-0.099548,-0.099506,-0.098721,-0.097994");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.639655;
fall_capacitance : 0.625054;
rise_capacitance : 0.654257;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.227019,0.215364,0.211388,0.207815,0.203722,0.206021,0.200958");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.166436,-0.170454,-0.163930,-0.166497,-0.167353,-0.168801,-0.169789");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.898460;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.125008,0.132640,0.142582,0.157900,0.181516,0.219647,0.285869", \
"0.132631,0.140296,0.150241,0.165574,0.189195,0.227322,0.293572", \
"0.143140,0.150791,0.160740,0.176057,0.199691,0.237830,0.304069", \
"0.166411,0.174027,0.183977,0.199291,0.222932,0.261078,0.327325", \
"0.216008,0.223578,0.233456,0.248219,0.271885,0.310060,0.376325", \
"0.315020,0.322955,0.333177,0.348482,0.372309,0.410642,0.476981", \
"0.483911,0.494494,0.507728,0.526647,0.553972,0.595148,0.663099");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.066363,0.077184,0.094318,0.127267,0.191796,0.319779,0.575044", \
"0.073429,0.084213,0.101304,0.134215,0.198873,0.326845,0.582125", \
"0.081910,0.092627,0.109631,0.142496,0.207115,0.335245,0.590629", \
"0.097159,0.107829,0.124763,0.157544,0.222136,0.350387,0.605906", \
"0.117844,0.128701,0.145760,0.178251,0.242694,0.370919,0.626492", \
"0.140090,0.151510,0.168648,0.200868,0.265361,0.393745,0.649258", \
"0.150137,0.163581,0.182326,0.215194,0.278841,0.406585,0.662487");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.022476,0.025080,0.029018,0.036057,0.048987,0.073947,0.124976", \
"0.022474,0.025075,0.029017,0.036056,0.048986,0.073949,0.124976", \
"0.022484,0.025094,0.029021,0.036059,0.048998,0.073947,0.124973", \
"0.022497,0.025112,0.029041,0.036084,0.048998,0.073958,0.124964", \
"0.022539,0.025195,0.029134,0.036158,0.049038,0.073968,0.124982", \
"0.025045,0.027157,0.030538,0.037097,0.049605,0.074256,0.125089", \
"0.036925,0.038875,0.041747,0.047082,0.057780,0.080042,0.127860");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.022199,0.030641,0.045217,0.075154,0.135809,0.257191,0.499442", \
"0.022193,0.030645,0.045227,0.075145,0.135885,0.257233,0.499444", \
"0.022219,0.030664,0.045232,0.075165,0.135803,0.257169,0.499450", \
"0.022606,0.030870,0.045319,0.075178,0.135790,0.257171,0.499445", \
"0.024124,0.032134,0.046378,0.075707,0.135784,0.257259,0.499444", \
"0.027875,0.034801,0.047889,0.076644,0.136773,0.257503,0.499442", \
"0.037324,0.042844,0.053560,0.079133,0.137453,0.258223,0.500284");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.143068,0.151231,0.161733,0.177741,0.202196,0.241239,0.308429", \
"0.151341,0.159536,0.170042,0.186068,0.210516,0.249565,0.316758", \
"0.162423,0.170579,0.181089,0.197094,0.221568,0.260624,0.327824", \
"0.185897,0.194075,0.204591,0.220615,0.245079,0.284137,0.351342", \
"0.235408,0.243542,0.253982,0.269421,0.293901,0.332981,0.400202", \
"0.335512,0.343734,0.354327,0.370141,0.394646,0.433809,0.501080", \
"0.514279,0.524880,0.538044,0.556856,0.584010,0.625138,0.693248");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.068890,0.079714,0.096853,0.129807,0.194370,0.322331,0.577578", \
"0.076268,0.087072,0.104198,0.137154,0.201751,0.329744,0.585011", \
"0.083741,0.094509,0.111581,0.144505,0.209142,0.337213,0.592529", \
"0.097338,0.108070,0.125109,0.157984,0.222625,0.350782,0.606216", \
"0.118082,0.128956,0.146016,0.178601,0.243157,0.371383,0.626923", \
"0.143974,0.155329,0.172623,0.204674,0.269114,0.397366,0.652896", \
"0.163233,0.176203,0.194850,0.227653,0.291858,0.419687,0.675355");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.024355,0.026893,0.030747,0.037733,0.050617,0.075544,0.126492", \
"0.024347,0.026887,0.030755,0.037733,0.050623,0.075555,0.126493", \
"0.024344,0.026922,0.030760,0.037747,0.050609,0.075556,0.126490", \
"0.024373,0.026918,0.030782,0.037748,0.050632,0.075546,0.126488", \
"0.024385,0.026986,0.030831,0.037801,0.050658,0.075560,0.126498", \
"0.025256,0.027714,0.031383,0.038188,0.050898,0.075685,0.126546", \
"0.036110,0.037961,0.040784,0.046150,0.056969,0.079518,0.128141");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.022199,0.030640,0.045220,0.075153,0.135851,0.257246,0.499444", \
"0.022208,0.030641,0.045224,0.075156,0.135807,0.257249,0.499445", \
"0.022203,0.030649,0.045225,0.075144,0.135841,0.257178,0.499450", \
"0.022455,0.030787,0.045282,0.075165,0.135801,0.257240,0.499442", \
"0.023625,0.031753,0.045966,0.075451,0.135793,0.257185,0.499449", \
"0.026329,0.033857,0.047398,0.076287,0.136240,0.257370,0.499450", \
"0.033374,0.039854,0.051721,0.078475,0.137094,0.257632,0.499825");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.045701,1.090771,1.135777,1.192271,1.249230,1.288012,1.305768", \
"1.022537,1.060605,1.109179,1.160843,1.221808,1.261524,1.278858", \
"1.004755,1.042471,1.093068,1.142783,1.203935,1.243344,1.263106", \
"0.988038,1.031351,1.075485,1.130262,1.187550,1.223548,1.245656", \
"0.985246,1.022685,1.067115,1.120039,1.166914,1.214580,1.238502", \
"0.996325,1.028418,1.070149,1.127160,1.172646,1.225404,1.247497", \
"0.994713,1.024725,1.069492,1.132919,1.199503,1.257021,1.285691");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.685857,0.699647,0.718038,0.735815,0.728549,0.760445,0.745859", \
"0.677698,0.695685,0.710217,0.727602,0.736640,0.734190,0.748816", \
"0.670298,0.687193,0.701065,0.717946,0.712121,0.738863,0.724762", \
"0.660683,0.671366,0.683394,0.699413,0.707497,0.727792,0.717061", \
"0.652888,0.662261,0.670982,0.679105,0.686805,0.689886,0.709498", \
"0.673861,0.682484,0.681892,0.680978,0.670419,0.685544,0.709841", \
"0.710490,0.719997,0.727549,0.726058,0.715193,0.722120,0.720007");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.214346,1.257799,1.305139,1.363236,1.423121,1.477921,1.507398", \
"1.194388,1.236882,1.288268,1.348678,1.411708,1.456807,1.486512", \
"1.178018,1.224391,1.275517,1.332657,1.394716,1.446614,1.473689", \
"1.170362,1.212955,1.261004,1.323126,1.374877,1.433277,1.462564", \
"1.170447,1.211481,1.255861,1.310747,1.377816,1.424922,1.455605", \
"1.180681,1.219071,1.264317,1.319350,1.378409,1.432916,1.464253", \
"1.184645,1.225680,1.274031,1.330749,1.399600,1.462883,1.494788");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.683811,0.702602,0.714202,0.731776,0.738046,0.746734,0.760804", \
"0.680670,0.699085,0.713561,0.724977,0.749310,0.750173,0.735084", \
"0.676046,0.693793,0.707105,0.724511,0.732188,0.751011,0.748611", \
"0.666991,0.678563,0.694670,0.705041,0.713105,0.736614,0.724026", \
"0.656417,0.665929,0.677826,0.680711,0.689018,0.723579,0.724050", \
"0.663758,0.671510,0.676788,0.675827,0.669569,0.691183,0.713272", \
"0.676742,0.687648,0.698889,0.689777,0.688962,0.682867,0.710302");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X4
Cell Description : Level-shifter cell (LS_HLEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_HLEN_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 915666.759055;
leakage_power () {
when : "!A & !ISOLN";
value : 909323.408000;
}
leakage_power () {
when : "!A & ISOLN";
value : 918128.079500;
}
leakage_power () {
when : "A & !ISOLN";
value : 912768.074722;
}
leakage_power () {
when : "A & ISOLN";
value : 922447.474000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.932256;
fall_capacitance : 0.884559;
rise_capacitance : 0.979953;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.422837,0.427062,0.427411,0.427456,0.426206,0.429867,0.425222");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.206309,-0.212694,-0.206660,-0.208178,-0.208048,-0.206606,-0.205221");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.073772;
fall_capacitance : 1.052343;
rise_capacitance : 1.095202;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.440636,0.414535,0.407475,0.401817,0.395878,0.396597,0.390877");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.329974,-0.334993,-0.329108,-0.333272,-0.337435,-0.339221,-0.338901");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.642560;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.116034,0.125956,0.135319,0.149872,0.172600,0.209789,0.275143", \
"0.123618,0.133543,0.142909,0.157474,0.180221,0.217405,0.282767", \
"0.134170,0.144093,0.153437,0.167994,0.190740,0.227939,0.293301", \
"0.157484,0.167358,0.176709,0.191256,0.214010,0.251250,0.316624", \
"0.207199,0.217009,0.226294,0.240413,0.263211,0.300428,0.365807", \
"0.305330,0.315935,0.325633,0.340246,0.363224,0.400620,0.466082", \
"0.470177,0.484371,0.497010,0.515176,0.541652,0.581950,0.649123");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.061375,0.075901,0.092944,0.125779,0.190182,0.317931,0.572723", \
"0.068436,0.082904,0.099897,0.132724,0.197174,0.324983,0.579806", \
"0.076886,0.091246,0.108157,0.140938,0.205442,0.333368,0.588287", \
"0.091650,0.105985,0.122813,0.155507,0.220002,0.348032,0.603128", \
"0.111109,0.125630,0.142569,0.175035,0.239431,0.367433,0.622524", \
"0.131264,0.146446,0.163410,0.195594,0.259952,0.388136,0.643180", \
"0.137620,0.155712,0.173983,0.206402,0.269941,0.397438,0.652930");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.020905,0.024359,0.028200,0.035142,0.047971,0.072942,0.124205", \
"0.020909,0.024360,0.028200,0.035135,0.047970,0.072940,0.124203", \
"0.020916,0.024363,0.028210,0.035140,0.047969,0.072940,0.124207", \
"0.020929,0.024390,0.028239,0.035167,0.047983,0.072947,0.124204", \
"0.020984,0.024501,0.028339,0.035248,0.048024,0.072963,0.124210", \
"0.024405,0.027001,0.030171,0.036439,0.048726,0.073326,0.124341", \
"0.036395,0.038891,0.041543,0.046733,0.057293,0.079511,0.127428");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.019938,0.031249,0.045890,0.075807,0.136274,0.257393,0.499451", \
"0.019946,0.031256,0.045895,0.075802,0.136273,0.257388,0.499458", \
"0.019971,0.031278,0.045906,0.075807,0.136273,0.257401,0.499454", \
"0.020455,0.031495,0.045992,0.075822,0.136279,0.257391,0.499450", \
"0.022004,0.032734,0.047101,0.076434,0.136303,0.257459,0.499449", \
"0.026033,0.035166,0.048435,0.077307,0.137470,0.257813,0.499455", \
"0.036036,0.043150,0.053804,0.079629,0.138012,0.258696,0.500299");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.133837,0.144405,0.154304,0.169534,0.193070,0.231140,0.297436", \
"0.142088,0.152706,0.162609,0.177853,0.201394,0.239468,0.305761", \
"0.153132,0.163737,0.173635,0.188880,0.212423,0.250500,0.316795", \
"0.176730,0.187303,0.197110,0.212349,0.235906,0.273988,0.340290", \
"0.226246,0.236791,0.246603,0.261257,0.284823,0.322920,0.389229", \
"0.326145,0.336893,0.346871,0.361937,0.385564,0.423760,0.490125", \
"0.501298,0.515503,0.528056,0.546061,0.572399,0.612654,0.679949");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.063881,0.078403,0.095450,0.128300,0.192695,0.320457,0.575236", \
"0.071232,0.085738,0.102767,0.135632,0.200080,0.327863,0.582651", \
"0.078684,0.093118,0.110105,0.142955,0.207449,0.335323,0.590149", \
"0.092121,0.106515,0.123426,0.156201,0.220710,0.348718,0.603662", \
"0.111959,0.126562,0.143570,0.176318,0.240761,0.368796,0.623853", \
"0.135957,0.151193,0.168370,0.200511,0.265006,0.393046,0.648068", \
"0.151870,0.169357,0.187562,0.220228,0.284189,0.411900,0.667122");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.022721,0.026102,0.029880,0.036769,0.049559,0.074508,0.125674", \
"0.022741,0.026097,0.029882,0.036764,0.049560,0.074508,0.125676", \
"0.022740,0.026106,0.029886,0.036767,0.049565,0.074512,0.125676", \
"0.022742,0.026118,0.029907,0.036788,0.049572,0.074507,0.125682", \
"0.022771,0.026170,0.029966,0.036836,0.049597,0.074524,0.125682", \
"0.024098,0.027093,0.030641,0.037302,0.049888,0.074670,0.125748", \
"0.035423,0.037802,0.040407,0.045636,0.056383,0.078935,0.127562");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.019942,0.031251,0.045887,0.075808,0.136291,0.257396,0.499452", \
"0.019944,0.031252,0.045889,0.075807,0.136260,0.257396,0.499456", \
"0.019947,0.031266,0.045897,0.075822,0.136284,0.257440,0.499449", \
"0.020253,0.031403,0.045953,0.075819,0.136277,0.257444,0.499449", \
"0.021463,0.032355,0.046666,0.076141,0.136282,0.257403,0.499452", \
"0.024290,0.034339,0.047980,0.076938,0.136877,0.257574,0.499452", \
"0.031839,0.040202,0.052130,0.079045,0.137649,0.258114,0.499826");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.963907,2.067195,2.150242,2.244103,2.341337,2.407008,2.437487", \
"1.907713,2.007485,2.095759,2.188316,2.276623,2.351767,2.383018", \
"1.867967,1.971792,2.054119,2.152693,2.236512,2.317731,2.348791", \
"1.847097,1.940145,2.025066,2.122344,2.214002,2.286777,2.318176", \
"1.841547,1.925836,2.009890,2.103064,2.192817,2.271399,2.307807", \
"1.854137,1.945186,2.014650,2.103985,2.211159,2.285837,2.324164", \
"1.863485,1.935643,2.010764,2.122706,2.242072,2.346304,2.397342");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.343655,1.386587,1.421266,1.439585,1.467483,1.457392,1.499883", \
"1.327977,1.376968,1.403140,1.421116,1.450818,1.460811,1.451029", \
"1.312409,1.357896,1.381193,1.398272,1.429920,1.465463,1.458938", \
"1.288754,1.321799,1.347614,1.362949,1.371320,1.397233,1.448263", \
"1.280942,1.305154,1.328128,1.329417,1.338402,1.391668,1.391757", \
"1.317437,1.344251,1.348080,1.339569,1.321378,1.385646,1.415785", \
"1.383738,1.422187,1.429205,1.424355,1.417664,1.411893,1.422018");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.275035,2.378432,2.477375,2.580955,2.688319,2.774167,2.823342", \
"2.239370,2.336519,2.435925,2.544490,2.648333,2.724716,2.773590", \
"2.216064,2.318076,2.411654,2.517525,2.610117,2.699022,2.758322", \
"2.187114,2.301251,2.386742,2.495026,2.599851,2.686967,2.736348", \
"2.190269,2.286201,2.371717,2.469356,2.580374,2.661601,2.723472", \
"2.209049,2.300976,2.380901,2.481493,2.581310,2.685462,2.739721", \
"2.232397,2.317849,2.401634,2.513297,2.646172,2.736230,2.808594");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.340492,1.391931,1.414655,1.443829,1.445584,1.485379,1.474568", \
"1.334106,1.384509,1.410658,1.428579,1.480312,1.490890,1.480218", \
"1.324832,1.363820,1.395024,1.424452,1.429696,1.461106,1.451444", \
"1.309752,1.345784,1.368491,1.408155,1.439785,1.466832,1.461140", \
"1.289685,1.319413,1.335806,1.362373,1.394451,1.397238,1.449965", \
"1.297605,1.322960,1.335099,1.330864,1.359249,1.349775,1.407663", \
"1.320212,1.356734,1.377569,1.379414,1.375428,1.374841,1.386098");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X1
Cell Description : Level-shifter cell (LS_HL_X1) with drive strength X1
*******************************************************************************************/
cell (LS_HL_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 906866.744500;
leakage_power () {
when : "!A";
value : 906545.216000;
}
leakage_power () {
when : "A";
value : 907188.273000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.564839;
fall_capacitance : 0.539416;
rise_capacitance : 0.590262;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.903190;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.092791,0.098281,0.106692,0.119491,0.139391,0.171758,0.227408", \
"0.100400,0.105878,0.114312,0.127080,0.147018,0.179415,0.235058", \
"0.111645,0.117141,0.125540,0.138350,0.158273,0.190731,0.246375", \
"0.135836,0.141321,0.149760,0.162581,0.182511,0.214917,0.270571", \
"0.186069,0.191586,0.199806,0.212437,0.232422,0.264866,0.320533", \
"0.278428,0.284967,0.294394,0.308029,0.328878,0.361726,0.417475", \
"0.429461,0.438028,0.450251,0.467045,0.490958,0.526548,0.584179");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.053825,0.065550,0.086554,0.124508,0.193627,0.320018,0.551771", \
"0.061448,0.073165,0.094259,0.132360,0.201669,0.328168,0.559962", \
"0.069465,0.081144,0.102228,0.140411,0.209832,0.336464,0.568379", \
"0.080939,0.092568,0.113580,0.151715,0.221213,0.347997,0.580023", \
"0.095346,0.106878,0.127571,0.165472,0.234889,0.361787,0.593868", \
"0.109021,0.120453,0.140862,0.178094,0.247594,0.374637,0.606715", \
"0.107289,0.119719,0.140729,0.177651,0.246561,0.372989,0.605173");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.017073,0.019505,0.023759,0.031139,0.044351,0.068880,0.115598", \
"0.017070,0.019528,0.023751,0.031153,0.044355,0.068877,0.115594", \
"0.017087,0.019528,0.023780,0.031153,0.044355,0.068876,0.115600", \
"0.017116,0.019568,0.023799,0.031166,0.044365,0.068878,0.115591", \
"0.017401,0.019781,0.023983,0.031303,0.044450,0.068913,0.115610", \
"0.022124,0.024116,0.027700,0.034208,0.046308,0.069788,0.115879", \
"0.031997,0.033848,0.037194,0.042902,0.053911,0.075795,0.119776");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.028377,0.038929,0.058473,0.094437,0.160297,0.280096,0.500142", \
"0.028373,0.038928,0.058462,0.094408,0.160287,0.280096,0.500143", \
"0.028378,0.038939,0.058475,0.094382,0.160181,0.280090,0.500134", \
"0.028571,0.039086,0.058487,0.094448,0.160223,0.280114,0.500140", \
"0.029076,0.039472,0.058891,0.094329,0.160056,0.280133,0.500143", \
"0.031043,0.040475,0.059327,0.094918,0.160207,0.280276,0.500143", \
"0.037598,0.045231,0.061692,0.095713,0.160629,0.280428,0.500710");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.774168,0.793863,0.820226,0.844797,0.863976,0.873035,0.878268", \
"0.743127,0.762775,0.786803,0.812876,0.826655,0.844520,0.849608", \
"0.733226,0.752569,0.778124,0.804103,0.823372,0.832973,0.838386", \
"0.724167,0.743431,0.769105,0.793076,0.810567,0.821782,0.828223", \
"0.726118,0.744857,0.767571,0.788659,0.813008,0.823119,0.831443", \
"0.722502,0.748140,0.774134,0.804114,0.827817,0.839921,0.847111", \
"0.710794,0.733941,0.765192,0.804081,0.843632,0.877984,0.888376");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.364785,0.368687,0.375106,0.379381,0.378663,0.366509,0.376811", \
"0.351112,0.358137,0.364566,0.363635,0.371609,0.360171,0.370760", \
"0.341694,0.345461,0.349655,0.355847,0.353593,0.359627,0.354594", \
"0.326372,0.333238,0.337433,0.344050,0.339330,0.346367,0.342264", \
"0.325622,0.326038,0.328165,0.326810,0.337333,0.346023,0.343205", \
"0.348416,0.348150,0.343307,0.330074,0.322326,0.333988,0.348330", \
"0.393872,0.394061,0.391817,0.387976,0.380067,0.371803,0.372460");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X2
Cell Description : Level-shifter cell (LS_HL_X2) with drive strength X2
*******************************************************************************************/
cell (LS_HL_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 908972.168500;
leakage_power () {
when : "!A";
value : 907847.480000;
}
leakage_power () {
when : "A";
value : 910096.857000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.563764;
fall_capacitance : 0.543009;
rise_capacitance : 0.584520;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.956400;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.113300,0.120345,0.129601,0.143975,0.166456,0.203370,0.268618", \
"0.121148,0.128199,0.137453,0.151837,0.174317,0.211243,0.276510", \
"0.132301,0.139328,0.148570,0.162937,0.185435,0.222357,0.287626", \
"0.156081,0.163110,0.172360,0.186739,0.209238,0.246169,0.311445", \
"0.206086,0.213068,0.222251,0.236157,0.258693,0.295652,0.360944", \
"0.303895,0.311538,0.321245,0.335740,0.358509,0.395651,0.461019", \
"0.467575,0.477720,0.490395,0.508570,0.534944,0.575021,0.642128");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.048663,0.059086,0.075875,0.108566,0.172955,0.301038,0.556849", \
"0.056639,0.066957,0.083725,0.116478,0.181024,0.309194,0.565065", \
"0.065560,0.075802,0.092519,0.125272,0.189934,0.318287,0.574245", \
"0.079603,0.089807,0.106388,0.139064,0.203817,0.332236,0.588341", \
"0.098294,0.108491,0.124975,0.157269,0.221815,0.350301,0.606488", \
"0.118932,0.129537,0.146006,0.177806,0.242064,0.370429,0.626533", \
"0.128356,0.140959,0.158798,0.190736,0.254236,0.381939,0.637905");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.021147,0.023661,0.027469,0.034370,0.047199,0.072299,0.123987", \
"0.021150,0.023657,0.027469,0.034371,0.047205,0.072292,0.123986", \
"0.021155,0.023661,0.027475,0.034385,0.047200,0.072299,0.123985", \
"0.021169,0.023688,0.027505,0.034397,0.047215,0.072301,0.123984", \
"0.021254,0.023804,0.027603,0.034481,0.047253,0.072325,0.124002", \
"0.024799,0.026676,0.029741,0.035883,0.048069,0.072721,0.124140", \
"0.036808,0.038580,0.041264,0.046376,0.056831,0.079045,0.127330");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.020235,0.029134,0.044294,0.074737,0.135674,0.257403,0.500246", \
"0.020248,0.029153,0.044281,0.074719,0.135736,0.257391,0.500238", \
"0.020269,0.029161,0.044275,0.074687,0.135689,0.257408,0.500236", \
"0.020700,0.029376,0.044321,0.074692,0.135723,0.257412,0.500236", \
"0.021929,0.030212,0.044917,0.074903,0.135573,0.257339,0.500243", \
"0.025558,0.032624,0.046178,0.075643,0.136154,0.257423,0.500237", \
"0.035035,0.040489,0.051339,0.077744,0.136978,0.257869,0.500591");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.978954,1.013126,1.061612,1.111749,1.154927,1.191693,1.210799", \
"0.952257,0.989468,1.034709,1.083068,1.132598,1.167589,1.178438", \
"0.940161,0.979743,1.020218,1.073901,1.116560,1.153389,1.172436", \
"0.931820,0.970336,1.008786,1.062411,1.112437,1.143785,1.160112", \
"0.931750,0.965376,1.004506,1.055002,1.099294,1.135797,1.158397", \
"0.935571,0.973149,1.012323,1.057746,1.112338,1.148653,1.167347", \
"0.934568,0.960581,1.006341,1.058540,1.122622,1.180341,1.204059");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.657284,0.670265,0.684638,0.693811,0.699898,0.702347,0.705642", \
"0.643723,0.660054,0.670949,0.687970,0.683687,0.697662,0.701392", \
"0.633359,0.643607,0.659447,0.670619,0.668395,0.696759,0.673104", \
"0.617596,0.626870,0.638363,0.655854,0.667900,0.665066,0.672796", \
"0.615595,0.618437,0.626907,0.633129,0.629339,0.641141,0.650708", \
"0.631851,0.634018,0.637489,0.625606,0.620559,0.651611,0.666344", \
"0.666614,0.675603,0.680499,0.675807,0.674197,0.669086,0.658643");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X4
Cell Description : Level-shifter cell (LS_HL_X4) with drive strength X4
*******************************************************************************************/
cell (LS_HL_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 913349.571750;
leakage_power () {
when : "!A";
value : 910680.960000;
}
leakage_power () {
when : "A";
value : 916018.183500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.614062;
fall_capacitance : 0.591213;
rise_capacitance : 0.636910;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.671480;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.146025,0.157850,0.168942,0.185905,0.211714,0.252461,0.321411", \
"0.154270,0.166108,0.177206,0.194181,0.220003,0.260765,0.329723", \
"0.165259,0.177085,0.188176,0.205144,0.230963,0.271731,0.340690", \
"0.188851,0.200650,0.211739,0.228704,0.254531,0.295300,0.364260", \
"0.238358,0.250144,0.261107,0.277584,0.303430,0.344201,0.413165", \
"0.338526,0.350368,0.361447,0.378155,0.404043,0.444911,0.513930", \
"0.518053,0.533081,0.546609,0.566022,0.594383,0.637020,0.706649");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.053724,0.067921,0.084771,0.117445,0.181711,0.309371,0.564121", \
"0.061837,0.075949,0.092749,0.125446,0.189785,0.317545,0.572305", \
"0.071280,0.085277,0.102017,0.134695,0.199142,0.326994,0.581866", \
"0.087751,0.101646,0.118254,0.150888,0.215342,0.343322,0.598312", \
"0.111365,0.125421,0.141954,0.174049,0.238279,0.366197,0.621285", \
"0.140166,0.154974,0.171682,0.203460,0.267421,0.395062,0.649982", \
"0.163712,0.181503,0.199579,0.231754,0.294940,0.421854,0.676346");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.029625,0.033309,0.037398,0.044676,0.057870,0.082993,0.133702", \
"0.029613,0.033307,0.037399,0.044670,0.057875,0.082990,0.133701", \
"0.029612,0.033313,0.037403,0.044670,0.057880,0.082996,0.133701", \
"0.029622,0.033321,0.037421,0.044694,0.057883,0.082996,0.133699", \
"0.029614,0.033377,0.037479,0.044741,0.057918,0.083009,0.133707", \
"0.030613,0.034098,0.038029,0.045143,0.058171,0.083150,0.133761", \
"0.043794,0.046286,0.049060,0.054408,0.065172,0.087407,0.135526");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.019475,0.031043,0.045907,0.076045,0.136543,0.257692,0.499723", \
"0.019484,0.031047,0.045915,0.076042,0.136541,0.257738,0.499729", \
"0.019513,0.031060,0.045919,0.076045,0.136612,0.257742,0.499730", \
"0.019929,0.031205,0.045961,0.076046,0.136568,0.257725,0.499726", \
"0.021789,0.032400,0.046708,0.076297,0.136559,0.257710,0.499729", \
"0.026383,0.035430,0.048626,0.077376,0.137187,0.257803,0.499731", \
"0.037287,0.044328,0.054898,0.080499,0.138484,0.258579,0.500056");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.524306,1.593715,1.665114,1.744271,1.836896,1.911620,1.955185", \
"1.500746,1.575775,1.638251,1.720823,1.809358,1.888737,1.931132", \
"1.482283,1.560444,1.626278,1.713290,1.798855,1.869504,1.915759", \
"1.475414,1.542216,1.614494,1.692796,1.781029,1.861342,1.902757", \
"1.465616,1.538345,1.605102,1.677134,1.760956,1.844119,1.894907", \
"1.478765,1.546365,1.606934,1.683489,1.766706,1.840133,1.898285", \
"1.518003,1.567224,1.625771,1.700137,1.787898,1.879549,1.927319");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.266475,1.307808,1.334827,1.369085,1.359109,1.397191,1.385898", \
"1.260922,1.298934,1.321392,1.356684,1.349317,1.394151,1.382991", \
"1.245874,1.282232,1.307045,1.317919,1.339683,1.338647,1.384098", \
"1.221493,1.252610,1.281369,1.304377,1.302163,1.312806,1.363250", \
"1.212408,1.240022,1.250141,1.271632,1.295916,1.325454,1.327929", \
"1.231463,1.253924,1.254913,1.268917,1.268595,1.303819,1.312462", \
"1.258805,1.289423,1.300589,1.288710,1.295010,1.308588,1.324212");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X1
Cell Description : Level-shifter cell (LS_LHEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_LHEN_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 12072.878250;
leakage_power () {
when : "!A & !ISOLN";
value : 9182.973000;
}
leakage_power () {
when : "!A & ISOLN";
value : 12677.162500;
}
leakage_power () {
when : "A & !ISOLN";
value : 10274.574900;
}
leakage_power () {
when : "A & ISOLN";
value : 16156.802600;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.474326;
fall_capacitance : 0.446212;
rise_capacitance : 0.502439;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.841379,0.832445,0.826819,0.820066,0.817304,0.827517,0.873336");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.487186,0.480611,0.475386,0.466188,0.456273,0.460758,0.491830");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.986443;
fall_capacitance : 0.985844;
rise_capacitance : 0.987042;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.337870,0.325962,0.322921,0.320392,0.318562,0.316962,0.314888");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.059707,-0.061717,-0.062624,-0.061983,-0.056098,-0.053015,-0.049341");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.474700;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.411699,0.418621,0.426597,0.438811,0.475231,0.538397,0.660773", \
"0.420043,0.426837,0.434879,0.447898,0.482963,0.546006,0.668401", \
"0.430387,0.437245,0.445330,0.457635,0.493998,0.557164,0.679540", \
"0.452019,0.458772,0.466854,0.479925,0.514800,0.577819,0.700175", \
"0.496663,0.503484,0.511119,0.524636,0.559423,0.622602,0.744998", \
"0.582725,0.589645,0.598732,0.611792,0.647019,0.710211,0.832586", \
"0.732520,0.739404,0.749233,0.768668,0.802879,0.866055,0.988445");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.409403,0.418553,0.433617,0.466455,0.531490,0.659356,0.910987", \
"0.418457,0.427586,0.442706,0.475833,0.540930,0.668772,0.920447", \
"0.428686,0.437820,0.452944,0.485812,0.550840,0.678712,0.930344", \
"0.449241,0.458338,0.473506,0.506465,0.571552,0.699408,0.951066", \
"0.486584,0.495690,0.510349,0.543464,0.608547,0.736403,0.988063", \
"0.545382,0.554801,0.570854,0.604767,0.669840,0.797673,1.049360", \
"0.626522,0.635936,0.651059,0.684207,0.749295,0.877116,1.128796");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.017986,0.021385,0.027902,0.040675,0.066507,0.119842,0.228461", \
"0.017972,0.021383,0.027903,0.040678,0.066499,0.119846,0.228474", \
"0.017970,0.021382,0.027897,0.040664,0.066506,0.119842,0.228461", \
"0.017981,0.021373,0.027902,0.040679,0.066501,0.119845,0.228456", \
"0.017972,0.021370,0.027901,0.040668,0.066489,0.119843,0.228468", \
"0.017966,0.021367,0.027903,0.040658,0.066498,0.119842,0.228488", \
"0.017957,0.021354,0.027867,0.040638,0.066482,0.119840,0.228473");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.022858,0.030033,0.044912,0.075572,0.137469,0.260051,0.500893", \
"0.022858,0.030042,0.044902,0.075567,0.137515,0.260047,0.500892", \
"0.022859,0.030038,0.044913,0.075577,0.137467,0.260053,0.500891", \
"0.022858,0.030039,0.044907,0.075575,0.137509,0.260058,0.500889", \
"0.022855,0.030036,0.044912,0.075574,0.137495,0.260062,0.500888", \
"0.022860,0.030037,0.044913,0.075564,0.137486,0.260053,0.500895", \
"0.022768,0.030023,0.044918,0.075561,0.137507,0.260060,0.500892");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.076441,0.083118,0.094294,0.113290,0.146831,0.209525,0.331581", \
"0.083175,0.089846,0.101040,0.120041,0.153595,0.216280,0.338347", \
"0.092047,0.098702,0.109894,0.128902,0.162470,0.225166,0.347235", \
"0.111018,0.117618,0.128821,0.147867,0.181466,0.244191,0.366276", \
"0.146104,0.153225,0.164642,0.184069,0.217871,0.280652,0.402733", \
"0.197212,0.205649,0.218792,0.239992,0.275446,0.339207,0.461219", \
"0.270424,0.280845,0.297193,0.321825,0.360360,0.426058,0.549153");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.178754,0.188241,0.205822,0.239196,0.304232,0.432136,0.683812", \
"0.185805,0.195289,0.212844,0.246217,0.311304,0.439155,0.690809", \
"0.192812,0.202288,0.219877,0.253238,0.318291,0.446142,0.697803", \
"0.205719,0.215250,0.232761,0.266108,0.331171,0.459006,0.710713", \
"0.230236,0.239540,0.256253,0.289620,0.354672,0.482537,0.734224", \
"0.278063,0.287508,0.303477,0.336586,0.401623,0.529444,0.781138", \
"0.357793,0.367631,0.383409,0.416129,0.481243,0.609299,0.861164");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.015863,0.019413,0.026160,0.039264,0.065464,0.119197,0.228043", \
"0.015880,0.019423,0.026167,0.039249,0.065464,0.119203,0.228057", \
"0.015892,0.019437,0.026178,0.039268,0.065467,0.119213,0.228053", \
"0.016012,0.019558,0.026262,0.039314,0.065479,0.119209,0.228046", \
"0.018226,0.021437,0.027671,0.040122,0.065852,0.119287,0.228051", \
"0.023324,0.026469,0.032357,0.044136,0.068751,0.120618,0.228138", \
"0.031775,0.035097,0.040964,0.051706,0.074356,0.124009,0.230114");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.022926,0.030094,0.044940,0.075611,0.137551,0.260062,0.500892", \
"0.022946,0.030101,0.044943,0.075614,0.137559,0.260050,0.500895", \
"0.022951,0.030103,0.044934,0.075586,0.137504,0.260053,0.500896", \
"0.022953,0.030107,0.044940,0.075610,0.137532,0.260043,0.500892", \
"0.022941,0.030097,0.044939,0.075576,0.137518,0.260065,0.500892", \
"0.023410,0.030483,0.045236,0.075727,0.137466,0.260048,0.500891", \
"0.025519,0.032070,0.046179,0.076182,0.137879,0.259941,0.500913");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("4.877692,4.925730,4.942966,4.896475,4.956620,4.974596,4.984994", \
"4.868840,4.917451,4.930161,4.899829,4.944230,4.957212,4.967504", \
"4.861831,4.910444,4.926122,4.884428,4.946207,4.961377,4.971787", \
"4.855391,4.906248,4.917658,4.885781,4.929419,4.941904,4.952077", \
"4.853804,4.904590,4.916977,4.888520,4.928969,4.945162,4.955489", \
"4.899185,4.954318,4.985975,4.952487,4.997579,5.012859,5.024460", \
"5.151610,5.198437,5.236682,5.287165,5.321693,5.336898,5.348376");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("4.146879,4.168417,4.166203,4.175366,4.177096,4.167208,4.179320", \
"4.141939,4.163792,4.160711,4.158192,4.172149,4.183033,4.170928", \
"4.126805,4.146824,4.136321,4.140987,4.152254,4.166481,4.154316", \
"4.098368,4.113986,4.110540,4.111502,4.124150,4.138668,4.126236", \
"4.064657,4.086716,4.074226,4.078933,4.091622,4.105863,4.104845", \
"4.067356,4.091708,4.099328,4.108017,4.108307,4.122485,4.134542", \
"4.098483,4.121838,4.121387,4.118361,4.134355,4.148800,4.136641");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.216843,1.257059,1.294600,1.327803,1.343961,1.351054,1.357338", \
"1.184110,1.219460,1.261432,1.290896,1.307829,1.314241,1.318648", \
"1.168284,1.206104,1.245703,1.277056,1.291418,1.298799,1.302947", \
"1.160904,1.197371,1.237553,1.268434,1.285812,1.292818,1.298567", \
"1.170683,1.211784,1.255075,1.286197,1.306492,1.314613,1.321063", \
"1.170302,1.217963,1.278810,1.343571,1.385780,1.395480,1.401905", \
"1.289411,1.329857,1.394370,1.467483,1.528490,1.584562,1.605369");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.667169,1.691259,1.716781,1.717997,1.720827,1.735387,1.747240", \
"1.666458,1.688496,1.707150,1.727758,1.724621,1.739038,1.727487", \
"1.670477,1.695985,1.712917,1.732095,1.722283,1.736915,1.749357", \
"1.683791,1.709108,1.733318,1.748665,1.751958,1.755863,1.754647", \
"1.747172,1.767670,1.785643,1.794415,1.794570,1.808933,1.800747", \
"1.874001,1.895394,1.904402,1.905464,1.917084,1.921269,1.921081", \
"2.148357,2.166753,2.161258,2.162785,2.168625,2.155847,2.175527");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X2
Cell Description : Level-shifter cell (LS_LHEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_LHEN_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 13043.650775;
leakage_power () {
when : "!A & !ISOLN";
value : 10073.363500;
}
leakage_power () {
when : "!A & ISOLN";
value : 13631.673000;
}
leakage_power () {
when : "A & !ISOLN";
value : 11116.533700;
}
leakage_power () {
when : "A & ISOLN";
value : 17353.032900;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.429274;
fall_capacitance : 0.415215;
rise_capacitance : 0.443332;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.765993,0.757534,0.753505,0.748804,0.746377,0.752704,0.801765");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.433217,0.429672,0.428117,0.419212,0.415298,0.424919,0.448931");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.851085;
fall_capacitance : 0.876462;
rise_capacitance : 0.825708;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.217041,0.205964,0.203219,0.201683,0.200955,0.200473,0.198921");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.021719,0.020297,0.019809,0.021588,0.026491,0.029746,0.032372");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.371780;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.474528,0.481468,0.491342,0.503515,0.521847,0.563054,0.636369", \
"0.482460,0.489424,0.499231,0.511396,0.528192,0.571402,0.644715", \
"0.492551,0.499492,0.509287,0.521272,0.539759,0.580814,0.654121", \
"0.513893,0.520831,0.530738,0.543246,0.561363,0.602367,0.675677", \
"0.558774,0.565732,0.575541,0.587266,0.605841,0.647105,0.720422", \
"0.648201,0.655148,0.665088,0.677491,0.695405,0.736673,0.809987", \
"0.814801,0.821767,0.831640,0.846287,0.871225,0.912349,0.985643");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.420342,0.430162,0.446427,0.474199,0.536040,0.664137,0.919430", \
"0.429319,0.439144,0.455428,0.483516,0.545210,0.673267,0.928612", \
"0.439416,0.449264,0.465567,0.493694,0.555430,0.683526,0.938821", \
"0.459486,0.469307,0.485593,0.513677,0.575522,0.703619,0.958914", \
"0.495775,0.505605,0.521782,0.548935,0.610938,0.739028,0.994326", \
"0.551382,0.561203,0.578160,0.610674,0.674541,0.802634,1.057931", \
"0.627415,0.637266,0.654073,0.684912,0.749456,0.877558,1.132861");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.020181,0.022627,0.026720,0.034247,0.048419,0.076546,0.134943", \
"0.020193,0.022624,0.026721,0.034242,0.048419,0.076544,0.134945", \
"0.020179,0.022627,0.026719,0.034246,0.048418,0.076557,0.134946", \
"0.020185,0.022624,0.026723,0.034243,0.048431,0.076553,0.134944", \
"0.020185,0.022623,0.026719,0.034251,0.048413,0.076548,0.134956", \
"0.020182,0.022625,0.026717,0.034242,0.048419,0.076559,0.134947", \
"0.020188,0.022619,0.026713,0.034235,0.048406,0.076548,0.134942");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.021621,0.028967,0.043182,0.072898,0.133851,0.256381,0.500635", \
"0.021616,0.028963,0.043172,0.072910,0.133882,0.256414,0.500632", \
"0.021622,0.028966,0.043172,0.072910,0.133855,0.256377,0.500634", \
"0.021619,0.028965,0.043171,0.072905,0.133857,0.256379,0.500635", \
"0.021622,0.028962,0.043173,0.072905,0.133854,0.256383,0.500634", \
"0.021621,0.028965,0.043174,0.072891,0.133859,0.256367,0.500634", \
"0.021539,0.028960,0.043190,0.072903,0.133874,0.256372,0.500630");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.098376,0.104977,0.114710,0.129940,0.154146,0.194587,0.267230", \
"0.104603,0.111202,0.120935,0.136173,0.160387,0.200827,0.273480", \
"0.113075,0.119679,0.129408,0.144641,0.168860,0.209305,0.281960", \
"0.131753,0.138339,0.147991,0.163237,0.187467,0.227922,0.300586", \
"0.170676,0.177329,0.187039,0.202181,0.226489,0.267005,0.339690", \
"0.234558,0.242554,0.253950,0.270881,0.296923,0.338615,0.411660", \
"0.328147,0.338273,0.352635,0.373503,0.403617,0.449258,0.525208");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.174105,0.183929,0.200931,0.233562,0.297904,0.425987,0.681319", \
"0.181211,0.191034,0.208071,0.240696,0.305028,0.433099,0.688443", \
"0.188002,0.197811,0.214834,0.247455,0.311786,0.439855,0.695187", \
"0.200578,0.210400,0.227477,0.260101,0.324431,0.452487,0.707802", \
"0.224611,0.234434,0.251089,0.282852,0.347196,0.475249,0.730572", \
"0.273167,0.283070,0.299681,0.329937,0.394228,0.522266,0.777583", \
"0.353522,0.363802,0.380652,0.410361,0.474624,0.602731,0.858205");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.018322,0.020846,0.025036,0.032693,0.047029,0.075352,0.133977", \
"0.018326,0.020851,0.025044,0.032700,0.047034,0.075345,0.133992", \
"0.018346,0.020856,0.025056,0.032702,0.047039,0.075357,0.133984", \
"0.018356,0.020886,0.025099,0.032731,0.047054,0.075359,0.133989", \
"0.019202,0.021545,0.025585,0.033063,0.047247,0.075451,0.134021", \
"0.025664,0.027802,0.031323,0.037973,0.050923,0.077514,0.134697", \
"0.035910,0.038345,0.042002,0.048270,0.060184,0.085080,0.139551");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.021690,0.029023,0.043207,0.072904,0.133865,0.256374,0.500629", \
"0.021701,0.029026,0.043213,0.072902,0.133859,0.256380,0.500631", \
"0.021709,0.029031,0.043217,0.072904,0.133856,0.256374,0.500629", \
"0.021712,0.029033,0.043220,0.072909,0.133859,0.256384,0.500634", \
"0.021712,0.029038,0.043216,0.072913,0.133856,0.256361,0.500635", \
"0.022306,0.029499,0.043554,0.073093,0.133885,0.256367,0.500631", \
"0.024734,0.031338,0.044677,0.073656,0.134305,0.256326,0.500656");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("4.695816,4.741352,4.794725,4.825051,4.822778,4.872467,4.896607", \
"4.688682,4.732247,4.786703,4.816562,4.795554,4.870559,4.895371", \
"4.681836,4.727539,4.781240,4.808572,4.808777,4.855933,4.879331", \
"4.678361,4.724359,4.777348,4.814512,4.806627,4.852735,4.876469", \
"4.678375,4.725351,4.781796,4.809787,4.804078,4.856036,4.880443", \
"4.709233,4.753172,4.811579,4.840988,4.831494,4.882247,4.905530", \
"4.938890,4.982964,5.034733,5.095829,5.169446,5.216517,5.243039");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("4.064619,4.091889,4.106254,4.065321,4.031308,4.045391,4.071514", \
"4.058862,4.081101,4.094440,4.054438,4.048106,4.035762,4.061375", \
"4.044464,4.066593,4.078135,4.038400,4.031689,4.021097,4.047289", \
"4.013335,4.035515,4.048448,4.008716,4.002919,3.996228,4.022308", \
"3.980631,4.007015,4.019847,3.974687,3.969280,3.970170,3.967591", \
"3.972426,3.996390,4.014631,4.015496,4.023418,4.037760,4.035285", \
"3.981940,4.010196,4.023392,4.012034,4.006485,4.017058,4.046080");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("1.053643,1.094282,1.154309,1.215920,1.278408,1.320319,1.335342", \
"1.026258,1.066378,1.125643,1.187337,1.251832,1.288847,1.304014", \
"1.012416,1.051935,1.109780,1.175613,1.233399,1.271929,1.288500", \
"1.004223,1.045444,1.100601,1.166751,1.227121,1.265788,1.281695", \
"1.020031,1.060077,1.110015,1.170533,1.234127,1.274171,1.292023", \
"1.046439,1.086286,1.141515,1.216772,1.282542,1.326757,1.344955", \
"1.155534,1.183677,1.225392,1.290277,1.371292,1.441684,1.479991");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("1.690591,1.719001,1.736765,1.757732,1.760065,1.782489,1.780305", \
"1.691420,1.714228,1.735679,1.756572,1.766175,1.759683,1.786074", \
"1.695989,1.722085,1.739642,1.760440,1.762615,1.784528,1.782424", \
"1.707847,1.729874,1.756806,1.763012,1.764679,1.786724,1.784847", \
"1.759237,1.787871,1.803317,1.812971,1.828455,1.821585,1.819705", \
"1.887627,1.906747,1.921263,1.910591,1.928613,1.933606,1.932005", \
"2.139177,2.154810,2.168415,2.153996,2.152551,2.141783,2.176620");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X4
Cell Description : Level-shifter cell (LS_LHEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_LHEN_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 15733.472050;
leakage_power () {
when : "!A & !ISOLN";
value : 12336.223000;
}
leakage_power () {
when : "!A & ISOLN";
value : 16426.090000;
}
leakage_power () {
when : "A & !ISOLN";
value : 13439.359600;
}
leakage_power () {
when : "A & ISOLN";
value : 20732.215600;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.384197;
fall_capacitance : 0.381891;
rise_capacitance : 0.386504;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.696113,0.686957,0.681690,0.678168,0.676686,0.680438,0.718881");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.387416,0.385860,0.383994,0.375635,0.374249,0.393716,0.415286");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.756402;
fall_capacitance : 0.778034;
rise_capacitance : 0.734770;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("0.161897,0.151257,0.149090,0.147490,0.147614,0.147288,0.146134");
}
rise_power(Hidden_power_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
values ("-0.012789,-0.013566,-0.013348,-0.012167,-0.010509,-0.009544,-0.008697");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 56.405120;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.500591,0.517153,0.532199,0.554973,0.588990,0.642012,0.730687", \
"0.508019,0.524583,0.539656,0.562376,0.596273,0.649294,0.737975", \
"0.517812,0.534374,0.549458,0.572609,0.606522,0.659552,0.748233", \
"0.538856,0.555419,0.570505,0.593183,0.627254,0.680295,0.768966", \
"0.583473,0.600035,0.614940,0.637476,0.671364,0.724392,0.813072", \
"0.674313,0.690874,0.705617,0.727824,0.761718,0.814798,0.903496", \
"0.849710,0.866264,0.881620,0.905403,0.939335,0.992408,1.081107");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.430988,0.449325,0.468522,0.503750,0.569038,0.696949,0.951876", \
"0.440018,0.458371,0.477550,0.512749,0.578204,0.706115,0.961039", \
"0.450562,0.468899,0.488061,0.523356,0.588864,0.716777,0.971704", \
"0.470756,0.489096,0.508268,0.543551,0.609014,0.736933,0.991851", \
"0.506680,0.525024,0.543698,0.578695,0.643978,0.771900,1.026817", \
"0.559520,0.577858,0.597944,0.634468,0.699493,0.827397,1.082325", \
"0.630218,0.648587,0.668455,0.704471,0.769488,0.897407,1.152342");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.034630,0.039779,0.045021,0.054137,0.070637,0.102032,0.165204", \
"0.034629,0.039778,0.045016,0.054135,0.070637,0.102030,0.165205", \
"0.034630,0.039780,0.045008,0.054135,0.070636,0.102036,0.165204", \
"0.034642,0.039781,0.045015,0.054139,0.070648,0.102028,0.165208", \
"0.034636,0.039777,0.045015,0.054135,0.070644,0.102030,0.165208", \
"0.034632,0.039780,0.045012,0.054141,0.070639,0.102027,0.165205", \
"0.034627,0.039782,0.045016,0.054140,0.070645,0.102027,0.165208");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.023927,0.034698,0.048212,0.076178,0.135078,0.256414,0.500450", \
"0.023923,0.034698,0.048211,0.076187,0.135084,0.256419,0.500452", \
"0.023927,0.034699,0.048214,0.076187,0.135084,0.256418,0.500452", \
"0.023933,0.034698,0.048212,0.076180,0.135080,0.256415,0.500450", \
"0.023921,0.034698,0.048211,0.076187,0.135081,0.256418,0.500453", \
"0.023926,0.034699,0.048210,0.076174,0.135079,0.256424,0.500450", \
"0.023878,0.034706,0.048214,0.076182,0.135080,0.256417,0.500448");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.163695,0.179830,0.194578,0.216807,0.250303,0.302841,0.390948", \
"0.170723,0.186847,0.201607,0.223840,0.257348,0.309881,0.397995", \
"0.179195,0.195321,0.210074,0.232305,0.265813,0.318348,0.406464", \
"0.197260,0.213369,0.228066,0.250289,0.283799,0.336338,0.424458", \
"0.235672,0.251747,0.266307,0.288336,0.321848,0.374401,0.462531", \
"0.313660,0.329894,0.344638,0.366743,0.400344,0.452993,0.541183", \
"0.437893,0.457558,0.475420,0.501198,0.538403,0.593975,0.683693");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.239201,0.257538,0.277253,0.311816,0.376829,0.504731,0.759652", \
"0.246303,0.264640,0.284401,0.318903,0.383926,0.511829,0.766750", \
"0.253332,0.271670,0.291461,0.325954,0.390955,0.518862,0.773775", \
"0.266037,0.284373,0.303827,0.338290,0.403299,0.531192,0.786100", \
"0.291134,0.309472,0.328788,0.362679,0.427695,0.555600,0.810516", \
"0.340023,0.358359,0.377459,0.410791,0.475793,0.603704,0.858629", \
"0.443562,0.463146,0.482645,0.516068,0.581068,0.708807,0.963626");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.033302,0.038439,0.043705,0.052875,0.069463,0.100933,0.164237", \
"0.033290,0.038446,0.043707,0.052880,0.069461,0.100940,0.164235", \
"0.033294,0.038437,0.043705,0.052880,0.069468,0.100939,0.164229", \
"0.033291,0.038437,0.043718,0.052890,0.069482,0.100945,0.164235", \
"0.033283,0.038463,0.043764,0.052948,0.069522,0.100960,0.164241", \
"0.035844,0.040294,0.045117,0.053881,0.070115,0.101302,0.164399", \
"0.050578,0.054860,0.059105,0.066376,0.080208,0.108257,0.167784");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.023955,0.034722,0.048232,0.076185,0.135086,0.256426,0.500452", \
"0.023957,0.034727,0.048236,0.076187,0.135081,0.256420,0.500451", \
"0.023964,0.034729,0.048237,0.076195,0.135086,0.256416,0.500453", \
"0.023966,0.034732,0.048238,0.076201,0.135079,0.256414,0.500451", \
"0.023964,0.034729,0.048235,0.076189,0.135080,0.256420,0.500451", \
"0.023924,0.034675,0.048190,0.076171,0.135065,0.256462,0.500452", \
"0.028273,0.038177,0.050858,0.077808,0.135808,0.256564,0.500450");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("3.908883,3.947551,3.998099,4.079622,4.178532,4.275998,4.334987", \
"3.900404,3.939516,3.989805,4.071870,4.169438,4.267127,4.326827", \
"3.901693,3.932137,3.981945,4.068187,4.171452,4.269815,4.328535", \
"3.896328,3.927129,3.979345,4.061005,4.161645,4.258544,4.318330", \
"3.898887,3.929323,3.979097,4.061800,4.153937,4.259461,4.316806", \
"3.913006,3.943735,3.989944,4.068882,4.170204,4.264942,4.325494", \
"4.056390,4.087484,4.140874,4.235109,4.335883,4.432306,4.492859");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("3.485840,3.573866,3.602030,3.648663,3.672720,3.703889,3.728229", \
"3.483841,3.572324,3.596946,3.631104,3.668832,3.688344,3.712456", \
"3.473348,3.561523,3.590001,3.626057,3.617402,3.678391,3.702492", \
"3.461324,3.539000,3.567851,3.614056,3.650147,3.668050,3.692594", \
"3.444091,3.521644,3.565835,3.585898,3.610776,3.607803,3.632220", \
"3.435332,3.512530,3.565115,3.590619,3.599064,3.663685,3.683913", \
"3.437589,3.525957,3.566741,3.604552,3.619595,3.617006,3.641178");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("1.439498,1.480277,1.531133,1.613206,1.708012,1.807287,1.858527", \
"1.424829,1.461689,1.513864,1.593432,1.693105,1.788968,1.839844", \
"1.415315,1.454350,1.506170,1.582369,1.688699,1.776610,1.830791", \
"1.407209,1.443638,1.494144,1.571019,1.671767,1.766269,1.819501", \
"1.416863,1.449386,1.495178,1.574236,1.673111,1.764340,1.815894", \
"1.490368,1.507332,1.544962,1.602289,1.688603,1.786150,1.838154", \
"1.696680,1.671481,1.672894,1.710037,1.789233,1.871700,1.920528");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("2.233255,2.310629,2.352850,2.388373,2.414162,2.449390,2.435746", \
"2.224295,2.312574,2.350256,2.366774,2.428915,2.426641,2.450868", \
"2.235040,2.312078,2.358507,2.394932,2.415613,2.412413,2.437329", \
"2.251188,2.328432,2.375311,2.400932,2.408563,2.468694,2.493707", \
"2.305295,2.393586,2.419706,2.457342,2.488588,2.485866,2.509890", \
"2.430515,2.517029,2.554977,2.574074,2.609426,2.606979,2.631084", \
"2.714140,2.790986,2.806811,2.823550,2.811667,2.873091,2.902703");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X1
Cell Description : Level-shifter cell (LS_LH_X1) with drive strength X1
*******************************************************************************************/
cell (LS_LH_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 8646.739750;
leakage_power () {
when : "!A";
value : 8202.095000;
}
leakage_power () {
when : "A";
value : 9091.384500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.383978;
fall_capacitance : 0.369877;
rise_capacitance : 0.398079;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.583140;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.280589,0.287210,0.298192,0.314017,0.339356,0.381922,0.458569", \
"0.288500,0.295125,0.305971,0.321871,0.347187,0.389764,0.466415", \
"0.298737,0.305352,0.316295,0.332096,0.357402,0.399971,0.476613", \
"0.320258,0.326860,0.337783,0.353570,0.378883,0.421461,0.498111", \
"0.365447,0.372064,0.382729,0.398575,0.423901,0.466426,0.543060", \
"0.455237,0.461859,0.472982,0.489311,0.514621,0.557229,0.633902", \
"0.606319,0.612942,0.623301,0.639427,0.664759,0.707345,0.783993");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.205355,0.217678,0.238061,0.273569,0.340411,0.468225,0.714054", \
"0.214407,0.226724,0.247090,0.282625,0.349448,0.477266,0.723087", \
"0.225221,0.237546,0.257852,0.293426,0.360200,0.488084,0.733896", \
"0.245999,0.258198,0.278724,0.314278,0.380942,0.508871,0.754752", \
"0.281504,0.293723,0.313588,0.348529,0.415312,0.543170,0.789015", \
"0.333043,0.345257,0.366227,0.401299,0.468030,0.595921,0.841767", \
"0.402356,0.414533,0.435741,0.471637,0.538344,0.666101,0.912072");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.017601,0.020276,0.025087,0.033804,0.050193,0.082326,0.147090", \
"0.017603,0.020272,0.025081,0.033802,0.050191,0.082342,0.147094", \
"0.017604,0.020277,0.025085,0.033802,0.050189,0.082325,0.147086", \
"0.017609,0.020293,0.025086,0.033800,0.050191,0.082345,0.147094", \
"0.017603,0.020278,0.025086,0.033802,0.050177,0.082323,0.147081", \
"0.017611,0.020288,0.025091,0.033801,0.050192,0.082335,0.147089", \
"0.017621,0.020311,0.025076,0.033798,0.050193,0.082340,0.147098");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.030233,0.037190,0.051101,0.080999,0.143410,0.265707,0.500856", \
"0.030207,0.037166,0.051080,0.081004,0.143401,0.265705,0.500855", \
"0.030150,0.037148,0.051061,0.080990,0.143409,0.265711,0.500855", \
"0.030101,0.037063,0.051026,0.080982,0.143371,0.265695,0.500855", \
"0.030037,0.037012,0.050974,0.080962,0.143420,0.265687,0.500852", \
"0.029899,0.036931,0.050941,0.080949,0.143385,0.265706,0.500851", \
"0.029941,0.036959,0.050897,0.080924,0.143332,0.265375,0.500854");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("2.909873,2.953015,3.010536,3.068242,3.105865,3.128334,3.135623", \
"2.904381,2.945151,3.002195,3.058886,3.097924,3.120133,3.126341", \
"2.900967,2.941108,3.001935,3.053453,3.092175,3.114144,3.120201", \
"2.894276,2.932616,2.994986,3.045477,3.085216,3.107442,3.114228", \
"2.898704,2.938836,2.992255,3.048623,3.090822,3.111430,3.117167", \
"2.987351,3.027410,3.089586,3.145120,3.188526,3.211027,3.218749", \
"3.240670,3.281430,3.328739,3.387371,3.428274,3.449885,3.455746");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("2.591517,2.714480,2.802491,2.812350,2.810075,2.823415,2.834404", \
"2.586190,2.708157,2.789829,2.809054,2.798373,2.811576,2.822860", \
"2.572094,2.695053,2.774686,2.797015,2.784909,2.799128,2.810601", \
"2.555480,2.675810,2.760321,2.778674,2.764773,2.779657,2.792667", \
"2.535704,2.665352,2.745733,2.751322,2.755818,2.770346,2.760535", \
"2.534406,2.655699,2.747853,2.757949,2.762684,2.755735,2.767753", \
"2.573756,2.693837,2.782187,2.802382,2.793903,2.809166,2.824706");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X2
Cell Description : Level-shifter cell (LS_LH_X2) with drive strength X2
*******************************************************************************************/
cell (LS_LH_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 10117.544550;
leakage_power () {
when : "!A";
value : 9335.665500;
}
leakage_power () {
when : "A";
value : 10899.423600;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.374907;
fall_capacitance : 0.362076;
rise_capacitance : 0.387739;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.409830;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.291951,0.298711,0.308887,0.324440,0.348714,0.389000,0.461507", \
"0.299772,0.306525,0.316694,0.332295,0.356485,0.396770,0.469277", \
"0.309954,0.316710,0.326870,0.342358,0.366538,0.406823,0.479333", \
"0.331431,0.338202,0.348342,0.363848,0.388000,0.428285,0.500791", \
"0.376624,0.383385,0.393520,0.408685,0.432835,0.473121,0.545628", \
"0.466732,0.473495,0.483660,0.500128,0.524188,0.564466,0.636963", \
"0.620131,0.626878,0.636697,0.652362,0.676678,0.716972,0.789479");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.212329,0.224557,0.243602,0.276987,0.341335,0.469402,0.725055", \
"0.221414,0.233624,0.252675,0.286114,0.350413,0.478479,0.734099", \
"0.232281,0.244439,0.263508,0.296954,0.361223,0.489304,0.744927", \
"0.252880,0.265078,0.284222,0.317652,0.382031,0.510016,0.765675", \
"0.288439,0.300646,0.319502,0.352117,0.416478,0.544483,0.800132", \
"0.340026,0.352155,0.371474,0.404556,0.468971,0.596985,0.852642", \
"0.409085,0.421175,0.440442,0.474184,0.538626,0.666542,0.922313");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.018639,0.021067,0.025122,0.032586,0.046739,0.074961,0.133745", \
"0.018636,0.021060,0.025122,0.032586,0.046742,0.074968,0.133737", \
"0.018634,0.021059,0.025119,0.032584,0.046739,0.074971,0.133750", \
"0.018629,0.021063,0.025123,0.032588,0.046750,0.074966,0.133740", \
"0.018639,0.021067,0.025122,0.032583,0.046748,0.074979,0.133747", \
"0.018637,0.021060,0.025117,0.032588,0.046747,0.074963,0.133739", \
"0.018618,0.021049,0.025128,0.032611,0.046757,0.074970,0.133740");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.029263,0.035599,0.047500,0.074267,0.133741,0.256038,0.500654", \
"0.029193,0.035580,0.047469,0.074238,0.133709,0.256033,0.500656", \
"0.029156,0.035533,0.047444,0.074248,0.133703,0.256072,0.500654", \
"0.029094,0.035464,0.047403,0.074227,0.133693,0.256064,0.500653", \
"0.029015,0.035382,0.047354,0.074203,0.133686,0.256029,0.500657", \
"0.028966,0.035339,0.047303,0.074185,0.133733,0.256069,0.500655", \
"0.028940,0.035301,0.047268,0.074155,0.133662,0.255714,0.500656");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("3.081386,3.122856,3.184673,3.262346,3.329994,3.367690,3.382655", \
"3.071176,3.115692,3.177251,3.254867,3.321439,3.359536,3.374224", \
"3.059449,3.110157,3.168312,3.245603,3.312219,3.351121,3.365669", \
"3.057711,3.104826,3.165475,3.242141,3.305126,3.344716,3.359465", \
"3.065443,3.105871,3.170366,3.241988,3.308190,3.344615,3.362410", \
"3.144489,3.184778,3.248225,3.335760,3.403355,3.442091,3.457061", \
"3.397779,3.445182,3.502103,3.570212,3.642463,3.683266,3.698527");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("2.743995,2.874025,2.982361,3.003720,3.010241,3.008698,3.032776", \
"2.727396,2.868528,2.969139,3.003105,2.994527,2.996571,3.019679", \
"2.724858,2.854055,2.960129,2.987199,2.977651,2.984816,3.007206", \
"2.696563,2.837273,2.939183,2.972719,2.980051,2.964424,2.987023", \
"2.686295,2.826202,2.926312,2.953754,2.960581,2.959184,2.953585", \
"2.686101,2.824887,2.923935,2.950807,2.941317,2.966773,2.960753", \
"2.723997,2.851293,2.961482,2.992127,2.999018,2.988744,3.015592");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X4
Cell Description : Level-shifter cell (LS_LH_X4) with drive strength X4
*******************************************************************************************/
cell (LS_LH_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 14110.419900;
leakage_power () {
when : "!A";
value : 12752.768000;
}
leakage_power () {
when : "A";
value : 15468.071800;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.376706;
fall_capacitance : 0.363856;
rise_capacitance : 0.389556;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.794830;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.321259,0.334669,0.347594,0.366503,0.395526,0.442234,0.523659", \
"0.329030,0.342434,0.355385,0.374355,0.403288,0.450005,0.531461", \
"0.339212,0.352613,0.365532,0.384388,0.413313,0.460020,0.541471", \
"0.360719,0.374123,0.387077,0.405909,0.434844,0.481561,0.563018", \
"0.405911,0.419321,0.432122,0.450720,0.479654,0.526370,0.607826", \
"0.496018,0.509410,0.522419,0.541881,0.570804,0.617516,0.698967", \
"0.649647,0.663085,0.675769,0.694954,0.723898,0.770599,0.852047");
}
cell_rise(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.222197,0.242642,0.262890,0.297065,0.361485,0.489511,0.745546", \
"0.231243,0.251735,0.271946,0.306113,0.370527,0.498562,0.754603", \
"0.242083,0.262554,0.282748,0.316911,0.381322,0.509376,0.765414", \
"0.262729,0.283130,0.303386,0.337536,0.401952,0.529992,0.786042", \
"0.298361,0.318763,0.338526,0.372192,0.436620,0.564675,0.820680", \
"0.350040,0.370380,0.390789,0.424599,0.489014,0.617026,0.873061", \
"0.418969,0.439292,0.459496,0.493545,0.557931,0.685888,0.941995");
}
fall_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.024741,0.029056,0.033696,0.042048,0.057633,0.088166,0.151147", \
"0.024743,0.029061,0.033698,0.042051,0.057634,0.088164,0.151148", \
"0.024745,0.029061,0.033700,0.042054,0.057635,0.088163,0.151149", \
"0.024733,0.029057,0.033703,0.042053,0.057638,0.088165,0.151150", \
"0.024741,0.029057,0.033701,0.042051,0.057630,0.088168,0.151148", \
"0.024728,0.029057,0.033701,0.042049,0.057632,0.088167,0.151146", \
"0.024770,0.029077,0.033709,0.042058,0.057635,0.088167,0.151153");
}
rise_transition(Timing_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.029241,0.038301,0.049509,0.075004,0.133408,0.255461,0.500794", \
"0.029258,0.038275,0.049489,0.074999,0.133408,0.255438,0.500793", \
"0.029202,0.038223,0.049456,0.074984,0.133406,0.255454,0.500793", \
"0.029095,0.038148,0.049391,0.074947,0.133405,0.255439,0.500794", \
"0.029004,0.038060,0.049330,0.074929,0.133389,0.255478,0.500797", \
"0.028993,0.038008,0.049274,0.074896,0.133395,0.255464,0.500796", \
"0.028927,0.037948,0.049230,0.074886,0.133356,0.255394,0.500805");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("3.634553,3.694662,3.772191,3.872382,3.972536,4.052314,4.087331", \
"3.623681,3.689342,3.765831,3.865390,3.963622,4.042137,4.076297", \
"3.610639,3.680687,3.757374,3.856510,3.956460,4.033507,4.068003", \
"3.616302,3.676299,3.755750,3.851451,3.948522,4.030003,4.062521", \
"3.618346,3.678561,3.756005,3.853243,3.953350,4.030632,4.065590", \
"3.697807,3.762446,3.837580,3.944879,4.043743,4.122173,4.158958", \
"3.952153,4.017791,4.091746,4.190271,4.292596,4.370493,4.407465");
}
rise_power(Power_7_7) {
index_1 ("0.00231025,0.015625,0.031250,0.062500,0.125000,0.250000,0.500000");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("3.141624,3.434798,3.548940,3.588962,3.557226,3.589925,3.632580", \
"3.138493,3.415825,3.547169,3.581472,3.597610,3.572368,3.615329", \
"3.121489,3.414513,3.537040,3.572582,3.582237,3.557571,3.600275", \
"3.106814,3.383220,3.513247,3.542279,3.563883,3.538944,3.582018", \
"3.097182,3.372739,3.498215,3.522973,3.513703,3.546735,3.530158", \
"3.097236,3.377539,3.488282,3.519708,3.527745,3.559951,3.544459", \
"3.115445,3.404633,3.521757,3.558141,3.562656,3.563546,3.609031");
}
}
}
}
}
/*
* End of file
*/