blob: 76fd144cd78778ffe6c45a849989566f271e8975 [file] [log] [blame]
/*
* ******************************************************************************
* * *
* * Copyright (C) 2004-2011, Nangate Inc. *
* * All rights reserved. *
* * *
* * Nangate and the Nangate logo are trademarks of Nangate Inc. *
* * *
* * All trademarks, logos, software marks, and trade names (collectively the *
* * "Marks") in this program are proprietary to Nangate or other respective *
* * owners that have granted Nangate the right and license to use such Marks. *
* * You are not permitted to use the Marks without the prior written consent *
* * of Nangate or such third party that may own the Marks. *
* * *
* * This file has been provided pursuant to a License Agreement containing *
* * restrictions on its use. This file contains valuable trade secrets and *
* * proprietary information of Nangate Inc., and is protected by U.S. and *
* * international laws and/or treaties. *
* * *
* * The copyright notice(s) in this file does not indicate actual or intended *
* * publication of this file. *
* * *
* * NGLibraryCharacterizer, v2011.05-QR02-2011-05-18_32 - build 1107011217 *
* * *
* ******************************************************************************
*
* Spice engine : Nanspice v2011.05-QR02-2011-05-18_32-1107011217
* Liberty export type : conditional
*
* Characterization Corner : low_temp
* Process : FastFast
* Temperature : -40C
* Voltage : 1.25V
*
****************************************************************************/
library (LowPowerOpenCellLibrary) {
/* Documentation Attributes */
date : "Wed 17 Aug 2011, 19:29:09";
revision : "revision 1.0";
comment : "Copyright (c) 2004-2010 Nangate Inc. All Rights Reserved.";
/* General Attributes */
technology (cmos);
delay_model : table_lookup;
in_place_swap_mode : match_footprint;
library_features (report_delay_calculation,report_power_calculation);
/* Units Attributes */
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit (1,ff);
/* Operation Conditions */
nom_process : 1.00;
nom_temperature : -40.00;
nom_voltage : 1.25;
voltage_map (VDD,1.25);
voltage_map (VSS,0.00);
voltage_map (VDDL,1.05);
voltage_map (VDDBAK,1.25);
voltage_map (VVDD,1.12);
define(process_corner, operating_conditions, string);
operating_conditions (low_temp) {
process_corner : "FastFast";
process : 1.00;
voltage : 1.25;
temperature : -40.00;
tree_type : balanced_tree;
}
default_operating_conditions : low_temp;
/* Threshold Definitions */
slew_lower_threshold_pct_fall : 30.00 ;
slew_lower_threshold_pct_rise : 30.00 ;
slew_upper_threshold_pct_fall : 70.00 ;
slew_upper_threshold_pct_rise : 70.00 ;
slew_derate_from_library : 1.00 ;
input_threshold_pct_fall : 50.00 ;
input_threshold_pct_rise : 50.00 ;
output_threshold_pct_fall : 50.00 ;
output_threshold_pct_rise : 50.00 ;
default_leakage_power_density : 0.00 ;
default_cell_leakage_power : 0.00 ;
/* Default Pin Attributes */
default_inout_pin_cap : 1.000000;
default_input_pin_cap : 1.000000;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.125146;
define(drive_strength, cell, float);
define(ng_build_equation, cell, string);
/* Wire load tables */
wire_load("1K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3207 );
fanout_length( 2, 2.9813 );
fanout_length( 3, 5.1135 );
fanout_length( 4, 7.6639 );
fanout_length( 5, 10.0334 );
fanout_length( 6, 12.2296 );
fanout_length( 8, 19.3185 );
}
wire_load("1K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3216 );
fanout_length( 2, 2.8855 );
fanout_length( 3, 4.6810 );
fanout_length( 4, 6.7976 );
fanout_length( 5, 9.4037 );
fanout_length( 6, 13.0170 );
fanout_length( 8, 24.1720 );
}
wire_load("1K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 6.283688;
fanout_length( 1, 1.3446 );
fanout_length( 2, 2.8263 );
fanout_length( 3, 4.7581 );
fanout_length( 4, 7.4080 );
fanout_length( 5, 10.9381 );
fanout_length( 6, 15.7314 );
fanout_length( 8, 29.7891 );
}
wire_load("3K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.8234 );
fanout_length( 2, 4.5256 );
fanout_length( 3, 7.5342 );
fanout_length( 4, 10.6237 );
fanout_length( 5, 13.5401 );
fanout_length( 6, 16.3750 );
fanout_length( 7, 18.6686 );
fanout_length( 8, 19.4348 );
fanout_length( 10, 20.9672 );
}
wire_load("3K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6615 );
fanout_length( 2, 3.9827 );
fanout_length( 3, 6.6386 );
fanout_length( 4, 9.6287 );
fanout_length( 5, 12.8485 );
fanout_length( 6, 16.4145 );
fanout_length( 7, 20.0747 );
fanout_length( 8, 22.6325 );
fanout_length( 10, 21.7173 );
}
wire_load("3K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.5771 );
fanout_length( 2, 3.9330 );
fanout_length( 3, 6.6217 );
fanout_length( 4, 9.7638 );
fanout_length( 5, 13.5526 );
fanout_length( 6, 18.1322 );
fanout_length( 7, 22.5871 );
fanout_length( 8, 25.1074 );
fanout_length( 10, 30.1480 );
}
wire_load("5K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 2.0449 );
fanout_length( 2, 4.4094 );
fanout_length( 3, 7.2134 );
fanout_length( 4, 10.4927 );
fanout_length( 5, 13.9420 );
fanout_length( 6, 18.0039 );
fanout_length( 7, 23.9278 );
fanout_length( 8, 30.8475 );
fanout_length( 9, 34.9441 );
fanout_length( 11, 43.1373 );
}
wire_load("5K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6706 );
fanout_length( 2, 3.7951 );
fanout_length( 3, 6.2856 );
fanout_length( 4, 9.1309 );
fanout_length( 5, 12.1420 );
fanout_length( 6, 15.6918 );
fanout_length( 7, 20.1043 );
fanout_length( 8, 24.2827 );
fanout_length( 9, 27.3445 );
fanout_length( 11, 35.3421 );
}
wire_load("5K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.7460 );
fanout_length( 2, 3.9394 );
fanout_length( 3, 6.4626 );
fanout_length( 4, 9.2201 );
fanout_length( 5, 11.9123 );
fanout_length( 6, 14.8358 );
fanout_length( 7, 18.6155 );
fanout_length( 8, 22.6727 );
fanout_length( 9, 25.4842 );
fanout_length( 11, 27.0320 );
}
default_wire_load : "5K_hvratio_1_1" ;
power_lut_template (Hidden_power_7) {
variable_1 : input_transition_time;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
power_lut_template (Power_7_7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Timing_7_7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Tristate_disable_7) {
variable_1 : input_net_transition;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
/******************************************************************************************
Module : AON_BUF_X1
Cell Description : Combinational cell (AON_BUF_X1) with drive strength X1
*******************************************************************************************/
cell (AON_BUF_X1) {
drive_strength : 1;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 13787.579963;
leakage_power () {
when : "!A";
value : 13787.518013;
}
leakage_power () {
when : "A";
value : 13787.641913;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.445850;
fall_capacitance : 0.428151;
rise_capacitance : 0.463549;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.692100;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.010575,0.012035,0.014466,0.018624,0.025945,0.038964,0.062148", \
"0.011803,0.013260,0.015688,0.019847,0.027168,0.040195,0.063380", \
"0.013594,0.015039,0.017454,0.021602,0.028916,0.041936,0.065119", \
"0.016474,0.017990,0.020455,0.024600,0.031886,0.044880,0.068060", \
"0.020640,0.022281,0.024882,0.029112,0.036402,0.049369,0.072509", \
"0.026933,0.028784,0.031691,0.036240,0.043675,0.056624,0.079729", \
"0.036418,0.038589,0.041976,0.047217,0.055353,0.068819,0.092149");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.010592,0.012088,0.014655,0.019159,0.027159,0.041397,0.066747", \
"0.011495,0.012984,0.015545,0.020045,0.028045,0.042282,0.067632", \
"0.012761,0.014236,0.016771,0.021242,0.029221,0.043449,0.068800", \
"0.014400,0.015906,0.018440,0.022883,0.030810,0.044999,0.070322", \
"0.016174,0.017808,0.020398,0.024811,0.032679,0.046814,0.072084", \
"0.017455,0.019341,0.022283,0.026888,0.034810,0.048918,0.074100", \
"0.016947,0.019142,0.022603,0.027893,0.036174,0.050552,0.076015");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.003124,0.004089,0.005883,0.009243,0.015429,0.026545,0.046369", \
"0.003129,0.004094,0.005885,0.009245,0.015428,0.026548,0.046369", \
"0.003185,0.004134,0.005907,0.009251,0.015430,0.026547,0.046372", \
"0.003566,0.004451,0.006105,0.009322,0.015438,0.026545,0.046373", \
"0.004188,0.005052,0.006596,0.009613,0.015562,0.026574,0.046370", \
"0.005316,0.006202,0.007725,0.010494,0.016008,0.026751,0.046433", \
"0.007305,0.008281,0.009895,0.012675,0.017814,0.027965,0.047006");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.003311,0.004422,0.006509,0.010383,0.017400,0.029927,0.052243", \
"0.003315,0.004425,0.006511,0.010384,0.017398,0.029922,0.052239", \
"0.003370,0.004459,0.006524,0.010386,0.017397,0.029928,0.052250", \
"0.003662,0.004670,0.006645,0.010431,0.017401,0.029929,0.052248", \
"0.004280,0.005194,0.006960,0.010570,0.017483,0.029954,0.052249", \
"0.005375,0.006377,0.008016,0.011241,0.017868,0.030165,0.052315", \
"0.007177,0.008277,0.010127,0.013145,0.019128,0.031272,0.053114");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("1.500765,1.532742,1.551075,1.560123,1.566193,1.570400,1.571856", \
"1.503501,1.535559,1.553047,1.563360,1.569536,1.574146,1.576433", \
"1.559721,1.595536,1.609877,1.618862,1.624356,1.629283,1.631882", \
"1.708458,1.749591,1.771064,1.775152,1.777135,1.780519,1.782554", \
"1.998217,2.056745,2.112870,2.148320,2.147002,2.144973,2.144876", \
"2.703265,2.794275,2.886409,2.941752,2.972480,2.997797,2.989348", \
"4.131472,4.207639,4.353554,4.518621,4.694311,4.785143,4.818814");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.591393,0.577184,0.599030,0.592682,0.581678,0.577689,0.546855", \
"0.572375,0.576617,0.583539,0.578596,0.565372,0.568442,0.557636", \
"0.620620,0.620390,0.632915,0.611365,0.592990,0.572285,0.527932", \
"0.784882,0.770421,0.747641,0.745695,0.733790,0.687707,0.668949", \
"1.184576,1.173485,1.172234,1.140948,1.076861,1.044801,0.976181", \
"1.948916,1.980180,2.031421,2.036219,2.036153,2.009660,1.944796", \
"3.276474,3.335922,3.426618,3.587381,3.774873,3.900101,3.804973");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X2
Cell Description : Combinational cell (AON_BUF_X2) with drive strength X2
*******************************************************************************************/
cell (AON_BUF_X2) {
drive_strength : 2;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 21594.612500;
leakage_power () {
when : "!A";
value : 25169.250000;
}
leakage_power () {
when : "A";
value : 18019.975000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.408128;
fall_capacitance : 0.390542;
rise_capacitance : 0.425714;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.010341,0.011586,0.013628,0.017312,0.024327,0.038244,0.066056", \
"0.011560,0.012800,0.014836,0.018516,0.025533,0.039448,0.067271", \
"0.013413,0.014635,0.016652,0.020314,0.027318,0.041228,0.069042", \
"0.016586,0.017859,0.019927,0.023595,0.030552,0.044425,0.072221", \
"0.021079,0.022465,0.024691,0.028533,0.035533,0.049333,0.077065", \
"0.027874,0.029422,0.031898,0.036103,0.043362,0.057132,0.084774", \
"0.038107,0.039907,0.042776,0.047604,0.055711,0.070060,0.097833");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.010148,0.011365,0.013386,0.017158,0.024534,0.039254,0.068674", \
"0.011052,0.012265,0.014281,0.018046,0.025420,0.040139,0.069562", \
"0.012406,0.013605,0.015599,0.019332,0.026673,0.041374,0.070792", \
"0.014292,0.015558,0.017595,0.021314,0.028583,0.043221,0.072605", \
"0.016334,0.017714,0.019918,0.023692,0.030880,0.045421,0.074715", \
"0.018070,0.019616,0.022100,0.026293,0.033587,0.048032,0.077179", \
"0.018105,0.019913,0.022777,0.027649,0.035716,0.050374,0.079723");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002533,0.003226,0.004503,0.007185,0.012930,0.024783,0.048581", \
"0.002535,0.003229,0.004505,0.007187,0.012931,0.024782,0.048581", \
"0.002570,0.003261,0.004530,0.007199,0.012933,0.024782,0.048584", \
"0.003022,0.003655,0.004824,0.007331,0.012957,0.024783,0.048580", \
"0.003749,0.004381,0.005519,0.007850,0.013173,0.024814,0.048583", \
"0.004970,0.005622,0.006766,0.008988,0.013821,0.025028,0.048640", \
"0.007114,0.007836,0.009054,0.011342,0.015914,0.026285,0.049115");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002550,0.003292,0.004697,0.007711,0.014082,0.027027,0.052953", \
"0.002551,0.003295,0.004699,0.007711,0.014081,0.027025,0.052946", \
"0.002593,0.003330,0.004724,0.007721,0.014083,0.027026,0.052947", \
"0.002998,0.003690,0.004971,0.007828,0.014097,0.027027,0.052944", \
"0.003662,0.004369,0.005566,0.008131,0.014207,0.027060,0.052950", \
"0.004876,0.005572,0.006855,0.009213,0.014709,0.027267,0.053016", \
"0.006998,0.007699,0.009003,0.011554,0.016449,0.028357,0.053713");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.655100,1.717872,1.778700,1.808842,1.829166,1.837469,1.842119", \
"1.659753,1.717792,1.770634,1.807698,1.826981,1.837283,1.843329", \
"1.718717,1.775283,1.830986,1.860200,1.875032,1.885961,1.892566", \
"1.927111,1.976850,2.013751,2.026867,2.029066,2.034458,2.036699", \
"2.290223,2.314589,2.375570,2.411167,2.406635,2.392760,2.388981", \
"3.183833,3.202807,3.223676,3.263298,3.256601,3.250598,3.223290", \
"4.986446,4.983710,4.967573,5.000380,5.069789,5.097469,5.080074");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.990985,1.020743,1.034240,1.024627,1.013314,1.012867,0.806269", \
"0.966683,1.016039,1.031583,0.996460,1.024890,0.920232,0.788861", \
"1.060847,1.078386,1.067312,1.074516,1.084022,0.994508,1.086223", \
"1.244623,1.269223,1.249779,1.244903,1.196899,1.070938,1.214820", \
"1.702817,1.731891,1.695477,1.627279,1.575233,1.535196,1.339877", \
"2.666531,2.639744,2.647525,2.640628,2.555050,2.371321,2.430570", \
"4.355079,4.342958,4.316950,4.343560,4.474598,4.510978,4.266830");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X4
Cell Description : Combinational cell (AON_BUF_X4) with drive strength X4
*******************************************************************************************/
cell (AON_BUF_X4) {
drive_strength : 4;
area : 2.128000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 36298.350000;
leakage_power () {
when : "!A";
value : 46584.937500;
}
leakage_power () {
when : "A";
value : 26011.762500;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.407982;
fall_capacitance : 0.394508;
rise_capacitance : 0.421456;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.735500;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.014088,0.016062,0.018283,0.022213,0.029362,0.043211,0.070873", \
"0.015261,0.017232,0.019451,0.023377,0.030526,0.044376,0.072039", \
"0.017052,0.019018,0.021229,0.025147,0.032290,0.046139,0.073805", \
"0.020825,0.022756,0.024933,0.028812,0.035909,0.049721,0.077365", \
"0.026542,0.028647,0.030967,0.034996,0.042135,0.055831,0.083376", \
"0.034797,0.037118,0.039665,0.044018,0.051472,0.065179,0.092544", \
"0.047290,0.049908,0.052803,0.057698,0.065915,0.080154,0.107450");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.013743,0.015679,0.017875,0.021807,0.029197,0.043808,0.073066", \
"0.014628,0.016564,0.018758,0.022687,0.030076,0.044684,0.073944", \
"0.015964,0.017895,0.020081,0.023997,0.031371,0.045972,0.075226", \
"0.018623,0.020556,0.022732,0.026610,0.033914,0.048444,0.077653", \
"0.021778,0.023857,0.026170,0.030160,0.037413,0.051788,0.080870", \
"0.025060,0.027387,0.029928,0.034286,0.041691,0.055883,0.084739", \
"0.027358,0.030016,0.032921,0.037850,0.046097,0.060510,0.089273");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.003292,0.004261,0.005512,0.008039,0.013437,0.025008,0.048664", \
"0.003292,0.004263,0.005513,0.008039,0.013437,0.025008,0.048664", \
"0.003292,0.004268,0.005519,0.008045,0.013440,0.025008,0.048666", \
"0.003496,0.004413,0.005631,0.008119,0.013469,0.025012,0.048664", \
"0.004518,0.005395,0.006510,0.008778,0.013755,0.025049,0.048666", \
"0.005934,0.006839,0.007950,0.010126,0.014698,0.025379,0.048711", \
"0.008376,0.009336,0.010492,0.012686,0.017020,0.026691,0.049112");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.003107,0.004201,0.005576,0.008395,0.014473,0.027242,0.053039", \
"0.003106,0.004200,0.005577,0.008395,0.014473,0.027243,0.053038", \
"0.003107,0.004203,0.005581,0.008400,0.014475,0.027242,0.053044", \
"0.003401,0.004414,0.005734,0.008481,0.014499,0.027246,0.053038", \
"0.004280,0.005227,0.006477,0.008969,0.014678,0.027270,0.053042", \
"0.005744,0.006627,0.007859,0.010224,0.015292,0.027442,0.053092", \
"0.008294,0.009148,0.010332,0.012801,0.017476,0.028515,0.053577");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("2.875994,2.894775,2.974257,3.030791,3.062444,3.069571,3.075599", \
"2.874136,2.902421,2.966307,3.026604,3.057031,3.066265,3.071888", \
"2.926425,2.950180,3.008318,3.067704,3.088929,3.098102,3.107580", \
"3.217259,3.198225,3.236744,3.250785,3.242039,3.233630,3.235552", \
"3.864622,3.784882,3.751954,3.740428,3.662871,3.604788,3.572689", \
"5.146077,4.986172,4.828244,4.723774,4.607711,4.475156,4.373837", \
"7.624149,7.480042,7.241796,6.932595,6.705492,6.464800,6.270855");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("2.203040,2.241703,2.248508,2.197900,2.225191,1.923240,2.144597", \
"2.180690,2.205167,2.220788,2.233105,2.106308,2.202096,1.697063", \
"2.265267,2.264598,2.267443,2.249506,2.253117,2.225044,2.141194", \
"2.596485,2.541820,2.524458,2.421225,2.389965,2.381315,2.343081", \
"3.270206,3.166552,3.083484,3.020074,2.734623,2.501276,2.652191", \
"4.749325,4.491739,4.388503,4.211575,3.944763,3.512866,3.485214", \
"7.418886,7.135038,6.756219,6.541215,6.316434,5.945404,5.669790");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X1
Cell Description : Combinational cell (AON_INV_X1) with drive strength X1
*******************************************************************************************/
cell (AON_INV_X1) {
drive_strength : 1;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 6893.617431;
leakage_power () {
when : "!A";
value : 3757.823138;
}
leakage_power () {
when : "A";
value : 10029.411725;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.390422;
fall_capacitance : 0.376830;
rise_capacitance : 0.404013;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.730200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.003909,0.005235,0.007567,0.011698,0.019033,0.032097,0.055374", \
"0.004847,0.006140,0.008454,0.012576,0.019915,0.032983,0.056262", \
"0.005573,0.007252,0.009804,0.013852,0.021140,0.034182,0.057440", \
"0.006245,0.008328,0.011567,0.016478,0.023812,0.036720,0.059884", \
"0.006554,0.009193,0.013243,0.019391,0.028667,0.042228,0.065079", \
"0.005648,0.009054,0.014259,0.022043,0.033618,0.050957,0.076344", \
"0.001865,0.006239,0.012944,0.023008,0.037801,0.059512,0.091750");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.004174,0.005627,0.008188,0.012711,0.020739,0.035028,0.060477", \
"0.005477,0.006863,0.009368,0.013859,0.021877,0.036164,0.061606", \
"0.007016,0.008689,0.011197,0.015582,0.023515,0.037733,0.063144", \
"0.009138,0.011248,0.014527,0.019388,0.027096,0.041125,0.066382", \
"0.012396,0.015036,0.019116,0.025363,0.034629,0.048488,0.073322", \
"0.017374,0.020814,0.026026,0.033830,0.045574,0.063024,0.088326", \
"0.025165,0.029660,0.036505,0.046624,0.061438,0.083377,0.115986");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.002405,0.003510,0.005482,0.008996,0.015259,0.026423,0.046331", \
"0.002683,0.003572,0.005481,0.008994,0.015260,0.026426,0.046320", \
"0.003746,0.004581,0.005945,0.009012,0.015260,0.026427,0.046328", \
"0.005385,0.006404,0.008045,0.010510,0.015529,0.026425,0.046333", \
"0.008278,0.009501,0.011427,0.014516,0.019248,0.027545,0.046329", \
"0.013370,0.014945,0.017361,0.021013,0.026724,0.035633,0.049822", \
"0.022570,0.024601,0.027741,0.032443,0.039339,0.049868,0.066411");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.002745,0.003987,0.006209,0.010164,0.017211,0.029781,0.052200", \
"0.002905,0.003989,0.006209,0.010166,0.017222,0.029796,0.052201", \
"0.003954,0.004760,0.006435,0.010167,0.017221,0.029797,0.052210", \
"0.005473,0.006625,0.008353,0.011074,0.017248,0.029795,0.052213", \
"0.008043,0.009371,0.011565,0.014973,0.019850,0.030144,0.052207", \
"0.012747,0.014315,0.016822,0.020889,0.027352,0.036511,0.053730", \
"0.021478,0.023346,0.026373,0.031113,0.038604,0.050592,0.068103");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.067365,0.066959,0.067281,0.067527,0.067141,0.067376,0.066939", \
"0.067168,0.066692,0.067487,0.067195,0.067608,0.067480,0.066946", \
"0.068233,0.067233,0.067467,0.067558,0.067055,0.067119,0.066890", \
"0.122717,0.083087,0.067709,0.067658,0.067542,0.066960,0.067143", \
"0.460971,0.410283,0.325894,0.227143,0.144066,0.082735,0.067160", \
"1.092409,1.064350,0.989013,0.834772,0.627865,0.438479,0.291258", \
"2.292213,2.279038,2.261582,2.144395,1.885419,1.475665,1.068157");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.611771,0.624952,0.615979,0.601376,0.607307,0.632715,0.541324", \
"0.629033,0.627114,0.612766,0.600128,0.587210,0.633385,0.516333", \
"0.682417,0.665868,0.662317,0.627586,0.647300,0.595971,0.573389", \
"0.816347,0.805270,0.783044,0.740718,0.703315,0.643556,0.537283", \
"1.139906,1.107875,1.087185,1.049976,0.938646,0.845987,0.777840", \
"1.898420,1.865484,1.759244,1.672086,1.448275,1.320291,1.093630", \
"3.376929,3.338518,3.273575,3.150781,2.905252,2.618509,2.278919");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X2
Cell Description : Combinational cell (AON_INV_X2) with drive strength X2
*******************************************************************************************/
cell (AON_INV_X2) {
drive_strength : 2;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 14699.280594;
leakage_power () {
when : "!A";
value : 7989.072750;
}
leakage_power () {
when : "A";
value : 21409.488438;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.707133;
fall_capacitance : 0.671169;
rise_capacitance : 0.743098;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002838,0.003827,0.005608,0.009120,0.016095,0.030015,0.057832", \
"0.003623,0.004753,0.006503,0.009994,0.016969,0.030893,0.058714", \
"0.004047,0.005452,0.007684,0.011309,0.018206,0.032088,0.059885", \
"0.004376,0.006126,0.008882,0.013511,0.020938,0.034636,0.062323", \
"0.004224,0.006467,0.009955,0.015705,0.025152,0.040205,0.067496", \
"0.002806,0.005685,0.010179,0.017528,0.029332,0.048427,0.078742", \
"-0.001400,0.002219,0.007974,0.017471,0.032626,0.056570,0.094973");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002925,0.003972,0.005864,0.009590,0.016981,0.031715,0.061155", \
"0.004210,0.005278,0.007081,0.010747,0.018113,0.032843,0.062279", \
"0.005309,0.006719,0.008911,0.012522,0.019768,0.034413,0.063799", \
"0.006994,0.008731,0.011493,0.016077,0.023413,0.037811,0.067007", \
"0.009566,0.011806,0.015263,0.021006,0.030391,0.045194,0.073895", \
"0.013507,0.016440,0.020958,0.028261,0.040011,0.059015,0.088763", \
"0.019879,0.023625,0.029538,0.039130,0.054194,0.078017,0.116251");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.001501,0.002306,0.003793,0.006769,0.012717,0.024618,0.048429", \
"0.002086,0.002608,0.003821,0.006769,0.012718,0.024622,0.048430", \
"0.002949,0.003652,0.004766,0.006987,0.012721,0.024625,0.048427", \
"0.004445,0.005266,0.006627,0.008997,0.013341,0.024623,0.048425", \
"0.007081,0.008119,0.009751,0.012558,0.017417,0.026057,0.048428", \
"0.011786,0.013137,0.015248,0.018691,0.024397,0.034243,0.051487", \
"0.020621,0.022260,0.024964,0.029449,0.036552,0.048077,0.067890");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.001675,0.002551,0.004172,0.007414,0.013893,0.026865,0.052792", \
"0.002192,0.002761,0.004172,0.007414,0.013898,0.026866,0.052792", \
"0.003074,0.003817,0.004891,0.007494,0.013898,0.026865,0.052796", \
"0.004400,0.005305,0.006802,0.009160,0.014177,0.026864,0.052793", \
"0.006833,0.007871,0.009602,0.012711,0.017685,0.027545,0.052794", \
"0.011308,0.012563,0.014620,0.018189,0.024502,0.034678,0.054282", \
"0.019869,0.021277,0.023753,0.028061,0.035357,0.048089,0.068658");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.139989,0.140818,0.139466,0.140596,0.140515,0.140639,0.139704", \
"0.139710,0.139620,0.140117,0.139839,0.140257,0.140280,0.139743", \
"0.147666,0.140900,0.140554,0.139584,0.139767,0.140064,0.140171", \
"0.345584,0.262961,0.150480,0.139728,0.139778,0.140476,0.139268", \
"1.041019,0.977858,0.835859,0.595372,0.350804,0.183692,0.139324", \
"2.355979,2.322090,2.240931,1.973471,1.483920,0.972357,0.594218", \
"4.825957,4.865585,4.850561,4.733420,4.242929,3.259873,2.217338");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.143467,1.159851,1.184578,1.155255,1.189692,1.059984,1.183238", \
"1.196763,1.173069,1.175963,1.165867,1.158797,1.200529,1.165244", \
"1.312362,1.309099,1.292856,1.260656,1.198724,1.229369,1.191129", \
"1.601577,1.564984,1.592720,1.526767,1.376177,1.369898,1.182991", \
"2.345796,2.299990,2.210235,2.047158,1.926968,1.772991,1.555848", \
"3.895810,3.888848,3.755289,3.536832,3.224285,2.872746,2.264655", \
"7.056452,7.029014,6.960164,6.708366,6.224784,5.379964,4.507969");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X4
Cell Description : Combinational cell (AON_INV_X4) with drive strength X4
*******************************************************************************************/
cell (AON_INV_X4) {
drive_strength : 4;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 29398.581250;
leakage_power () {
when : "!A";
value : 15978.162500;
}
leakage_power () {
when : "A";
value : 42819.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 1.309510;
fall_capacitance : 1.242749;
rise_capacitance : 1.376271;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.964400;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.002482,0.003900,0.005682,0.009193,0.016169,0.030088,0.057911", \
"0.003169,0.004830,0.006575,0.010067,0.017043,0.030967,0.058794", \
"0.003488,0.005547,0.007765,0.011381,0.018281,0.032162,0.059962", \
"0.003671,0.006238,0.008979,0.013595,0.021011,0.034712,0.062397", \
"0.003316,0.006604,0.010072,0.015805,0.025239,0.040278,0.067573", \
"0.001637,0.005852,0.010324,0.017654,0.029435,0.048514,0.078819", \
"-0.002855,0.002420,0.008152,0.017624,0.032754,0.056678,0.095067");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.002546,0.004045,0.005937,0.009662,0.017053,0.031788,0.061227", \
"0.003756,0.005347,0.007152,0.010820,0.018185,0.032914,0.062355", \
"0.004742,0.006807,0.008985,0.012594,0.019840,0.034484,0.063874", \
"0.006287,0.008838,0.011584,0.016156,0.023483,0.037882,0.067086", \
"0.008642,0.011935,0.015374,0.021099,0.030471,0.045267,0.073967", \
"0.012289,0.016600,0.021095,0.028372,0.040107,0.059094,0.088837", \
"0.018350,0.023817,0.029704,0.039268,0.054310,0.078111,0.116331");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001200,0.002345,0.003834,0.006809,0.012761,0.024665,0.048473", \
"0.001848,0.002634,0.003857,0.006809,0.012757,0.024661,0.048475", \
"0.002660,0.003682,0.004786,0.007022,0.012762,0.024665,0.048469", \
"0.004096,0.005301,0.006659,0.009021,0.013373,0.024664,0.048473", \
"0.006630,0.008161,0.009785,0.012585,0.017443,0.026086,0.048472", \
"0.011206,0.013187,0.015295,0.018722,0.024429,0.034269,0.051520", \
"0.019952,0.022308,0.025009,0.029482,0.036575,0.048102,0.067917");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001348,0.002595,0.004216,0.007457,0.013940,0.026910,0.052845", \
"0.001998,0.002794,0.004216,0.007456,0.013941,0.026910,0.052843", \
"0.002757,0.003850,0.004921,0.007534,0.013936,0.026908,0.052845", \
"0.004045,0.005344,0.006836,0.009187,0.014217,0.026910,0.052841", \
"0.006414,0.007922,0.009648,0.012746,0.017711,0.027587,0.052846", \
"0.010810,0.012625,0.014669,0.018236,0.024540,0.034708,0.054319", \
"0.019362,0.021347,0.023812,0.028109,0.035391,0.048125,0.068689");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.041428,0.070307,0.085510,0.095859,0.103271,0.109182,0.110071", \
"0.061904,0.063077,0.067464,0.081413,0.092821,0.102059,0.106492", \
"0.252589,0.159872,0.130046,0.115807,0.111432,0.110971,0.110087", \
"0.853210,0.614237,0.389550,0.278157,0.214111,0.171168,0.146133", \
"2.230283,2.055439,1.762580,1.279326,0.795125,0.461831,0.296125", \
"4.792619,4.747810,4.564484,4.039920,3.053895,2.036221,1.282076", \
"9.801725,9.807979,9.824507,9.553343,8.572140,6.602975,4.523749");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("2.005400,2.054728,2.109034,2.132151,2.023891,2.153450,2.038130", \
"2.121760,2.107326,2.068643,2.125066,2.077921,2.073770,1.960290", \
"2.278526,2.356682,2.307156,2.282108,2.111042,2.105330,2.006540", \
"2.920923,2.894044,2.888250,2.742475,2.517100,2.400290,2.124080", \
"4.459752,4.345645,4.155380,3.968931,3.675690,3.187460,2.824050", \
"7.586849,7.454397,7.207848,6.846658,6.224740,5.397740,4.313530", \
"13.894600,13.740535,13.609559,13.245460,12.128220,10.452690,8.914950");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X1
Cell Description : Combinational cell (HEADER_OE_X1) with drive strength X1
*******************************************************************************************/
cell (HEADER_OE_X1) {
drive_strength : 1;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 30932512.812500;
leakage_power () {
when : "!SLEEP";
value : 61851125.000000;
}
leakage_power () {
when : "SLEEP";
value : 13900.625000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.669158;
fall_capacitance : 0.627308;
rise_capacitance : 0.711007;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 12.168900;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.010515,0.011981,0.014450,0.018722,0.026305,0.039881,0.064214", \
"0.011745,0.013206,0.015673,0.019945,0.027529,0.041112,0.065447", \
"0.013517,0.014968,0.017421,0.021681,0.029259,0.042837,0.067176", \
"0.016337,0.017854,0.020353,0.024608,0.032152,0.045706,0.070036", \
"0.020393,0.022034,0.024665,0.028989,0.036535,0.050052,0.074351", \
"0.026466,0.028313,0.031246,0.035875,0.043551,0.057052,0.081308", \
"0.035480,0.037644,0.041052,0.046376,0.054739,0.068746,0.093212");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.010601,0.012066,0.014591,0.019045,0.027006,0.041269,0.066847", \
"0.011500,0.012958,0.015477,0.019927,0.027888,0.042155,0.067729", \
"0.012774,0.014217,0.016712,0.021134,0.029075,0.043339,0.068899", \
"0.014447,0.015921,0.018417,0.022813,0.030704,0.044925,0.070462", \
"0.016308,0.017910,0.020471,0.024836,0.032670,0.046840,0.072329", \
"0.017801,0.019656,0.022562,0.027114,0.035004,0.049145,0.074556", \
"0.017702,0.019867,0.023298,0.028587,0.036810,0.051228,0.076944");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.003154,0.004140,0.005987,0.009465,0.015884,0.027481,0.048283", \
"0.003160,0.004145,0.005989,0.009465,0.015885,0.027480,0.048283", \
"0.003220,0.004187,0.006011,0.009472,0.015884,0.027482,0.048293", \
"0.003597,0.004496,0.006201,0.009537,0.015892,0.027479,0.048288", \
"0.004212,0.005087,0.006675,0.009810,0.016005,0.027504,0.048290", \
"0.005339,0.006244,0.007800,0.010671,0.016433,0.027676,0.048345", \
"0.007334,0.008320,0.009971,0.012829,0.018204,0.028864,0.048895");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.003298,0.004379,0.006421,0.010247,0.017231,0.029785,0.052300", \
"0.003301,0.004380,0.006424,0.010247,0.017230,0.029782,0.052298", \
"0.003358,0.004415,0.006439,0.010253,0.017231,0.029786,0.052296", \
"0.003652,0.004632,0.006564,0.010298,0.017232,0.029782,0.052301", \
"0.004278,0.005168,0.006887,0.010442,0.017317,0.029812,0.052300", \
"0.005378,0.006354,0.007961,0.011131,0.017707,0.030023,0.052367", \
"0.007195,0.008269,0.010095,0.013068,0.019000,0.031149,0.053166");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("1.582364,1.607291,1.624145,1.624978,1.615179,1.625904,1.573219", \
"1.511053,1.534838,1.553466,1.547576,1.552475,1.573068,1.492023", \
"1.475972,1.508396,1.499112,1.523946,1.506776,1.512323,1.483940", \
"1.447687,1.486879,1.492975,1.490257,1.477049,1.435232,1.467926", \
"1.449046,1.487533,1.481752,1.477890,1.508023,1.455823,1.396846", \
"1.899729,1.911411,1.894110,1.772278,1.642014,1.575325,1.536727", \
"3.050709,3.011037,3.002622,2.914104,2.683516,2.306648,1.980799");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.449751,0.453375,0.470894,0.465977,0.434052,0.442680,0.403078", \
"0.370187,0.373746,0.378410,0.383210,0.348323,0.314224,0.342821", \
"0.326236,0.332857,0.328908,0.311141,0.301906,0.332122,0.285889", \
"0.309491,0.296276,0.288630,0.276235,0.246240,0.264986,0.225286", \
"0.381974,0.373919,0.346861,0.298529,0.261212,0.211309,0.251222", \
"0.397804,0.454900,0.498323,0.522309,0.476354,0.373701,0.341012", \
"0.158236,0.255046,0.376352,0.594322,0.771593,0.926513,0.787204");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X2
Cell Description : Combinational cell (HEADER_OE_X2) with drive strength X2
*******************************************************************************************/
cell (HEADER_OE_X2) {
drive_strength : 2;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 62910947.762500;
leakage_power () {
when : "!SLEEP";
value : 125803750.000000;
}
leakage_power () {
when : "SLEEP";
value : 18145.525000;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.857349;
fall_capacitance : 0.790177;
rise_capacitance : 0.924522;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.367700;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.011236,0.012470,0.014520,0.018220,0.025222,0.039073,0.066769", \
"0.012441,0.013673,0.015718,0.019414,0.026417,0.040273,0.067968", \
"0.014276,0.015492,0.017522,0.021203,0.028195,0.042045,0.069739", \
"0.017549,0.018803,0.020868,0.024547,0.031498,0.045310,0.072978", \
"0.022136,0.023504,0.025729,0.029594,0.036603,0.050344,0.077950", \
"0.028959,0.030487,0.032951,0.037174,0.044460,0.058183,0.085698", \
"0.039066,0.040842,0.043696,0.048531,0.056657,0.070977,0.098599");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.011383,0.012601,0.014640,0.018421,0.025766,0.040418,0.069718", \
"0.012274,0.013491,0.015526,0.019302,0.026645,0.041295,0.070600", \
"0.013639,0.014844,0.016861,0.020612,0.027929,0.042564,0.071864", \
"0.015764,0.017021,0.019074,0.022811,0.030058,0.044632,0.073895", \
"0.018135,0.019498,0.021707,0.025510,0.032695,0.047165,0.076331", \
"0.020429,0.021954,0.024435,0.028644,0.035948,0.050309,0.079324", \
"0.021379,0.023155,0.026011,0.030894,0.038989,0.053597,0.082769");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.002792,0.003486,0.004756,0.007405,0.013080,0.024858,0.048559", \
"0.002793,0.003488,0.004759,0.007407,0.013080,0.024859,0.048560", \
"0.002818,0.003512,0.004781,0.007419,0.013082,0.024859,0.048559", \
"0.003227,0.003864,0.005039,0.007541,0.013110,0.024860,0.048559", \
"0.003970,0.004607,0.005757,0.008091,0.013342,0.024891,0.048556", \
"0.005189,0.005848,0.007001,0.009235,0.014022,0.025113,0.048612", \
"0.007327,0.008047,0.009278,0.011585,0.016143,0.026364,0.049064");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.002860,0.003602,0.004990,0.007947,0.014245,0.027128,0.052950", \
"0.002862,0.003603,0.004990,0.007948,0.014246,0.027128,0.052952", \
"0.002882,0.003623,0.005007,0.007957,0.014248,0.027127,0.052948", \
"0.003250,0.003950,0.005240,0.008060,0.014264,0.027127,0.052949", \
"0.003902,0.004613,0.005829,0.008385,0.014383,0.027157,0.052952", \
"0.005082,0.005801,0.007093,0.009462,0.014879,0.027341,0.053007", \
"0.007168,0.007891,0.009224,0.011795,0.016666,0.028410,0.053643");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("2.130017,2.193210,2.241543,2.300786,2.321350,2.261270,2.142110", \
"1.972586,2.042991,2.123175,2.153943,2.175546,2.121908,1.975633", \
"1.827254,1.904295,1.990337,2.000957,2.001702,1.951666,2.022904", \
"1.672894,1.736443,1.793825,1.795136,1.791505,1.718682,1.630523", \
"1.421440,1.428126,1.414465,1.425575,1.405982,1.391942,1.243826", \
"1.623882,1.569403,1.455643,1.250058,0.916832,0.734402,0.554630", \
"2.686327,2.561566,2.322068,1.942394,1.326166,0.342188,-0.362536");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.800040,0.839509,0.844071,0.860571,0.827520,0.867007,0.876684", \
"0.643075,0.670806,0.682303,0.708299,0.656793,0.570719,0.724360", \
"0.547027,0.540000,0.556725,0.514831,0.503495,0.481504,0.563729", \
"0.347860,0.374409,0.359001,0.306465,0.290817,0.205047,0.300719", \
"0.106636,0.111760,0.069753,0.022399,-0.089082,-0.136987,-0.107521", \
"-0.395519,-0.412290,-0.388834,-0.415675,-0.543600,-0.783455,-0.717452", \
"-1.786540,-1.833350,-1.788930,-1.708910,-1.585240,-1.659130,-1.785610");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X4
Cell Description : Combinational cell (HEADER_OE_X4) with drive strength X4
*******************************************************************************************/
cell (HEADER_OE_X4) {
drive_strength : 4;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 126187068.293750;
leakage_power () {
when : "!SLEEP";
value : 252347500.000000;
}
leakage_power () {
when : "SLEEP";
value : 26636.587500;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.209113;
fall_capacitance : 1.097481;
rise_capacitance : 1.320745;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.803600;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.013680,0.015723,0.017981,0.021951,0.029152,0.043099,0.070952", \
"0.014856,0.016897,0.019152,0.023120,0.030320,0.044268,0.072125", \
"0.016644,0.018678,0.020926,0.024884,0.032079,0.046023,0.073877", \
"0.020398,0.022399,0.024611,0.028529,0.035678,0.049583,0.077416", \
"0.025990,0.028180,0.030545,0.034622,0.041818,0.055611,0.083344", \
"0.034021,0.036438,0.039031,0.043441,0.050962,0.064764,0.092319", \
"0.046027,0.048747,0.051696,0.056659,0.064963,0.079304,0.106787");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.013808,0.015829,0.018084,0.022091,0.029578,0.044351,0.073938", \
"0.014689,0.016709,0.018962,0.022967,0.030452,0.045225,0.074814", \
"0.016022,0.018039,0.020286,0.024278,0.031750,0.046514,0.076099", \
"0.018736,0.020751,0.022982,0.026935,0.034337,0.049032,0.078567", \
"0.022028,0.024196,0.026569,0.030635,0.037985,0.052527,0.081936", \
"0.025599,0.028005,0.030593,0.035026,0.042529,0.056879,0.086063", \
"0.028408,0.031150,0.034105,0.039106,0.047468,0.062037,0.091093");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.003141,0.004131,0.005392,0.007937,0.013378,0.025042,0.048878", \
"0.003141,0.004131,0.005393,0.007938,0.013379,0.025042,0.048879", \
"0.003143,0.004136,0.005399,0.007945,0.013381,0.025042,0.048881", \
"0.003370,0.004295,0.005520,0.008021,0.013411,0.025046,0.048880", \
"0.004391,0.005289,0.006411,0.008695,0.013704,0.025083,0.048880", \
"0.005811,0.006737,0.007857,0.010054,0.014653,0.025413,0.048926", \
"0.008261,0.009242,0.010418,0.012627,0.016994,0.026732,0.049327");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.003024,0.004140,0.005539,0.008379,0.014506,0.027415,0.053519", \
"0.003023,0.004139,0.005539,0.008380,0.014507,0.027416,0.053516", \
"0.003023,0.004142,0.005544,0.008385,0.014508,0.027415,0.053518", \
"0.003306,0.004338,0.005684,0.008462,0.014532,0.027416,0.053512", \
"0.004194,0.005155,0.006430,0.008957,0.014715,0.027440,0.053515", \
"0.005649,0.006551,0.007797,0.010205,0.015328,0.027609,0.053565", \
"0.008207,0.009067,0.010267,0.012763,0.017505,0.028671,0.054023");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("3.320618,3.366851,3.414112,3.496675,3.509752,3.437056,3.294352", \
"3.000687,3.009808,3.140872,3.211829,3.189187,3.203991,3.064513", \
"2.703428,2.752877,2.788598,2.812974,2.875115,2.877094,2.924060", \
"2.283510,2.241845,2.293408,2.298047,2.264388,2.139371,2.157406", \
"1.552890,1.398350,1.254181,1.324740,1.269110,1.161188,0.957322", \
"1.181664,0.781428,0.387051,-0.157087,-0.744245,-0.903017,-1.230680", \
"1.806104,1.277931,0.587629,-0.445436,-1.879340,-3.944480,-5.226140");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("1.496722,1.489786,1.491032,1.482854,1.419614,1.492479,1.358662", \
"1.213074,1.193525,1.206349,1.153437,1.103345,1.101197,1.141253", \
"0.893896,0.863757,0.868610,0.876113,0.738218,0.793179,0.588203", \
"0.485637,0.438207,0.402997,0.285910,0.282342,0.150577,-0.041097", \
"-0.222817,-0.387015,-0.477125,-0.623228,-0.765235,-0.963082,-1.129000", \
"-1.592040,-1.912210,-2.029540,-2.264180,-2.609980,-2.847280,-3.478690", \
"-4.999310,-5.297770,-5.612740,-5.769190,-6.027280,-6.300820,-6.742820");
}
}
}
}
/******************************************************************************************
Module : HEADER_X1
Cell Description : Physical cell (HEADER_X1)
*******************************************************************************************/
cell (HEADER_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 30912569.320625;
leakage_power () {
when : "!SLEEP";
value : 61825125.000000;
}
leakage_power () {
when : "SLEEP";
value : 13.641250;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.300205;
fall_capacitance : 0.277533;
rise_capacitance : 0.322877;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.116379,0.046547,-0.046522,-0.232877,-0.605689,-1.352070,-2.845250");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.457301,0.384672,0.291596,0.105667,-0.266398,-1.010740,-2.499930");
}
}
}
}
/******************************************************************************************
Module : HEADER_X2
Cell Description : Physical cell (HEADER_X2)
*******************************************************************************************/
cell (HEADER_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 62899389.110323;
leakage_power () {
when : "!SLEEP";
value : 125798750.000000;
}
leakage_power () {
when : "SLEEP";
value : 28.220645;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.463621;
fall_capacitance : 0.419596;
rise_capacitance : 0.507646;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.242137,0.100414,-0.086538,-0.460261,-1.206000,-2.702550,-5.695010");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.928390,0.783224,0.596748,0.224025,-0.520857,-2.012530,-4.996660");
}
}
}
}
/******************************************************************************************
Module : HEADER_X4
Cell Description : Physical cell (HEADER_X4)
*******************************************************************************************/
cell (HEADER_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 125888153.670362;
leakage_power () {
when : "!SLEEP";
value : 251776250.000000;
}
leakage_power () {
when : "SLEEP";
value : 57.340725;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.792597;
fall_capacitance : 0.705737;
rise_capacitance : 0.879456;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.486294,0.202924,-0.166389,-0.905020,-2.381090,-5.334940,-11.246600");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.861928,1.575716,1.207091,0.470513,-1.002230,-3.947370,-9.843440");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X1
Cell Description : Combinational cell (ISO_FENCE0N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 32663.470809;
leakage_power () {
when : "!A & !EN";
value : 21652.500000;
}
leakage_power () {
when : "!A & EN";
value : 42051.625000;
}
leakage_power () {
when : "A & !EN";
value : 19857.633237;
}
leakage_power () {
when : "A & EN";
value : 47092.125000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.545237;
fall_capacitance : 0.523950;
rise_capacitance : 0.566524;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.481008,0.482445,0.482923,0.483117,0.482971,0.482937,0.482478");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.268077,-0.269621,-0.269446,-0.269434,-0.268912,-0.267945,-0.268168");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.648062;
fall_capacitance : 0.630247;
rise_capacitance : 0.665878;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.484892,0.460160,0.455238,0.451619,0.447972,0.445490,0.443392");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.404908,-0.406161,-0.406604,-0.406852,-0.407020,-0.406818,-0.406836");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.959000;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.009712,0.010785,0.012665,0.016031,0.022317,0.034389,0.057689", \
"0.010878,0.011945,0.013821,0.017187,0.023476,0.035550,0.058857", \
"0.012599,0.013659,0.015525,0.018878,0.025157,0.037229,0.060529", \
"0.015313,0.016445,0.018393,0.021791,0.028049,0.040091,0.063377", \
"0.019200,0.020444,0.022558,0.026115,0.032434,0.044458,0.067697", \
"0.024841,0.026263,0.028668,0.032636,0.039279,0.051367,0.074575", \
"0.032890,0.034584,0.037415,0.042042,0.049563,0.062369,0.086011");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.011220,0.012476,0.014719,0.018776,0.026360,0.040900,0.068955", \
"0.011987,0.013239,0.015476,0.019528,0.027109,0.041655,0.069710", \
"0.013227,0.014468,0.016685,0.020710,0.028265,0.042793,0.070849", \
"0.014931,0.016192,0.018432,0.022477,0.029998,0.044478,0.072509", \
"0.017034,0.018365,0.020656,0.024696,0.032209,0.046687,0.074638", \
"0.019142,0.020640,0.023198,0.027474,0.035117,0.049614,0.077552", \
"0.020203,0.021929,0.024881,0.029812,0.037941,0.052894,0.081306");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.002506,0.003150,0.004413,0.006979,0.012198,0.022494,0.042431", \
"0.002513,0.003156,0.004416,0.006981,0.012199,0.022494,0.042428", \
"0.002579,0.003211,0.004452,0.006996,0.012201,0.022495,0.042432", \
"0.003010,0.003606,0.004758,0.007150,0.012230,0.022496,0.042427", \
"0.003682,0.004275,0.005383,0.007598,0.012441,0.022549,0.042430", \
"0.004865,0.005485,0.006627,0.008747,0.013158,0.022827,0.042524", \
"0.006850,0.007557,0.008801,0.011031,0.015256,0.024315,0.043319");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.003101,0.003935,0.005541,0.008745,0.015205,0.027955,0.052669", \
"0.003102,0.003936,0.005543,0.008745,0.015205,0.027954,0.052666", \
"0.003139,0.003969,0.005569,0.008758,0.015208,0.027954,0.052664", \
"0.003361,0.004173,0.005758,0.008889,0.015239,0.027957,0.052659", \
"0.003852,0.004609,0.006067,0.009091,0.015433,0.028050,0.052662", \
"0.004842,0.005639,0.007069,0.009865,0.015943,0.028365,0.052824", \
"0.006601,0.007418,0.008975,0.011753,0.017381,0.029756,0.053820");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.010923,0.012011,0.013913,0.017299,0.023598,0.035675,0.058978", \
"0.012097,0.013182,0.015080,0.018466,0.024766,0.036847,0.060152", \
"0.013922,0.014998,0.016884,0.020257,0.026549,0.038630,0.061933", \
"0.017159,0.018278,0.020212,0.023594,0.029857,0.041907,0.065198", \
"0.021911,0.023131,0.025207,0.028723,0.035022,0.047040,0.070289", \
"0.029363,0.030725,0.033017,0.036810,0.043262,0.055243,0.078456", \
"0.041238,0.042825,0.045467,0.049772,0.056790,0.069033,0.092249");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.012178,0.013435,0.015678,0.019736,0.027321,0.041864,0.069918", \
"0.012781,0.014035,0.016275,0.020330,0.027914,0.042456,0.070515", \
"0.013496,0.014743,0.016972,0.021011,0.028581,0.043118,0.071177", \
"0.014610,0.015876,0.018122,0.022172,0.029721,0.044230,0.072274", \
"0.016000,0.017340,0.019668,0.023752,0.031295,0.045782,0.073771", \
"0.016806,0.018298,0.020866,0.025214,0.032997,0.047613,0.075573", \
"0.015105,0.016802,0.019729,0.024643,0.032929,0.048205,0.076942");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.002633,0.003266,0.004507,0.007039,0.012229,0.022511,0.042445", \
"0.002636,0.003270,0.004509,0.007041,0.012229,0.022512,0.042446", \
"0.002663,0.003294,0.004527,0.007050,0.012231,0.022513,0.042442", \
"0.003018,0.003603,0.004752,0.007154,0.012254,0.022514,0.042445", \
"0.003622,0.004199,0.005304,0.007541,0.012427,0.022555,0.042449", \
"0.004598,0.005182,0.006269,0.008358,0.012845,0.022705,0.042520", \
"0.006298,0.006908,0.008038,0.010107,0.014218,0.023408,0.042806");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.003102,0.003935,0.005542,0.008745,0.015205,0.027955,0.052663", \
"0.003099,0.003936,0.005542,0.008746,0.015204,0.027955,0.052665", \
"0.003120,0.003954,0.005557,0.008753,0.015206,0.027956,0.052661", \
"0.003284,0.004107,0.005691,0.008837,0.015228,0.027957,0.052659", \
"0.003711,0.004512,0.006026,0.009064,0.015365,0.028008,0.052665", \
"0.004554,0.005396,0.006918,0.009853,0.016000,0.028365,0.052766", \
"0.005968,0.006851,0.008494,0.011442,0.017415,0.029988,0.053986");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("2.217781,2.288357,2.335417,2.356113,2.369846,2.377038,2.379397", \
"2.217679,2.273091,2.318342,2.345526,2.359196,2.367275,2.370904", \
"2.304695,2.357719,2.393890,2.415371,2.425744,2.435944,2.439788", \
"2.498852,2.578728,2.634194,2.650591,2.656013,2.659913,2.664016", \
"2.943813,3.031493,3.146796,3.215507,3.241840,3.236876,3.234438", \
"4.088023,4.160776,4.307459,4.451781,4.525449,4.589558,4.571666", \
"6.316027,6.397467,6.535333,6.774491,7.081526,7.337184,7.422313");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.037555,1.069978,1.071326,1.087871,1.100342,0.998452,0.974434", \
"1.037803,1.054343,1.054539,1.064257,1.084485,1.066183,1.109159", \
"1.113619,1.129880,1.132866,1.118680,1.106965,1.134663,0.887295", \
"1.338996,1.336445,1.355321,1.330516,1.287191,1.317553,1.225603", \
"1.976302,1.977807,1.975871,1.933891,1.851734,1.839301,1.872309", \
"3.170612,3.252871,3.290554,3.325946,3.330434,3.213565,3.210292", \
"5.347270,5.395915,5.537610,5.742917,6.025787,6.227918,5.958052");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("2.601104,2.667679,2.730328,2.759193,2.781435,2.796336,2.805976", \
"2.592342,2.668023,2.722824,2.751986,2.772477,2.790672,2.800452", \
"2.679708,2.755534,2.801010,2.832317,2.851394,2.865064,2.877016", \
"2.934093,3.009650,3.064688,3.083654,3.094761,3.103327,3.113986", \
"3.401475,3.495459,3.607218,3.674979,3.694708,3.695529,3.699022", \
"4.647966,4.735421,4.859000,4.939911,4.972172,5.022233,5.014587", \
"7.163686,7.224677,7.353934,7.543905,7.666606,7.725028,7.774674");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.055870,1.056876,1.083373,1.096537,1.107853,1.008098,1.115531", \
"1.018867,1.037035,1.046712,1.043443,1.007994,1.024041,0.822330", \
"1.036914,1.048514,1.035022,1.067286,1.025568,1.082175,1.085423", \
"1.166476,1.193518,1.196947,1.199822,1.157449,1.103286,1.179886", \
"1.704651,1.718780,1.712588,1.699312,1.619660,1.533831,1.563587", \
"2.701306,2.786937,2.869086,2.922205,2.956321,2.902908,2.682854", \
"4.440766,4.560883,4.702527,4.988785,5.334622,5.634259,5.562041");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X2
Cell Description : Combinational cell (ISO_FENCE0N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 48311.252616;
leakage_power () {
when : "!A & !EN";
value : 40166.125000;
}
leakage_power () {
when : "!A & EN";
value : 60566.375000;
}
leakage_power () {
when : "A & !EN";
value : 38372.635463;
}
leakage_power () {
when : "A & EN";
value : 54139.875000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.571341;
fall_capacitance : 0.550565;
rise_capacitance : 0.592117;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.481329,0.482424,0.482875,0.483268,0.482911,0.482798,0.482415");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.267769,-0.269306,-0.269340,-0.269186,-0.268594,-0.267912,-0.267656");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.658002;
fall_capacitance : 0.638293;
rise_capacitance : 0.677711;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.485211,0.460346,0.455478,0.451790,0.448050,0.445511,0.443445");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.404929,-0.405931,-0.406278,-0.406626,-0.406895,-0.406653,-0.406596");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.520300;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.010451,0.012025,0.013956,0.017385,0.023782,0.036368,0.061519", \
"0.011598,0.013166,0.015092,0.018519,0.024916,0.037505,0.062662", \
"0.013374,0.014921,0.016831,0.020243,0.026631,0.039216,0.064370", \
"0.016502,0.018118,0.020076,0.023501,0.029854,0.042397,0.067529", \
"0.020879,0.022655,0.024780,0.028414,0.034862,0.047353,0.072411", \
"0.027283,0.029291,0.031678,0.035699,0.042508,0.055043,0.080016", \
"0.036481,0.038816,0.041601,0.046245,0.053952,0.067209,0.092438");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.011951,0.013716,0.015937,0.019954,0.027482,0.042250,0.071733", \
"0.012709,0.014472,0.016691,0.020703,0.028230,0.042998,0.072482", \
"0.013983,0.015734,0.017936,0.021926,0.029430,0.044183,0.073661", \
"0.016127,0.017889,0.020105,0.024088,0.031542,0.046233,0.075668", \
"0.018712,0.020563,0.022835,0.026832,0.034269,0.048921,0.078265", \
"0.021564,0.023616,0.026109,0.030378,0.037918,0.052518,0.081799", \
"0.023632,0.026002,0.028843,0.033704,0.041872,0.056843,0.086424");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.002352,0.003181,0.004337,0.006719,0.011823,0.022495,0.044027", \
"0.002353,0.003183,0.004340,0.006720,0.011824,0.022495,0.044025", \
"0.002378,0.003210,0.004363,0.006734,0.011828,0.022495,0.044027", \
"0.002852,0.003608,0.004668,0.006890,0.011867,0.022498,0.044028", \
"0.003628,0.004396,0.005430,0.007512,0.012165,0.022551,0.044028", \
"0.004917,0.005729,0.006779,0.008795,0.013013,0.022856,0.044109", \
"0.007101,0.008007,0.009154,0.011256,0.015328,0.024367,0.044763");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.002689,0.003799,0.005284,0.008241,0.014420,0.027270,0.053231", \
"0.002690,0.003799,0.005284,0.008243,0.014421,0.027270,0.053238", \
"0.002696,0.003807,0.005296,0.008252,0.014424,0.027271,0.053239", \
"0.002979,0.004035,0.005490,0.008372,0.014461,0.027274,0.053240", \
"0.003585,0.004549,0.005893,0.008651,0.014672,0.027356,0.053236", \
"0.004765,0.005680,0.007002,0.009553,0.015180,0.027636,0.053380", \
"0.006857,0.007759,0.009076,0.011687,0.016864,0.028943,0.054204");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.011640,0.013229,0.015177,0.018629,0.025040,0.037632,0.062786", \
"0.012803,0.014388,0.016333,0.019782,0.026193,0.038786,0.063943", \
"0.014622,0.016195,0.018129,0.021566,0.027971,0.040559,0.065719", \
"0.018160,0.019767,0.021707,0.025129,0.031495,0.044047,0.069186", \
"0.023339,0.025103,0.027203,0.030806,0.037237,0.049726,0.074794", \
"0.031374,0.033339,0.035650,0.039545,0.046201,0.058662,0.083642", \
"0.044164,0.046423,0.049064,0.053453,0.060734,0.073480,0.098363");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.012911,0.014675,0.016897,0.020914,0.028443,0.043210,0.072692", \
"0.013531,0.015295,0.017515,0.021529,0.029058,0.043826,0.073307", \
"0.014284,0.016040,0.018250,0.022251,0.029768,0.044531,0.074010", \
"0.015594,0.017366,0.019590,0.023594,0.031084,0.045813,0.075272", \
"0.017388,0.019227,0.021508,0.025551,0.033034,0.047721,0.077118", \
"0.018886,0.020904,0.023383,0.027682,0.035369,0.050122,0.079451", \
"0.018206,0.020514,0.023298,0.028113,0.036356,0.051693,0.081644");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.002497,0.003309,0.004449,0.006801,0.011867,0.022515,0.044041", \
"0.002497,0.003311,0.004451,0.006802,0.011867,0.022515,0.044041", \
"0.002505,0.003323,0.004464,0.006810,0.011870,0.022516,0.044041", \
"0.002864,0.003601,0.004662,0.006913,0.011899,0.022518,0.044040", \
"0.003622,0.004357,0.005377,0.007465,0.012147,0.022560,0.044045", \
"0.004725,0.005483,0.006484,0.008473,0.012757,0.022770,0.044111", \
"0.006614,0.007423,0.008458,0.010427,0.014384,0.023552,0.044374");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.002689,0.003799,0.005284,0.008241,0.014420,0.027270,0.053231", \
"0.002690,0.003798,0.005284,0.008241,0.014421,0.027270,0.053235", \
"0.002694,0.003804,0.005290,0.008247,0.014422,0.027269,0.053234", \
"0.002840,0.003938,0.005413,0.008327,0.014447,0.027272,0.053236", \
"0.003276,0.004325,0.005751,0.008577,0.014599,0.027322,0.053237", \
"0.004240,0.005252,0.006670,0.009400,0.015200,0.027628,0.053327", \
"0.005889,0.006896,0.008337,0.011118,0.016715,0.029148,0.054358");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("2.740349,2.902865,3.023824,3.094896,3.123690,3.142612,3.147165", \
"2.737903,2.883925,3.004041,3.070173,3.104837,3.125268,3.135417", \
"2.826929,2.980304,3.073579,3.131572,3.160156,3.178498,3.188810", \
"3.145433,3.259813,3.348432,3.382480,3.381547,3.386165,3.393875", \
"3.736858,3.798683,3.882867,3.980641,3.974084,3.943813,3.934321", \
"5.190620,5.203115,5.239484,5.297643,5.308503,5.298467,5.237793", \
"7.961014,7.994145,7.974517,7.981918,8.105273,8.176658,8.136943");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.726461,1.782308,1.779851,1.821407,1.752019,1.833593,1.401996", \
"1.697516,1.765858,1.760589,1.773047,1.756848,1.609711,1.557595", \
"1.774070,1.821739,1.871139,1.860397,1.774361,1.657687,1.548030", \
"2.085019,2.134032,2.118601,2.106352,2.038828,1.963866,1.996015", \
"2.867116,2.842101,2.827750,2.729914,2.598610,2.466460,2.405144", \
"4.368413,4.315023,4.313066,4.244629,4.055226,3.895302,3.860714", \
"7.257275,7.159126,7.096729,7.086378,7.156256,7.201057,6.944545");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("3.136179,3.301089,3.420622,3.493376,3.525464,3.549128,3.562786", \
"3.140559,3.295695,3.408031,3.482869,3.519519,3.539600,3.554702", \
"3.226636,3.368894,3.477515,3.550378,3.579526,3.606404,3.622560", \
"3.577868,3.673956,3.771243,3.820183,3.824958,3.832266,3.843032", \
"4.203730,4.287061,4.389250,4.461890,4.446238,4.419271,4.409746", \
"5.733511,5.743950,5.778356,5.818861,5.797402,5.776875,5.724118", \
"8.720120,8.735300,8.707603,8.699643,8.699869,8.609879,8.555222");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.736372,1.785268,1.796006,1.835906,1.756160,1.639106,1.397288", \
"1.681954,1.714623,1.745441,1.792382,1.723082,1.788805,1.351172", \
"1.717324,1.747244,1.788492,1.753991,1.821271,1.783561,1.369727", \
"1.898386,1.906631,1.934542,1.944210,1.934542,1.768213,1.483202", \
"2.498127,2.501473,2.511153,2.421581,2.322806,2.278876,2.084992", \
"3.771596,3.746064,3.787478,3.810856,3.710378,3.407424,3.111045", \
"5.986181,5.998938,5.992758,6.155315,6.345566,6.435205,6.212665");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X4
Cell Description : Combinational cell (ISO_FENCE0N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 95598.296031;
leakage_power () {
when : "!A & !EN";
value : 80101.750000;
}
leakage_power () {
when : "!A & EN";
value : 119705.000000;
}
leakage_power () {
when : "A & !EN";
value : 76619.184125;
}
leakage_power () {
when : "A & EN";
value : 105967.250000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.967148;
fall_capacitance : 0.928510;
rise_capacitance : 1.005786;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.937461,0.939081,0.939728,0.940403,0.939881,0.939106,0.939065");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.530254,-0.531821,-0.531795,-0.531702,-0.531960,-0.531022,-0.529782");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.106901;
fall_capacitance : 1.069133;
rise_capacitance : 1.144669;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.951828,0.896724,0.886738,0.879768,0.872783,0.867647,0.863411");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.789146,-0.790304,-0.791063,-0.791495,-0.791923,-0.792271,-0.791630");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.009834,0.011726,0.013621,0.017015,0.023398,0.035991,0.061152", \
"0.010989,0.012873,0.014762,0.018154,0.024539,0.037133,0.062298", \
"0.012766,0.014622,0.016494,0.019869,0.026243,0.038833,0.063994", \
"0.015775,0.017728,0.019652,0.023045,0.029382,0.041928,0.067068", \
"0.019993,0.022135,0.024217,0.027798,0.034211,0.046705,0.071768", \
"0.026145,0.028569,0.030906,0.034868,0.041618,0.054145,0.079131", \
"0.034923,0.037736,0.040467,0.045045,0.052672,0.065896,0.091156");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.011318,0.013453,0.015652,0.019650,0.027172,0.041945,0.071428", \
"0.012081,0.014213,0.016409,0.020401,0.027922,0.042693,0.072180", \
"0.013370,0.015484,0.017661,0.021629,0.029125,0.043881,0.073361", \
"0.015454,0.017579,0.019768,0.023734,0.031180,0.045877,0.075315", \
"0.017989,0.020220,0.022453,0.026417,0.033843,0.048507,0.077855", \
"0.020800,0.023272,0.025723,0.029939,0.037460,0.052077,0.081376", \
"0.022901,0.025757,0.028543,0.033337,0.041445,0.056438,0.086068");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002166,0.003165,0.004324,0.006731,0.011876,0.022571,0.044110", \
"0.002165,0.003168,0.004327,0.006733,0.011876,0.022572,0.044113", \
"0.002202,0.003200,0.004353,0.006747,0.011880,0.022572,0.044115", \
"0.002710,0.003616,0.004673,0.006910,0.011918,0.022575,0.044114", \
"0.003491,0.004402,0.005424,0.007501,0.012202,0.022630,0.044114", \
"0.004799,0.005761,0.006791,0.008786,0.013030,0.022934,0.044202", \
"0.007016,0.008086,0.009203,0.011275,0.015335,0.024454,0.044898");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002489,0.003831,0.005319,0.008292,0.014499,0.027367,0.053335", \
"0.002489,0.003832,0.005320,0.008293,0.014499,0.027367,0.053337", \
"0.002499,0.003844,0.005333,0.008303,0.014504,0.027367,0.053336", \
"0.002808,0.004072,0.005532,0.008432,0.014541,0.027370,0.053341", \
"0.003442,0.004579,0.005917,0.008693,0.014753,0.027459,0.053338", \
"0.004661,0.005732,0.007032,0.009583,0.015268,0.027751,0.053489", \
"0.006812,0.007854,0.009138,0.011721,0.016928,0.029101,0.054357");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.011017,0.012928,0.014839,0.018253,0.024650,0.037246,0.062414", \
"0.012183,0.014089,0.015996,0.019408,0.025805,0.038403,0.063572", \
"0.014008,0.015898,0.017792,0.021192,0.027581,0.040175,0.065343", \
"0.017470,0.019408,0.021316,0.024701,0.031050,0.043604,0.068752", \
"0.022504,0.024631,0.026685,0.030233,0.036632,0.049124,0.074203", \
"0.030357,0.032723,0.034980,0.038808,0.045398,0.057844,0.082839", \
"0.042839,0.045560,0.048144,0.052460,0.059648,0.072350,0.097252");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.012283,0.014417,0.016617,0.020615,0.028138,0.042910,0.072393", \
"0.012886,0.015019,0.017217,0.021212,0.028734,0.043508,0.072992", \
"0.013627,0.015749,0.017936,0.021916,0.029426,0.044191,0.073676", \
"0.014886,0.017028,0.019229,0.023215,0.030698,0.045432,0.074893", \
"0.016607,0.018830,0.021085,0.025104,0.032580,0.047276,0.076675", \
"0.017995,0.020437,0.022885,0.027152,0.034838,0.049614,0.078956", \
"0.017207,0.019996,0.022743,0.027514,0.035737,0.051118,0.081138");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002312,0.003289,0.004432,0.006808,0.011916,0.022592,0.044125", \
"0.002312,0.003291,0.004434,0.006809,0.011916,0.022592,0.044127", \
"0.002324,0.003306,0.004448,0.006818,0.011919,0.022592,0.044127", \
"0.002726,0.003610,0.004663,0.006925,0.011947,0.022594,0.044127", \
"0.003471,0.004345,0.005356,0.007449,0.012183,0.022636,0.044130", \
"0.004587,0.005477,0.006465,0.008436,0.012753,0.022837,0.044202", \
"0.006504,0.007454,0.008459,0.010399,0.014353,0.023609,0.044479");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002489,0.003832,0.005319,0.008292,0.014499,0.027366,0.053339", \
"0.002488,0.003832,0.005319,0.008292,0.014499,0.027366,0.053340", \
"0.002494,0.003839,0.005327,0.008298,0.014501,0.027367,0.053340", \
"0.002656,0.003980,0.005457,0.008384,0.014527,0.027369,0.053336", \
"0.003119,0.004373,0.005793,0.008632,0.014682,0.027423,0.053341", \
"0.004116,0.005314,0.006721,0.009461,0.015305,0.027750,0.053434", \
"0.005810,0.006992,0.008411,0.011181,0.016826,0.029334,0.054527");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.136636,5.461401,5.640620,5.759800,5.820506,5.851222,5.867246", \
"5.104086,5.439848,5.606816,5.728071,5.786256,5.820762,5.843361", \
"5.304658,5.601082,5.747744,5.846379,5.898317,5.930357,5.951126", \
"5.876877,6.136273,6.285379,6.328647,6.331357,6.340314,6.357953", \
"7.146043,7.228370,7.371534,7.498910,7.487868,7.436495,7.414490", \
"10.024010,10.006990,10.005840,10.096960,10.082190,10.069950,9.962983", \
"15.428090,15.451330,15.325660,15.309140,15.485990,15.644520,15.580880");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.347206,3.514666,3.579021,3.554531,3.611175,3.654356,3.188088", \
"3.359034,3.449971,3.482270,3.473618,3.633750,3.281421,3.609181", \
"3.526433,3.657188,3.630120,3.630912,3.686196,3.328647,3.233424", \
"4.137036,4.177337,4.204738,4.142667,4.070784,3.951919,4.025871", \
"5.693420,5.617233,5.554219,5.359153,5.301920,4.976561,4.680639", \
"8.726816,8.508609,8.525325,8.387281,8.025868,7.804878,7.355036", \
"14.272780,14.054840,13.960850,13.904530,14.132160,14.102950,13.554110");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.868988,6.220207,6.407934,6.525210,6.595656,6.636246,6.668841", \
"5.899440,6.163320,6.389046,6.505706,6.572235,6.625161,6.655379", \
"6.093142,6.368329,6.529812,6.647868,6.704208,6.748237,6.784433", \
"6.772153,6.963779,7.106882,7.164782,7.183914,7.194809,7.215907", \
"8.053014,8.158210,8.299373,8.419967,8.380311,8.343979,8.326831", \
"11.064050,11.036790,11.021580,11.075120,11.024310,10.981640,10.882440", \
"16.953360,16.907240,16.769240,16.721330,16.650280,16.470610,16.369930");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.376172,3.488518,3.595441,3.640991,3.557947,3.459970,2.939697", \
"3.322916,3.411333,3.510744,3.539802,3.577822,3.449831,2.795693", \
"3.388299,3.504923,3.518671,3.576403,3.515010,3.185121,3.564773", \
"3.752456,3.845268,3.851481,3.861365,3.771760,3.689459,3.578761", \
"5.002293,5.002192,4.977294,4.771886,4.618523,4.712223,4.310145", \
"7.431493,7.373013,7.507633,7.458638,7.391287,6.984297,6.489439", \
"11.961950,11.782960,11.837130,12.002690,12.356340,12.273280,12.102380");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X1
Cell Description : Combinational cell (ISO_FENCE0_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 18841.092469;
leakage_power () {
when : "!A & !EN";
value : 13640.500000;
}
leakage_power () {
when : "!A & EN";
value : 15066.790000;
}
leakage_power () {
when : "A & !EN";
value : 18704.987500;
}
leakage_power () {
when : "A & EN";
value : 27952.092375;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.601741;
fall_capacitance : 0.579460;
rise_capacitance : 0.624022;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.123731,0.123713,0.123709,0.123698,0.123672,0.123697,0.123708");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.001779,-0.001784,-0.001789,-0.001801,-0.001825,-0.001872,-0.001965");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.643272;
fall_capacitance : 0.621932;
rise_capacitance : 0.664612;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.509138,0.509584,0.509411,0.509084,0.508676,0.507793,0.507745");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.009103,-0.009118,-0.009132,-0.009184,-0.009282,-0.009469,-0.009852");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 10.299700;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003730,0.004455,0.005699,0.007840,0.011547,0.017983,0.029192", \
"0.004645,0.005376,0.006603,0.008739,0.012449,0.018897,0.030113", \
"0.005211,0.006194,0.007735,0.010044,0.013698,0.020106,0.031306", \
"0.005533,0.006783,0.008741,0.011734,0.016208,0.022736,0.033821", \
"0.005073,0.006694,0.009217,0.013049,0.018748,0.027162,0.039278", \
"0.002607,0.004718,0.008007,0.012998,0.020374,0.031112,0.046741", \
"-0.004292,-0.001580,0.002677,0.009175,0.018816,0.032814,0.052892");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.005653,0.006854,0.008920,0.012479,0.018636,0.029324,0.047928", \
"0.006656,0.007798,0.009808,0.013327,0.019471,0.030165,0.048783", \
"0.008329,0.009574,0.011500,0.014904,0.020930,0.031530,0.050081", \
"0.010750,0.012266,0.014703,0.018482,0.024338,0.034693,0.053020", \
"0.014749,0.016556,0.019461,0.024064,0.031183,0.041818,0.059647", \
"0.021378,0.023664,0.027261,0.032818,0.041382,0.054538,0.074220", \
"0.032502,0.035446,0.040080,0.047161,0.057748,0.073605,0.097670");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.002099,0.002691,0.003727,0.005532,0.008682,0.014178,0.023755", \
"0.002409,0.002848,0.003740,0.005532,0.008682,0.014173,0.023756", \
"0.003449,0.003926,0.004671,0.005938,0.008700,0.014177,0.023761", \
"0.005134,0.005697,0.006608,0.008072,0.010261,0.014551,0.023762", \
"0.008080,0.008795,0.009920,0.011649,0.014355,0.018520,0.025357", \
"0.013197,0.014158,0.015654,0.017892,0.021181,0.026146,0.033841", \
"0.022351,0.023602,0.025600,0.028620,0.033010,0.039283,0.048406");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003832,0.004854,0.006631,0.009737,0.015142,0.024569,0.041016", \
"0.003813,0.004846,0.006630,0.009734,0.015143,0.024569,0.041010", \
"0.004649,0.005376,0.006821,0.009733,0.015143,0.024569,0.041012", \
"0.006040,0.006975,0.008474,0.010758,0.015334,0.024570,0.041007", \
"0.008335,0.009342,0.011055,0.013886,0.018220,0.025621,0.041009", \
"0.012763,0.013857,0.015672,0.018723,0.023834,0.031926,0.044459", \
"0.021129,0.022361,0.024439,0.027804,0.033266,0.042328,0.057058");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.004851,0.005603,0.006884,0.009068,0.012818,0.019297,0.030546", \
"0.005734,0.006474,0.007747,0.009925,0.013670,0.020146,0.031390", \
"0.006774,0.007660,0.009056,0.011225,0.014925,0.021364,0.032582", \
"0.007705,0.008816,0.010603,0.013389,0.017607,0.024014,0.035112", \
"0.008239,0.009655,0.011910,0.015418,0.020773,0.028840,0.040611", \
"0.007587,0.009400,0.012281,0.016757,0.023541,0.033681,0.048774", \
"0.004064,0.006393,0.010085,0.015833,0.024542,0.037492,0.056474");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.007328,0.008513,0.010558,0.014097,0.020236,0.030911,0.049506", \
"0.008349,0.009531,0.011582,0.015141,0.021315,0.032030,0.050659", \
"0.009612,0.010826,0.012857,0.016385,0.022537,0.033248,0.051889", \
"0.011502,0.012953,0.015313,0.019104,0.025208,0.035830,0.054401", \
"0.015012,0.016716,0.019446,0.023793,0.030696,0.041616,0.059955", \
"0.021022,0.023186,0.026580,0.031797,0.039806,0.052281,0.071923", \
"0.030712,0.033577,0.038050,0.044820,0.054848,0.069711,0.092299");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.002983,0.003584,0.004629,0.006445,0.009610,0.015121,0.024730", \
"0.003061,0.003604,0.004632,0.006447,0.009610,0.015122,0.024727", \
"0.004053,0.004492,0.005210,0.006666,0.009617,0.015122,0.024728", \
"0.005762,0.006323,0.007233,0.008650,0.010850,0.015379,0.024728", \
"0.008605,0.009316,0.010440,0.012199,0.014939,0.019062,0.026105", \
"0.013463,0.014426,0.015922,0.018173,0.021525,0.026609,0.034367", \
"0.021891,0.023238,0.025334,0.028415,0.032865,0.039194,0.048515");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003837,0.004853,0.006634,0.009733,0.015142,0.024565,0.041010", \
"0.003844,0.004855,0.006631,0.009737,0.015140,0.024567,0.041006", \
"0.004254,0.005099,0.006697,0.009736,0.015139,0.024569,0.041012", \
"0.005486,0.006347,0.007831,0.010353,0.015258,0.024564,0.041011", \
"0.007539,0.008402,0.009922,0.012598,0.017151,0.025253,0.041004", \
"0.011356,0.012267,0.013832,0.016517,0.021232,0.029387,0.043254", \
"0.018165,0.019236,0.021049,0.024036,0.028932,0.037182,0.051571");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("-0.000045,-0.000052,-0.000064,-0.000084,-0.000120,-0.000183,-0.000291", \
"-0.000101,-0.000108,-0.000120,-0.000140,-0.000176,-0.000239,-0.000347", \
"-0.000174,-0.000181,-0.000193,-0.000213,-0.000249,-0.000311,-0.000420", \
"0.203299,0.165510,0.112609,0.051957,0.019822,-0.000457,-0.000565", \
"0.709446,0.678965,0.610663,0.498808,0.358215,0.237353,0.153276", \
"1.646854,1.639757,1.606913,1.513390,1.314045,1.018019,0.721890", \
"3.374298,3.416986,3.437806,3.415506,3.309444,3.004509,2.433311");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("1.193636,1.196058,1.210415,1.235139,1.219410,1.230392,1.157433", \
"1.190044,1.206972,1.202517,1.203367,1.186916,1.233226,1.167217", \
"1.302857,1.287715,1.282759,1.265992,1.231025,1.236554,1.261560", \
"1.516839,1.516624,1.496545,1.464897,1.385612,1.369171,1.332053", \
"2.003159,1.996535,1.961280,1.933560,1.837466,1.735483,1.555726", \
"3.204469,3.146126,3.040356,2.943461,2.830226,2.633719,2.429640", \
"5.595254,5.531887,5.495951,5.290547,5.041848,4.693871,4.242454");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.084816,0.092189,0.099647,0.109838,0.116585,0.124454,0.133070", \
"0.064833,0.070985,0.080325,0.090398,0.097935,0.108206,0.116404", \
"0.112922,0.114406,0.112439,0.114497,0.115579,0.119022,0.122042", \
"0.344396,0.318743,0.278695,0.239461,0.211249,0.188297,0.169073", \
"0.873774,0.836316,0.773922,0.679831,0.556862,0.446241,0.356376", \
"1.905304,1.882570,1.839534,1.730611,1.539220,1.264613,0.969746", \
"3.809627,3.820400,3.843921,3.816757,3.672903,3.334085,2.780064");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("1.617054,1.608560,1.616177,1.629484,1.633514,1.628014,1.514392", \
"1.569737,1.569979,1.579231,1.608709,1.619430,1.629286,1.619569", \
"1.578686,1.577908,1.581308,1.585638,1.598310,1.605987,1.510246", \
"1.685566,1.681515,1.680785,1.652944,1.642461,1.626857,1.556309", \
"2.011894,1.994840,1.985877,1.990355,1.890449,1.861157,1.804740", \
"2.985133,2.946967,2.876628,2.768565,2.664399,2.502629,2.246900", \
"4.984764,4.961929,4.888967,4.755299,4.453726,4.192382,3.744760");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X2
Cell Description : Combinational cell (ISO_FENCE0_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 38374.165625;
leakage_power () {
when : "!A & !EN";
value : 27760.125000;
}
leakage_power () {
when : "!A & EN";
value : 30680.837500;
}
leakage_power () {
when : "A & !EN";
value : 38188.087500;
}
leakage_power () {
when : "A & EN";
value : 56867.612500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.045175;
fall_capacitance : 0.996386;
rise_capacitance : 1.093964;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.253072,0.253037,0.253011,0.252999,0.252973,0.252965,0.253019");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.003629,-0.003638,-0.003650,-0.003674,-0.003723,-0.003819,-0.004012");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.170787;
fall_capacitance : 1.125299;
rise_capacitance : 1.216275;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.049779,1.050365,1.050155,1.049864,1.049508,1.048620,1.047022");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.018396,-0.018427,-0.018459,-0.018539,-0.018735,-0.019124,-0.019909");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.362300;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.003109,0.003597,0.004531,0.006330,0.009820,0.016645,0.030054", \
"0.003932,0.004494,0.005448,0.007226,0.010716,0.017550,0.030973", \
"0.004301,0.005013,0.006282,0.008448,0.011985,0.018766,0.032159", \
"0.004385,0.005294,0.006905,0.009652,0.014205,0.021419,0.034664", \
"0.003606,0.004787,0.006877,0.010418,0.016206,0.025520,0.040108", \
"0.0007803,0.002308,0.005024,0.009639,0.017156,0.029071,0.047850", \
"-0.006465,-0.004517,-0.001031,0.004950,0.014760,0.030306,0.054417");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004536,0.005320,0.006837,0.009766,0.015450,0.026560,0.048375", \
"0.005620,0.006338,0.007775,0.010634,0.016285,0.027398,0.049232", \
"0.007051,0.007942,0.009538,0.012284,0.017787,0.028774,0.050526", \
"0.009204,0.010261,0.012194,0.015602,0.021287,0.031970,0.053447", \
"0.012830,0.014118,0.016432,0.020505,0.027537,0.039168,0.060035", \
"0.018832,0.020482,0.023420,0.028455,0.036908,0.051147,0.074540", \
"0.029061,0.031162,0.034951,0.041446,0.052109,0.069387,0.097930");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.001568,0.001955,0.002716,0.004216,0.007172,0.012996,0.024464", \
"0.002074,0.002308,0.002864,0.004217,0.007173,0.012994,0.024463", \
"0.002982,0.003319,0.003935,0.004975,0.007309,0.012996,0.024467", \
"0.004574,0.004978,0.005706,0.007006,0.009253,0.013541,0.024467", \
"0.007342,0.007879,0.008811,0.010388,0.013065,0.017665,0.025919", \
"0.012187,0.012903,0.014162,0.016260,0.019644,0.025081,0.034323", \
"0.021022,0.021927,0.023587,0.026410,0.030953,0.037968,0.048949");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002864,0.003521,0.004809,0.007343,0.012319,0.022114,0.041413", \
"0.002993,0.003538,0.004799,0.007340,0.012320,0.022117,0.041409", \
"0.003941,0.004457,0.005351,0.007443,0.012320,0.022116,0.041411", \
"0.005119,0.005754,0.006946,0.009027,0.012863,0.022116,0.041409", \
"0.007384,0.008048,0.009324,0.011745,0.016075,0.023589,0.041412", \
"0.011715,0.012462,0.013859,0.016430,0.021242,0.029967,0.044824", \
"0.019940,0.020790,0.022389,0.025328,0.030568,0.040101,0.057477");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004226,0.004735,0.005704,0.007552,0.011098,0.017977,0.031431", \
"0.005131,0.005619,0.006572,0.008409,0.011948,0.018822,0.032273", \
"0.006002,0.006633,0.007771,0.009739,0.013220,0.020044,0.033460", \
"0.006754,0.007539,0.008968,0.011486,0.015745,0.022716,0.035985", \
"0.007091,0.008084,0.009892,0.013055,0.018417,0.027291,0.041472", \
"0.006225,0.007491,0.009804,0.013846,0.020652,0.031802,0.049894", \
"0.002552,0.004161,0.007117,0.012299,0.021031,0.035274,0.058020");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.006244,0.007015,0.008509,0.011411,0.017070,0.028162,0.049965", \
"0.007268,0.008030,0.009520,0.012433,0.018128,0.029269,0.051117", \
"0.008382,0.009241,0.010798,0.013685,0.019346,0.030477,0.052338", \
"0.010023,0.011032,0.012881,0.016195,0.022032,0.033051,0.054827", \
"0.013188,0.014397,0.016572,0.020399,0.027093,0.038807,0.060320", \
"0.018553,0.020122,0.022902,0.027639,0.035548,0.048944,0.072168", \
"0.027228,0.029306,0.032994,0.039242,0.049387,0.065617,0.092362");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002470,0.002865,0.003636,0.005149,0.008119,0.013961,0.025459", \
"0.002627,0.002956,0.003651,0.005152,0.008120,0.013960,0.025456", \
"0.003636,0.003955,0.004521,0.005599,0.008171,0.013962,0.025459", \
"0.005224,0.005629,0.006352,0.007643,0.009804,0.014352,0.025458", \
"0.007904,0.008421,0.009345,0.010932,0.013658,0.018232,0.026701", \
"0.012443,0.013180,0.014440,0.016548,0.019943,0.025532,0.034863", \
"0.020395,0.021434,0.023222,0.026165,0.030773,0.037847,0.049059");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002878,0.003525,0.004812,0.007343,0.012321,0.022118,0.041408", \
"0.002912,0.003545,0.004814,0.007344,0.012318,0.022113,0.041410", \
"0.003520,0.004012,0.005068,0.007377,0.012319,0.022117,0.041409", \
"0.004659,0.005219,0.006311,0.008401,0.012643,0.022115,0.041413", \
"0.006739,0.007286,0.008371,0.010539,0.014802,0.023066,0.041412", \
"0.010511,0.011106,0.012266,0.014483,0.018779,0.027294,0.043621", \
"0.017201,0.017879,0.019239,0.021814,0.026472,0.035085,0.051940");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("-0.000080,-0.000089,-0.000108,-0.000143,-0.000213,-0.000350,-0.000619", \
"-0.000195,-0.000204,-0.000222,-0.000258,-0.000327,-0.000464,-0.000734", \
"-0.000342,-0.000352,-0.000372,-0.000407,-0.000475,-0.000612,-0.000881", \
"0.485194,0.427327,0.328577,0.181361,0.056949,-0.000909,-0.001177", \
"1.482571,1.459472,1.365528,1.173866,0.842916,0.508094,0.296694", \
"3.316777,3.353047,3.311683,3.217455,2.869077,2.179771,1.438798", \
"6.827030,6.897588,6.922509,6.983026,6.874200,6.256710,4.881654");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("2.201055,2.236272,2.277581,2.307141,2.324862,2.236472,2.282836", \
"2.249725,2.241948,2.269145,2.260480,2.254126,2.297500,2.209805", \
"2.483250,2.472535,2.407076,2.404037,2.324150,2.376782,2.360661", \
"2.852385,2.882331,2.901895,2.846248,2.678126,2.539067,2.437456", \
"3.927887,3.879991,3.830012,3.778479,3.637661,3.295574,3.052640", \
"6.360794,6.303639,6.218299,5.990355,5.632580,5.203934,4.669379", \
"11.283490,11.210710,11.074140,10.885270,10.366070,9.487908,8.655936");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.144754,0.156959,0.178226,0.196613,0.218262,0.240888,0.257784", \
"0.103318,0.120388,0.137760,0.156851,0.181956,0.203052,0.221606", \
"0.234734,0.219316,0.220957,0.218132,0.220536,0.224847,0.234768", \
"0.743542,0.706119,0.630412,0.520780,0.439701,0.377654,0.328505", \
"1.826114,1.787467,1.688891,1.509379,1.229852,0.927881,0.704318", \
"3.873942,3.862814,3.831931,3.678218,3.311279,2.672175,1.939763", \
"7.672670,7.721996,7.795336,7.825187,7.632098,6.933274,5.588765");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("3.062392,3.087481,3.111750,3.118891,3.166673,3.155478,3.115690", \
"2.993290,3.017945,3.030678,3.056397,3.079826,3.024268,3.039489", \
"3.039463,3.049137,3.043605,3.056661,3.036360,2.991794,3.086180", \
"3.209283,3.247854,3.242845,3.228370,3.164175,3.136443,3.185881", \
"3.912721,3.881717,3.890488,3.799558,3.760244,3.548479,3.419092", \
"5.981884,5.914433,5.802893,5.571750,5.308912,4.997981,4.521065", \
"10.059530,10.043310,9.898572,9.627821,9.217430,8.415361,7.667406");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X4
Cell Description : Combinational cell (ISO_FENCE0_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 76748.309812;
leakage_power () {
when : "!A & !EN";
value : 55520.250000;
}
leakage_power () {
when : "!A & EN";
value : 61361.662500;
}
leakage_power () {
when : "A & !EN";
value : 76376.375000;
}
leakage_power () {
when : "A & EN";
value : 113734.951750;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.946424;
fall_capacitance : 1.857356;
rise_capacitance : 2.035493;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.506173,0.506065,0.506031,0.505998,0.506005,0.505932,0.506050");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.007257,-0.007276,-0.007300,-0.007348,-0.007445,-0.007638,-0.008025");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.366085;
fall_capacitance : 2.273645;
rise_capacitance : 2.458526;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("2.099605,2.100606,2.100316,2.099826,2.099077,2.097152,2.094191");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.036783,-0.036846,-0.036925,-0.037081,-0.037468,-0.038244,-0.039828");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 42.724600;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002875,0.003548,0.004443,0.006191,0.009631,0.016456,0.030071", \
"0.003645,0.004436,0.005363,0.007088,0.010525,0.017361,0.030986", \
"0.003939,0.004938,0.006163,0.008289,0.011798,0.018577,0.032171", \
"0.003912,0.005192,0.006749,0.009447,0.013974,0.021235,0.034679", \
"0.002979,0.004643,0.006663,0.010144,0.015907,0.025281,0.040124", \
"-0.00004774,0.002103,0.004733,0.009268,0.016760,0.028759,0.047862", \
"-0.007523,-0.004798,-0.001427,0.004452,0.014228,0.029883,0.054426");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.004138,0.005221,0.006675,0.009523,0.015128,0.026244,0.048401", \
"0.005270,0.006245,0.007617,0.010392,0.015961,0.027080,0.049256", \
"0.006568,0.007822,0.009369,0.012052,0.017469,0.028457,0.050548", \
"0.008630,0.010111,0.011977,0.015323,0.020983,0.031659,0.053470", \
"0.012117,0.013932,0.016169,0.020161,0.027149,0.038864,0.060057", \
"0.017908,0.020234,0.023085,0.028031,0.036435,0.050755,0.074562", \
"0.027878,0.030833,0.034506,0.040893,0.051518,0.068913,0.097945");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.001356,0.001883,0.002610,0.004065,0.006975,0.012797,0.024445", \
"0.001907,0.002255,0.002777,0.004066,0.006976,0.012797,0.024446", \
"0.002784,0.003252,0.003845,0.004869,0.007135,0.012799,0.024446", \
"0.004333,0.004900,0.005602,0.006874,0.009109,0.013374,0.024446", \
"0.007021,0.007776,0.008678,0.010236,0.012892,0.017513,0.025902", \
"0.011751,0.012761,0.013986,0.016062,0.019420,0.024908,0.034301", \
"0.020479,0.021733,0.023337,0.026128,0.030667,0.037743,0.048918");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002497,0.003397,0.004632,0.007090,0.011997,0.021801,0.041397", \
"0.002716,0.003432,0.004620,0.007089,0.011996,0.021800,0.041402", \
"0.003625,0.004384,0.005223,0.007224,0.011997,0.021801,0.041396", \
"0.004769,0.005646,0.006792,0.008854,0.012589,0.021801,0.041392", \
"0.007033,0.007939,0.009159,0.011515,0.015817,0.023333,0.041401", \
"0.011310,0.012344,0.013685,0.016194,0.020941,0.029708,0.044819", \
"0.019519,0.020658,0.022190,0.025060,0.030249,0.039803,0.057468");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003939,0.004643,0.005575,0.007375,0.010875,0.017760,0.031428", \
"0.004859,0.005532,0.006446,0.008233,0.011725,0.018606,0.032270", \
"0.005640,0.006524,0.007625,0.009560,0.013000,0.019829,0.033457", \
"0.006299,0.007404,0.008786,0.011255,0.015494,0.022504,0.035982", \
"0.006519,0.007915,0.009660,0.012767,0.018097,0.027032,0.041468", \
"0.005500,0.007278,0.009512,0.013478,0.020249,0.031472,0.049892", \
"0.001624,0.003889,0.006744,0.011826,0.020513,0.034857,0.058009");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.005818,0.006879,0.008311,0.011132,0.016711,0.027808,0.049953", \
"0.006847,0.007895,0.009321,0.012151,0.017766,0.028913,0.051103", \
"0.007881,0.009084,0.010594,0.013402,0.018982,0.030119,0.052321", \
"0.009428,0.010842,0.012628,0.015875,0.021669,0.032694,0.054810", \
"0.012463,0.014169,0.016274,0.020028,0.026673,0.038442,0.060300", \
"0.017605,0.019823,0.022520,0.027185,0.035056,0.048522,0.072144", \
"0.025971,0.028902,0.032485,0.038643,0.048764,0.065114,0.092325");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002257,0.002797,0.003535,0.005002,0.007928,0.013772,0.025449", \
"0.002459,0.002898,0.003555,0.005004,0.007928,0.013771,0.025447", \
"0.003456,0.003899,0.004450,0.005485,0.007992,0.013772,0.025446", \
"0.004996,0.005559,0.006258,0.007520,0.009674,0.014186,0.025448", \
"0.007585,0.008320,0.009221,0.010782,0.013488,0.018094,0.026694", \
"0.012001,0.013033,0.014267,0.016341,0.019744,0.025350,0.034855", \
"0.019749,0.021213,0.022962,0.025879,0.030492,0.037620,0.049041");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002522,0.003407,0.004634,0.007089,0.011996,0.021801,0.041398", \
"0.002571,0.003428,0.004641,0.007091,0.011997,0.021799,0.041399", \
"0.003234,0.003921,0.004917,0.007134,0.011997,0.021801,0.041397", \
"0.004345,0.005111,0.006160,0.008203,0.012351,0.021800,0.041402", \
"0.006438,0.007181,0.008212,0.010316,0.014529,0.022788,0.041402", \
"0.010171,0.010992,0.012104,0.014259,0.018499,0.027019,0.043622", \
"0.016834,0.017747,0.019048,0.021558,0.026175,0.034799,0.051931");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("-0.000150,-0.000176,-0.000211,-0.000280,-0.000418,-0.000692,-0.001239", \
"-0.000381,-0.000406,-0.000440,-0.000509,-0.000646,-0.000920,-0.001468", \
"0.144562,0.021829,-0.000740,-0.000807,-0.000942,-0.001215,-0.001762", \
"1.223832,1.065759,0.866852,0.571700,0.300307,0.172189,0.085109", \
"3.201495,3.102321,2.953274,2.578534,1.906157,1.209065,0.774648", \
"6.857982,6.853954,6.824854,6.651015,5.976770,4.583432,3.060572", \
"13.803730,13.905870,14.078710,14.173390,13.934090,12.756760,9.943067");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("4.194650,4.201175,4.251896,4.362129,4.397967,4.378840,4.149016", \
"4.287936,4.292527,4.274110,4.296843,4.313768,4.414139,4.244840", \
"4.733509,4.702995,4.638706,4.543011,4.479690,4.456717,4.488024", \
"5.525758,5.595039,5.645270,5.482111,5.277751,5.036566,4.550126", \
"7.750431,7.592231,7.435978,7.312396,7.019494,6.582941,5.726316", \
"12.554010,12.473280,12.194260,11.823300,11.021140,10.393210,8.963443", \
"22.435400,22.196770,22.039710,21.576230,20.549690,18.868290,17.073640");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.446347,0.480685,0.522597,0.566936,0.615822,0.653089,0.693220", \
"0.380256,0.407205,0.441745,0.493190,0.542196,0.581194,0.624446", \
"0.676391,0.620193,0.619444,0.618088,0.623537,0.628093,0.648016", \
"1.722933,1.601693,1.458904,1.240221,1.066827,0.936825,0.834916", \
"3.857444,3.754131,3.588998,3.235829,2.667935,2.043351,1.584374", \
"7.890508,7.899540,7.832668,7.559333,6.841124,5.551443,4.053579", \
"15.376010,15.603470,15.742910,15.829420,15.450950,14.081980,11.346920");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("5.941652,5.978998,6.034373,6.008736,6.053420,6.011633,5.872749", \
"5.759968,5.806685,5.845788,5.838524,5.957788,6.030644,5.803373", \
"5.860144,5.865588,5.816691,5.835272,5.870747,5.913796,5.939375", \
"6.110351,6.185304,6.301171,6.221330,6.188178,6.106388,5.964665", \
"7.641991,7.620528,7.573502,7.505675,7.393237,6.954723,6.590269", \
"11.769650,11.591860,11.450420,11.014970,10.366900,9.851747,8.751827", \
"20.138120,19.855340,19.632020,19.211670,18.279240,16.735730,15.109720");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X1
Cell Description : Combinational cell (ISO_FENCE1N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 17656.267684;
leakage_power () {
when : "!A & !EN";
value : 3912.162500;
}
leakage_power () {
when : "!A & EN";
value : 24311.112500;
}
leakage_power () {
when : "A & !EN";
value : 2116.820738;
}
leakage_power () {
when : "A & EN";
value : 40284.975000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.604839;
fall_capacitance : 0.587080;
rise_capacitance : 0.622597;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.481891,0.482903,0.483003,0.482764,0.482627,0.482509,0.482167");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.035971,-0.035994,-0.036024,-0.036083,-0.036201,-0.036437,-0.036908");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.622249;
fall_capacitance : 0.603864;
rise_capacitance : 0.640634;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.485380,0.460395,0.455313,0.451434,0.447880,0.445468,0.443381");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.133792,-0.133837,-0.133895,-0.134011,-0.134243,-0.134708,-0.135637");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.539400;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004732,0.005890,0.008090,0.012285,0.020320,0.035751,0.065411", \
"0.005525,0.006656,0.008839,0.013032,0.021077,0.036515,0.066186", \
"0.006371,0.007754,0.010087,0.014194,0.022176,0.037578,0.067231", \
"0.007236,0.008910,0.011816,0.016677,0.024647,0.039886,0.069429", \
"0.007984,0.010079,0.013640,0.019588,0.029378,0.045007,0.074163", \
"0.007927,0.010618,0.015164,0.022600,0.034590,0.053992,0.084626", \
"0.005718,0.009163,0.015026,0.024600,0.039780,0.063647,0.101771");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004408,0.005498,0.007554,0.011445,0.018862,0.033075,0.060389", \
"0.005632,0.006671,0.008682,0.012552,0.019974,0.034204,0.061531", \
"0.007117,0.008383,0.010466,0.014228,0.021564,0.035740,0.063035", \
"0.009151,0.010736,0.013450,0.017881,0.025085,0.039059,0.066204", \
"0.012205,0.014216,0.017605,0.023209,0.032218,0.046297,0.072995", \
"0.016695,0.019337,0.023755,0.030874,0.042207,0.060158,0.087715", \
"0.023387,0.026853,0.032700,0.042088,0.056683,0.079291,0.114701");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.002921,0.003883,0.005740,0.009307,0.016164,0.029356,0.054745", \
"0.003068,0.003896,0.005740,0.009308,0.016166,0.029362,0.054748", \
"0.004074,0.004815,0.006152,0.009310,0.016166,0.029361,0.054746", \
"0.005663,0.006520,0.008079,0.010721,0.016369,0.029360,0.054745", \
"0.008545,0.009533,0.011289,0.014397,0.019734,0.030107,0.054746", \
"0.013662,0.014925,0.017065,0.020635,0.026767,0.037282,0.056792", \
"0.022918,0.024482,0.027261,0.031796,0.039011,0.050998,0.071596");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.002734,0.003646,0.005402,0.008780,0.015281,0.027782,0.051852", \
"0.002860,0.003649,0.005403,0.008783,0.015283,0.027781,0.051855", \
"0.003930,0.004514,0.005754,0.008781,0.015283,0.027775,0.051843", \
"0.005533,0.006366,0.007783,0.010049,0.015412,0.027787,0.051850", \
"0.008276,0.009251,0.010974,0.013954,0.018585,0.028350,0.051847", \
"0.013154,0.014358,0.016437,0.019967,0.025961,0.035389,0.053481", \
"0.022025,0.023496,0.026121,0.030503,0.037618,0.049461,0.068259");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.005698,0.006850,0.009044,0.013234,0.021264,0.036689,0.066356", \
"0.006288,0.007454,0.009671,0.013894,0.021957,0.037409,0.067090", \
"0.006808,0.008104,0.010423,0.014645,0.022716,0.038179,0.067874", \
"0.007323,0.008874,0.011550,0.016151,0.024318,0.039760,0.069443", \
"0.007488,0.009461,0.012788,0.018264,0.027335,0.043119,0.072722", \
"0.006350,0.008914,0.013223,0.020209,0.031279,0.049098,0.079614", \
"0.001826,0.005203,0.010875,0.020036,0.034360,0.056481,0.091368");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.005554,0.006633,0.008673,0.012552,0.019963,0.034178,0.061496", \
"0.006756,0.007810,0.009825,0.013682,0.021079,0.035287,0.062607", \
"0.008588,0.009697,0.011635,0.015400,0.022713,0.036856,0.064122", \
"0.011239,0.012644,0.015102,0.019206,0.026300,0.040229,0.067334", \
"0.015332,0.017059,0.020077,0.025254,0.033787,0.047582,0.074210", \
"0.021900,0.024113,0.027907,0.034271,0.044837,0.062073,0.089133", \
"0.032637,0.035543,0.040495,0.048667,0.061819,0.083007,0.117220");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.002921,0.003884,0.005740,0.009306,0.016165,0.029359,0.054746", \
"0.002959,0.003891,0.005740,0.009306,0.016162,0.029355,0.054748", \
"0.003537,0.004338,0.005931,0.009311,0.016165,0.029360,0.054747", \
"0.004817,0.005549,0.007014,0.009989,0.016286,0.029359,0.054746", \
"0.007318,0.008123,0.009573,0.012300,0.017899,0.029742,0.054742", \
"0.011569,0.012585,0.014362,0.017386,0.022678,0.033269,0.055717", \
"0.018742,0.020061,0.022417,0.026325,0.032595,0.043016,0.063207");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.003531,0.004448,0.006211,0.009602,0.016119,0.028646,0.052741", \
"0.003529,0.004448,0.006209,0.009601,0.016117,0.028644,0.052747", \
"0.004301,0.004949,0.006378,0.009602,0.016114,0.028645,0.052744", \
"0.005974,0.006803,0.008178,0.010552,0.016186,0.028645,0.052744", \
"0.008396,0.009437,0.011266,0.014305,0.018965,0.029085,0.052740", \
"0.012547,0.013843,0.016070,0.019842,0.026109,0.035626,0.054179", \
"0.019919,0.021625,0.024484,0.029124,0.036665,0.049101,0.068260");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.069303,0.073860,0.084339,0.088519,0.095409,0.097850,0.099411", \
"0.056383,0.061399,0.068077,0.077495,0.086079,0.090550,0.096215", \
"0.112062,0.106497,0.099661,0.096322,0.094690,0.097087,0.098574", \
"0.350232,0.301504,0.241159,0.200324,0.164602,0.138288,0.122968", \
"0.893880,0.822656,0.703891,0.551669,0.418536,0.311565,0.231397", \
"1.915029,1.871894,1.769471,1.529370,1.193797,0.876268,0.624140", \
"3.847830,3.856595,3.806083,3.635446,3.210134,2.503577,1.818599");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("1.085946,1.106503,1.113925,1.109992,1.086258,1.135201,0.951692", \
"1.087773,1.092748,1.084806,1.102930,1.127939,1.088370,0.953555", \
"1.179801,1.170046,1.158719,1.122665,1.137123,1.047122,1.097281", \
"1.354303,1.340825,1.346807,1.273762,1.257857,1.227899,0.972653", \
"1.877509,1.819391,1.757797,1.689103,1.619093,1.501154,1.368438", \
"3.028690,2.989973,2.912763,2.729540,2.498343,2.183682,1.721920", \
"5.279002,5.257310,5.229472,5.039182,4.718188,4.143941,3.584753");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.075101,0.079010,0.087352,0.092824,0.096530,0.097233,0.099869", \
"0.030122,0.042076,0.055211,0.068791,0.081451,0.088837,0.094309", \
"0.045098,0.046907,0.053279,0.062332,0.075142,0.084634,0.091841", \
"0.209112,0.177367,0.134254,0.115446,0.104762,0.101542,0.100062", \
"0.664703,0.614515,0.516898,0.386582,0.281772,0.216346,0.170165", \
"1.548503,1.525427,1.435798,1.237909,0.936106,0.654620,0.462957", \
"3.200373,3.201625,3.160495,3.052391,2.707317,2.077230,1.438973");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("1.479700,1.478833,1.492823,1.463259,1.452030,1.386847,1.416710", \
"1.477931,1.475869,1.468242,1.489393,1.441951,1.381437,1.415510", \
"1.551961,1.557587,1.545215,1.515930,1.471376,1.389214,1.357020", \
"1.747866,1.777688,1.762939,1.706352,1.613670,1.509799,1.538845", \
"2.306580,2.287749,2.242804,2.184423,2.000964,1.803623,1.800165", \
"3.506650,3.464861,3.407206,3.266007,3.053371,2.634707,2.347882", \
"5.923850,5.919141,5.901701,5.706074,5.343063,4.737561,4.057951");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X2
Cell Description : Combinational cell (ISO_FENCE1N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 36385.799156;
leakage_power () {
when : "!A & !EN";
value : 8055.275000;
}
leakage_power () {
when : "!A & EN";
value : 50055.125000;
}
leakage_power () {
when : "A & !EN";
value : 4356.796625;
}
leakage_power () {
when : "A & EN";
value : 83076.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.055544;
fall_capacitance : 1.020297;
rise_capacitance : 1.090790;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.999753,1.000695,1.000752,1.000647,1.000014,0.999230,0.998973");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.073968,-0.074014,-0.074075,-0.074199,-0.074439,-0.074925,-0.075899");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.131471;
fall_capacitance : 1.092677;
rise_capacitance : 1.170266;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.015280,0.955724,0.944676,0.936524,0.929468,0.923536,0.919296");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.275506,-0.275599,-0.275718,-0.275957,-0.276436,-0.277392,-0.279306");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 38.604700;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003890,0.005339,0.007375,0.011397,0.019394,0.035350,0.067237", \
"0.004694,0.006112,0.008123,0.012141,0.020146,0.036114,0.068009", \
"0.005284,0.007105,0.009370,0.013317,0.021252,0.037180,0.069056", \
"0.005935,0.008135,0.010906,0.015714,0.023750,0.039494,0.071254", \
"0.006383,0.009153,0.012569,0.018424,0.028353,0.044644,0.075987", \
"0.005969,0.009533,0.013901,0.021255,0.033405,0.053603,0.086443", \
"0.003446,0.007978,0.013599,0.023077,0.038494,0.063332,0.104092");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.003543,0.004885,0.006755,0.010416,0.017655,0.032066,0.060849", \
"0.004818,0.006081,0.007893,0.011525,0.018765,0.033191,0.061988", \
"0.005990,0.007666,0.009694,0.013215,0.020357,0.034721,0.063485", \
"0.007730,0.009804,0.012385,0.016750,0.023892,0.038033,0.066638", \
"0.010310,0.012984,0.016224,0.021710,0.030791,0.045268,0.073391", \
"0.014071,0.017601,0.021853,0.028881,0.040296,0.058836,0.088035", \
"0.019736,0.024335,0.029959,0.039261,0.054056,0.077431,0.114906");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002194,0.003386,0.005098,0.008516,0.015348,0.029007,0.056335", \
"0.002523,0.003453,0.005096,0.008516,0.015347,0.029009,0.056337", \
"0.003454,0.004442,0.005656,0.008559,0.015348,0.029006,0.056334", \
"0.004962,0.006063,0.007541,0.010163,0.015631,0.029011,0.056337", \
"0.007685,0.009004,0.010665,0.013696,0.019125,0.029803,0.056336", \
"0.012542,0.014222,0.016300,0.019826,0.025992,0.036990,0.058163", \
"0.021470,0.023575,0.026245,0.030755,0.038113,0.050578,0.072719");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002006,0.003110,0.004694,0.007863,0.014199,0.026887,0.052251", \
"0.002333,0.003170,0.004694,0.007863,0.014204,0.026887,0.052247", \
"0.003358,0.004194,0.005218,0.007894,0.014204,0.026881,0.052246", \
"0.004835,0.005893,0.007246,0.009436,0.014438,0.026888,0.052246", \
"0.007462,0.008716,0.010321,0.013211,0.017923,0.027574,0.052249", \
"0.012128,0.013712,0.015690,0.019109,0.025067,0.034834,0.053849", \
"0.020798,0.022696,0.025193,0.029501,0.036646,0.048759,0.068628");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004866,0.006308,0.008337,0.012352,0.020343,0.036297,0.068182", \
"0.005428,0.006889,0.008941,0.012992,0.021021,0.037004,0.068910", \
"0.005780,0.007466,0.009663,0.013727,0.021762,0.037757,0.069675", \
"0.006071,0.008114,0.010663,0.015156,0.023341,0.039312,0.071221", \
"0.005910,0.008516,0.011707,0.017103,0.026250,0.042628,0.074442", \
"0.004347,0.007747,0.011885,0.018790,0.030010,0.048506,0.081244", \
"-0.0006727,0.003798,0.009240,0.018301,0.032845,0.055833,0.093065");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004681,0.006006,0.007860,0.011507,0.018738,0.033148,0.061934", \
"0.005912,0.007193,0.009015,0.012637,0.019852,0.034253,0.063034", \
"0.007603,0.009047,0.010846,0.014367,0.021489,0.035818,0.064547", \
"0.009994,0.011792,0.014109,0.018129,0.025089,0.039189,0.067743", \
"0.013759,0.015978,0.018812,0.023830,0.032402,0.046535,0.074583", \
"0.019796,0.022661,0.026253,0.032456,0.043018,0.060770,0.089425", \
"0.029718,0.033485,0.038188,0.046212,0.059439,0.081236,0.117403");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002195,0.003386,0.005098,0.008516,0.015347,0.029012,0.056338", \
"0.002318,0.003403,0.005098,0.008515,0.015348,0.029007,0.056336", \
"0.002937,0.003923,0.005357,0.008538,0.015349,0.029009,0.056334", \
"0.004241,0.005152,0.006483,0.009323,0.015508,0.029012,0.056336", \
"0.006667,0.007690,0.009043,0.011657,0.017191,0.029416,0.056336", \
"0.010721,0.012036,0.013735,0.016686,0.021987,0.032932,0.057222", \
"0.017664,0.019347,0.021587,0.025448,0.031803,0.042618,0.064427");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002798,0.003906,0.005495,0.008674,0.015033,0.027733,0.053124", \
"0.002867,0.003904,0.005496,0.008674,0.015032,0.027738,0.053132", \
"0.003808,0.004565,0.005779,0.008676,0.015031,0.027736,0.053133", \
"0.005249,0.006334,0.007661,0.009876,0.015171,0.027740,0.053123", \
"0.007501,0.008849,0.010569,0.013554,0.018250,0.028280,0.053131", \
"0.011422,0.013144,0.015260,0.018914,0.025174,0.035065,0.054547", \
"0.018423,0.020732,0.023496,0.028058,0.035592,0.048347,0.068624");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.106637,0.133877,0.153977,0.167218,0.179763,0.187089,0.191778", \
"0.087578,0.103769,0.121354,0.138627,0.160666,0.175242,0.181836", \
"0.252174,0.209820,0.191396,0.181835,0.178766,0.182642,0.187910", \
"0.800908,0.648996,0.508620,0.409590,0.325908,0.272159,0.237129", \
"1.905607,1.740561,1.508267,1.173450,0.866130,0.630126,0.456063", \
"3.983355,3.871249,3.694454,3.230875,2.497889,1.798042,1.247028", \
"7.883131,7.898992,7.848568,7.544449,6.670996,5.153404,3.666557");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("1.991358,2.023256,2.069949,2.111125,2.101888,2.109396,1.982058", \
"2.021926,2.019666,2.046156,2.078584,2.012474,2.103217,2.115479", \
"2.217191,2.171277,2.152525,2.110714,2.131293,2.155398,2.132261", \
"2.573917,2.511092,2.585114,2.451845,2.386783,2.291149,2.231733", \
"3.685669,3.555206,3.488035,3.327795,3.128232,2.769231,2.352565", \
"5.975143,5.903235,5.799612,5.447380,4.764949,4.415317,3.771667", \
"10.547560,10.523830,10.435510,10.147590,9.357308,8.209050,7.039727");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.114964,0.145231,0.161470,0.175999,0.181761,0.190074,0.192493", \
"0.029272,0.062297,0.090087,0.122479,0.150795,0.168025,0.181200", \
"0.083785,0.078540,0.091285,0.111049,0.134629,0.159334,0.173479", \
"0.494341,0.386131,0.285726,0.230085,0.202412,0.193166,0.190149", \
"1.444483,1.312101,1.115902,0.832357,0.583573,0.434417,0.334319", \
"3.248545,3.144054,3.013423,2.641137,1.979172,1.346184,0.927423", \
"6.604161,6.566018,6.552387,6.360594,5.683106,4.310620,2.911559");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("2.781847,2.784898,2.790500,2.818353,2.868187,2.881750,2.755009", \
"2.788568,2.782165,2.810346,2.816254,2.854667,2.870158,2.499784", \
"2.975460,2.951469,2.943599,2.908913,2.855289,2.680582,2.518466", \
"3.414362,3.433782,3.375948,3.311795,3.118440,3.088164,2.693469", \
"4.523351,4.476761,4.407717,4.228096,3.943138,3.485281,3.318918", \
"6.958193,6.934824,6.812224,6.506083,5.976167,5.250417,4.592787", \
"11.851140,11.928290,11.863640,11.528040,10.823030,9.562551,7.890586");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X4
Cell Description : Combinational cell (ISO_FENCE1N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 72771.560844;
leakage_power () {
when : "!A & !EN";
value : 16110.500000;
}
leakage_power () {
when : "!A & EN";
value : 100110.125000;
}
leakage_power () {
when : "A & !EN";
value : 8713.868375;
}
leakage_power () {
when : "A & EN";
value : 166151.750000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.946449;
fall_capacitance : 1.872162;
rise_capacitance : 2.020735;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.999306,2.001388,2.001583,2.001268,1.999949,1.998502,1.998060");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.147945,-0.148040,-0.148160,-0.148403,-0.148885,-0.149858,-0.151800");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.455871;
fall_capacitance : 2.371247;
rise_capacitance : 2.540496;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("2.029529,1.911364,1.889073,1.872514,1.858817,1.846919,1.838358");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.551012,-0.551198,-0.551437,-0.551916,-0.552873,-0.554786,-0.558613");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 77.209500;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003674,0.005445,0.007480,0.011503,0.019499,0.035456,0.067347", \
"0.004454,0.006216,0.008228,0.012245,0.020251,0.036220,0.068118", \
"0.004990,0.007225,0.009477,0.013420,0.021356,0.037285,0.069164", \
"0.005573,0.008277,0.011033,0.015826,0.023853,0.039598,0.071362", \
"0.005915,0.009322,0.012716,0.018553,0.028466,0.044746,0.076093", \
"0.005350,0.009733,0.014074,0.021404,0.033530,0.053714,0.086546", \
"0.002642,0.008209,0.013801,0.023244,0.038630,0.063445,0.104196");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003355,0.005002,0.006875,0.010545,0.017799,0.032243,0.061091", \
"0.004620,0.006192,0.008009,0.011651,0.018908,0.033367,0.062229", \
"0.005735,0.007796,0.009812,0.013338,0.020499,0.034897,0.063726", \
"0.007410,0.009964,0.012531,0.016885,0.024030,0.038207,0.066876", \
"0.009895,0.013183,0.016405,0.021877,0.030949,0.045437,0.073630", \
"0.013517,0.017861,0.022087,0.029091,0.040492,0.059034,0.088263", \
"0.019027,0.024675,0.030269,0.039541,0.054310,0.077683,0.115184");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002003,0.003455,0.005165,0.008583,0.015416,0.029077,0.056401", \
"0.002399,0.003512,0.005165,0.008583,0.015415,0.029079,0.056403", \
"0.003290,0.004498,0.005708,0.008623,0.015415,0.029077,0.056401", \
"0.004780,0.006133,0.007601,0.010211,0.015692,0.029079,0.056401", \
"0.007467,0.009081,0.010735,0.013761,0.019177,0.029864,0.056400", \
"0.012257,0.014329,0.016390,0.019908,0.026062,0.037048,0.058223", \
"0.021129,0.023700,0.026364,0.030860,0.038203,0.050655,0.072785");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.001832,0.003178,0.004767,0.007945,0.014304,0.027027,0.052467", \
"0.002221,0.003228,0.004768,0.007947,0.014306,0.027028,0.052467", \
"0.003204,0.004236,0.005270,0.007971,0.014307,0.027020,0.052473", \
"0.004652,0.005947,0.007299,0.009489,0.014530,0.027030,0.052475", \
"0.007236,0.008777,0.010380,0.013269,0.017984,0.027694,0.052470", \
"0.011843,0.013788,0.015761,0.019178,0.025140,0.034924,0.054044", \
"0.020453,0.022782,0.025279,0.029577,0.036715,0.048850,0.068765");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004636,0.006396,0.008425,0.012440,0.020431,0.036386,0.068274", \
"0.005187,0.006976,0.009028,0.013080,0.021110,0.037092,0.068999", \
"0.005483,0.007557,0.009750,0.013813,0.021850,0.037846,0.069766", \
"0.005697,0.008217,0.010757,0.015244,0.023428,0.039402,0.071313", \
"0.005427,0.008642,0.011817,0.017199,0.026338,0.042716,0.074536", \
"0.003715,0.007903,0.012019,0.018901,0.030103,0.048595,0.081337", \
"-0.001502,0.003994,0.009407,0.018438,0.032955,0.055922,0.093155");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004458,0.006079,0.007934,0.011587,0.018827,0.033253,0.062066", \
"0.005703,0.007266,0.009090,0.012717,0.019941,0.034360,0.063171", \
"0.007350,0.009126,0.010919,0.014446,0.021577,0.035924,0.064686", \
"0.009687,0.011893,0.014201,0.018212,0.025175,0.039293,0.067880", \
"0.013380,0.016105,0.018929,0.023938,0.032499,0.046637,0.074718", \
"0.019303,0.022827,0.026403,0.032589,0.043142,0.060886,0.089560", \
"0.029085,0.033699,0.038387,0.046385,0.059594,0.081384,0.117562");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002006,0.003455,0.005166,0.008583,0.015416,0.029078,0.056405", \
"0.002158,0.003470,0.005167,0.008584,0.015417,0.029076,0.056401", \
"0.002784,0.003981,0.005418,0.008605,0.015415,0.029077,0.056400", \
"0.004094,0.005204,0.006536,0.009380,0.015573,0.029079,0.056401", \
"0.006496,0.007753,0.009098,0.011708,0.017248,0.029481,0.056405", \
"0.010505,0.012110,0.013799,0.016750,0.022041,0.032993,0.057287", \
"0.017394,0.019447,0.021680,0.025524,0.031861,0.042678,0.064489");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002620,0.003970,0.005562,0.008745,0.015116,0.027841,0.053283", \
"0.002714,0.003969,0.005562,0.008746,0.015116,0.027840,0.053279", \
"0.003665,0.004607,0.005831,0.008748,0.015114,0.027834,0.053284", \
"0.005052,0.006386,0.007705,0.009927,0.015249,0.027843,0.053282", \
"0.007257,0.008914,0.010628,0.013604,0.018305,0.028375,0.053281", \
"0.011093,0.013219,0.015326,0.018975,0.025236,0.035132,0.054681", \
"0.017971,0.020828,0.023578,0.028124,0.035657,0.048413,0.068721");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.195389,0.265483,0.301586,0.339710,0.361269,0.374454,0.378916", \
"0.171093,0.206565,0.235089,0.273666,0.318111,0.347157,0.361652", \
"0.539919,0.419550,0.378170,0.361758,0.357987,0.367157,0.371388", \
"1.657195,1.282588,1.004627,0.810772,0.649178,0.539648,0.467415", \
"3.863093,3.467791,2.988154,2.327118,1.720775,1.257897,0.910909", \
"7.983961,7.759584,7.342721,6.434250,4.973117,3.573068,2.480323", \
"15.734950,15.738160,15.647610,15.052660,13.302480,10.268650,7.303439");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("4.090318,4.181987,4.240035,4.312428,4.189144,4.200382,4.328790", \
"4.162362,4.152124,4.175744,4.288992,4.182618,4.287945,4.337024", \
"4.482706,4.464357,4.482296,4.398076,4.423996,4.411352,4.381408", \
"5.272395,5.239162,5.259464,4.989246,4.905695,4.373402,4.286594", \
"7.499337,7.246937,7.091116,6.825541,6.354141,5.702258,5.410746", \
"12.140010,11.981750,11.610090,10.979410,10.007930,8.904529,7.673983", \
"21.176710,21.086490,21.109020,20.522720,18.876980,16.818270,14.194840");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.229285,0.286560,0.318155,0.348254,0.363965,0.372786,0.376900", \
"0.052830,0.120763,0.177470,0.243985,0.298335,0.337536,0.357390", \
"0.181570,0.158516,0.176843,0.216087,0.269411,0.316427,0.346908", \
"1.032813,0.753152,0.560660,0.454067,0.402105,0.383170,0.377335", \
"2.961757,2.607612,2.212234,1.647642,1.159227,0.859074,0.661301", \
"6.436889,6.299999,6.006031,5.238381,3.933552,2.680389,1.844627", \
"13.132950,13.106490,13.028430,12.656920,11.317350,8.585021,5.803125");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("5.650307,5.733141,5.718354,5.771867,5.714175,5.692414,5.157959", \
"5.707934,5.690874,5.706957,5.747697,5.796800,5.686667,5.866322", \
"6.120515,6.080817,6.014300,5.971151,5.980590,5.874212,5.853107", \
"6.897321,6.980651,6.879273,6.631619,6.421766,6.280999,6.101508", \
"9.236429,9.081254,8.883799,8.607976,8.138624,7.155649,6.374515", \
"14.068470,14.023010,13.796260,13.183310,12.083330,10.848620,8.874052", \
"23.791430,23.921350,23.855440,23.356040,22.017540,19.263990,16.163290");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X1
Cell Description : Combinational cell (ISO_FENCE1_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 28379.846875;
leakage_power () {
when : "!A & !EN";
value : 31381.125000;
}
leakage_power () {
when : "!A & EN";
value : 21871.587500;
}
leakage_power () {
when : "A & !EN";
value : 25510.000000;
}
leakage_power () {
when : "A & EN";
value : 34756.675000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.545030;
fall_capacitance : 0.521231;
rise_capacitance : 0.568830;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.124124,0.123838,0.123790,0.123769,0.123739,0.123775,0.123785");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.116111,-0.116168,-0.116240,-0.116385,-0.116675,-0.117254,-0.118413");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.654899;
fall_capacitance : 0.634431;
rise_capacitance : 0.675366;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.509352,0.509639,0.509450,0.508964,0.508396,0.507418,0.508057");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.123436,-0.123503,-0.123587,-0.123769,-0.124133,-0.124851,-0.126300");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 19.035300;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.011587,0.012791,0.014887,0.018517,0.024999,0.037153,0.060557", \
"0.012519,0.013718,0.015809,0.019438,0.025920,0.038081,0.061491", \
"0.014233,0.015420,0.017499,0.021117,0.027594,0.039749,0.063153", \
"0.017255,0.018473,0.020595,0.024244,0.030721,0.042858,0.066250", \
"0.021921,0.023212,0.025416,0.029162,0.035742,0.047935,0.071288", \
"0.029565,0.031008,0.033438,0.037463,0.044252,0.056513,0.079940", \
"0.041989,0.043691,0.046525,0.051149,0.058697,0.071639,0.095407");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.009285,0.010433,0.012538,0.016494,0.024074,0.038686,0.066881", \
"0.010198,0.011338,0.013433,0.017380,0.024959,0.039572,0.067772", \
"0.011374,0.012505,0.014575,0.018487,0.026040,0.040637,0.068834", \
"0.012679,0.013855,0.015938,0.019827,0.027326,0.041876,0.070046", \
"0.013719,0.015036,0.017244,0.021137,0.028574,0.043066,0.071162", \
"0.013451,0.014950,0.017502,0.021686,0.029187,0.043687,0.071702", \
"0.009775,0.011516,0.014473,0.019393,0.027391,0.042091,0.070472");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.002869,0.003568,0.004878,0.007387,0.012418,0.022583,0.042577", \
"0.002872,0.003572,0.004881,0.007390,0.012419,0.022583,0.042578", \
"0.002899,0.003597,0.004903,0.007405,0.012424,0.022585,0.042576", \
"0.003216,0.003880,0.005139,0.007553,0.012481,0.022593,0.042579", \
"0.003754,0.004379,0.005577,0.007923,0.012773,0.022707,0.042581", \
"0.004783,0.005416,0.006580,0.008795,0.013322,0.023007,0.042756", \
"0.006644,0.007362,0.008606,0.010853,0.015200,0.024384,0.043421");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.002597,0.003407,0.005054,0.008403,0.015028,0.027871,0.052697", \
"0.002601,0.003410,0.005057,0.008404,0.015027,0.027872,0.052697", \
"0.002687,0.003468,0.005084,0.008410,0.015027,0.027870,0.052701", \
"0.003006,0.003723,0.005240,0.008487,0.015040,0.027873,0.052702", \
"0.003633,0.004339,0.005686,0.008688,0.015146,0.027919,0.052702", \
"0.004649,0.005445,0.006826,0.009484,0.015600,0.028207,0.052803", \
"0.006396,0.007211,0.008772,0.011488,0.016875,0.029256,0.053707");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.013236,0.014440,0.016537,0.020168,0.026649,0.038803,0.062207", \
"0.014257,0.015457,0.017552,0.021180,0.027662,0.039818,0.063226", \
"0.015531,0.016725,0.018811,0.022435,0.028915,0.041073,0.064478", \
"0.017867,0.019086,0.021209,0.024859,0.031344,0.043492,0.066892", \
"0.021927,0.023211,0.025431,0.029224,0.035829,0.048009,0.071378", \
"0.028894,0.030310,0.032720,0.036796,0.043713,0.056049,0.079441", \
"0.039931,0.041559,0.044304,0.048877,0.056540,0.069748,0.093694");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.010737,0.011902,0.014021,0.017979,0.025562,0.040177,0.068382", \
"0.011605,0.012768,0.014882,0.018836,0.026417,0.041035,0.069243", \
"0.012922,0.014073,0.016164,0.020090,0.027644,0.042249,0.070450", \
"0.014760,0.015940,0.018035,0.021930,0.029425,0.043978,0.072159", \
"0.016583,0.017871,0.020040,0.023901,0.031317,0.045803,0.073911", \
"0.017820,0.019266,0.021713,0.025734,0.033094,0.047457,0.075459", \
"0.017073,0.018726,0.021539,0.026150,0.033733,0.048110,0.076076");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.002871,0.003569,0.004877,0.007388,0.012417,0.022584,0.042576", \
"0.002871,0.003571,0.004879,0.007389,0.012417,0.022583,0.042577", \
"0.002886,0.003586,0.004892,0.007397,0.012421,0.022583,0.042578", \
"0.003118,0.003797,0.005068,0.007505,0.012461,0.022590,0.042577", \
"0.003545,0.004221,0.005494,0.007894,0.012712,0.022663,0.042579", \
"0.004385,0.005071,0.006349,0.008735,0.013360,0.022972,0.042672", \
"0.005868,0.006620,0.007952,0.010430,0.015145,0.024524,0.043449");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.002735,0.003532,0.005152,0.008463,0.015061,0.027897,0.052728", \
"0.002734,0.003533,0.005153,0.008463,0.015061,0.027898,0.052724", \
"0.002762,0.003552,0.005164,0.008468,0.015062,0.027900,0.052726", \
"0.003034,0.003764,0.005293,0.008525,0.015074,0.027903,0.052720", \
"0.003557,0.004247,0.005607,0.008647,0.015145,0.027943,0.052733", \
"0.004406,0.005167,0.006484,0.009157,0.015340,0.028051,0.052806", \
"0.005843,0.006622,0.008093,0.010630,0.016129,0.028609,0.053143");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("2.121001,2.212570,2.323821,2.391971,2.417127,2.428251,2.431971", \
"2.115315,2.211766,2.317485,2.382298,2.409576,2.421709,2.425872", \
"2.212115,2.303066,2.410877,2.473781,2.494809,2.507064,2.512491", \
"2.466940,2.552010,2.661153,2.721498,2.736407,2.743381,2.747016", \
"2.927284,3.023967,3.142143,3.267761,3.305152,3.303132,3.301084", \
"4.129815,4.191049,4.327717,4.462989,4.539232,4.605183,4.587954", \
"6.496728,6.573103,6.704077,6.928416,7.175751,7.338470,7.413100");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.894531,0.905346,0.921650,0.912968,0.956281,0.920895,0.940261", \
"0.886298,0.897818,0.901711,0.906145,0.892063,0.817888,0.857375", \
"0.961870,0.968077,0.963120,0.973650,0.992090,1.000193,0.917086", \
"1.230224,1.218374,1.202186,1.175614,1.134637,1.173495,0.936532", \
"1.858619,1.896014,1.877697,1.836375,1.801410,1.786342,1.773801", \
"2.956968,3.023266,3.142643,3.247840,3.278337,3.189986,2.912130", \
"4.949420,5.004644,5.185493,5.390586,5.744009,6.141874,5.995037");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("2.538620,2.643437,2.744500,2.807068,2.833974,2.844449,2.847771", \
"2.505385,2.595297,2.694746,2.763439,2.789030,2.800508,2.804288", \
"2.499928,2.594809,2.700396,2.762683,2.790293,2.801603,2.805883", \
"2.612856,2.704991,2.818052,2.881018,2.899837,2.909922,2.913517", \
"2.898608,2.998627,3.131861,3.275380,3.312442,3.316175,3.317095", \
"3.804745,3.899274,4.053414,4.215534,4.327132,4.401882,4.393214", \
"5.628177,5.729335,5.895990,6.165960,6.510670,6.735755,6.833452");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.137091,1.142506,1.144980,1.176759,1.133158,1.214482,0.970705", \
"1.114642,1.136362,1.133039,1.152557,1.109405,1.148027,1.189382", \
"1.173345,1.183797,1.183730,1.178098,1.172399,1.200179,1.242305", \
"1.408960,1.414698,1.399795,1.387698,1.365666,1.383375,1.334961", \
"2.054194,2.037618,2.029199,1.976773,1.913907,1.849227,1.793643", \
"3.251604,3.306387,3.353656,3.332276,3.251349,3.047849,2.999315", \
"5.498783,5.561514,5.665881,5.784990,5.905695,5.856839,5.647280");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X2
Cell Description : Combinational cell (ISO_FENCE1_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 38292.468750;
leakage_power () {
when : "!A & !EN";
value : 49897.125000;
}
leakage_power () {
when : "!A & EN";
value : 28916.500000;
}
leakage_power () {
when : "A & !EN";
value : 32555.250000;
}
leakage_power () {
when : "A & EN";
value : 41801.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.535230;
fall_capacitance : 0.512995;
rise_capacitance : 0.557465;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.124212,0.123922,0.123842,0.123812,0.123755,0.123761,0.123803");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.121382,-0.121573,-0.121440,-0.121206,-0.121161,-0.121171,-0.121286");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.654233;
fall_capacitance : 0.635186;
rise_capacitance : 0.673280;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.509503,0.509831,0.509505,0.508917,0.508111,0.507119,0.508502");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.241802,-0.241923,-0.242071,-0.242393,-0.243031,-0.244304,-0.246854");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.596600;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.013150,0.014881,0.017019,0.020788,0.027526,0.040262,0.065471", \
"0.014047,0.015772,0.017906,0.021672,0.028409,0.041147,0.066357", \
"0.015721,0.017440,0.019564,0.023320,0.030051,0.042788,0.067999", \
"0.019149,0.020873,0.022981,0.026716,0.033425,0.046136,0.071328", \
"0.024352,0.026192,0.028404,0.032248,0.039061,0.051782,0.076916", \
"0.032707,0.034738,0.037147,0.041234,0.048243,0.061042,0.086200", \
"0.046308,0.048638,0.051409,0.056038,0.063762,0.077170,0.102512");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.010002,0.011612,0.013639,0.017433,0.024845,0.039620,0.069149", \
"0.010911,0.012518,0.014539,0.018327,0.025737,0.040515,0.070045", \
"0.012222,0.013811,0.015810,0.019564,0.026943,0.041706,0.071232", \
"0.013956,0.015627,0.017663,0.021401,0.028705,0.043401,0.072891", \
"0.015552,0.017373,0.019570,0.023359,0.030585,0.045181,0.074570", \
"0.016130,0.018162,0.020636,0.024827,0.032146,0.046653,0.075905", \
"0.013550,0.015908,0.018734,0.023577,0.031626,0.046277,0.075731");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.002927,0.003822,0.005060,0.007477,0.012396,0.022790,0.044267", \
"0.002927,0.003824,0.005060,0.007479,0.012397,0.022790,0.044267", \
"0.002931,0.003830,0.005070,0.007487,0.012401,0.022791,0.044266", \
"0.003225,0.004054,0.005231,0.007591,0.012451,0.022802,0.044267", \
"0.003921,0.004723,0.005831,0.008084,0.012787,0.022900,0.044272", \
"0.005027,0.005853,0.006926,0.009035,0.013430,0.023268,0.044422", \
"0.007045,0.007956,0.009099,0.011211,0.015399,0.024577,0.044976");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.002324,0.003313,0.004738,0.007774,0.014170,0.027165,0.053190", \
"0.002324,0.003314,0.004740,0.007774,0.014170,0.027164,0.053188", \
"0.002361,0.003345,0.004761,0.007783,0.014172,0.027162,0.053182", \
"0.002753,0.003681,0.004995,0.007889,0.014187,0.027165,0.053186", \
"0.003419,0.004361,0.005584,0.008196,0.014307,0.027204,0.053186", \
"0.004625,0.005552,0.006859,0.009259,0.014813,0.027440,0.053265", \
"0.006712,0.007622,0.008947,0.011540,0.016467,0.028461,0.054036");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.014782,0.016512,0.018651,0.022420,0.029157,0.041893,0.067104", \
"0.015813,0.017542,0.019678,0.023444,0.030182,0.042919,0.068131", \
"0.017088,0.018810,0.020941,0.024702,0.031438,0.044176,0.069385", \
"0.019687,0.021413,0.023535,0.027285,0.034009,0.046733,0.071934", \
"0.024122,0.025936,0.028148,0.032022,0.038862,0.051603,0.076765", \
"0.031743,0.033710,0.036075,0.040169,0.047281,0.060180,0.085338", \
"0.043952,0.046181,0.048846,0.053382,0.061152,0.074826,0.100387");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.011461,0.013100,0.015147,0.018951,0.026363,0.041139,0.070673", \
"0.012324,0.013962,0.016007,0.019808,0.027216,0.041992,0.071532", \
"0.013662,0.015289,0.017319,0.021097,0.028484,0.043246,0.072781", \
"0.015876,0.017550,0.019595,0.023342,0.030655,0.045353,0.074843", \
"0.018189,0.019994,0.022162,0.025931,0.033156,0.047749,0.077145", \
"0.020141,0.022126,0.024531,0.028581,0.035773,0.050178,0.079425", \
"0.020377,0.022647,0.025358,0.029989,0.037616,0.051953,0.081036");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.002927,0.003823,0.005059,0.007478,0.012395,0.022790,0.044266", \
"0.002927,0.003823,0.005060,0.007478,0.012396,0.022790,0.044266", \
"0.002929,0.003827,0.005065,0.007482,0.012398,0.022791,0.044267", \
"0.003120,0.003978,0.005177,0.007555,0.012432,0.022798,0.044267", \
"0.003598,0.004459,0.005647,0.007981,0.012711,0.022873,0.044270", \
"0.004478,0.005346,0.006514,0.008808,0.013386,0.023218,0.044356", \
"0.006099,0.007036,0.008243,0.010556,0.015158,0.024661,0.044988");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.002457,0.003448,0.004854,0.007853,0.014213,0.027190,0.053202", \
"0.002456,0.003448,0.004856,0.007854,0.014213,0.027191,0.053207", \
"0.002463,0.003457,0.004864,0.007858,0.014214,0.027191,0.053206", \
"0.002771,0.003710,0.005037,0.007934,0.014229,0.027191,0.053209", \
"0.003365,0.004300,0.005525,0.008176,0.014322,0.027227,0.053212", \
"0.004397,0.005309,0.006572,0.008945,0.014581,0.027327,0.053280", \
"0.006177,0.007048,0.008333,0.010780,0.015693,0.027851,0.053559");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("2.797329,2.895166,3.035187,3.163044,3.229027,3.247297,3.255879", \
"2.775578,2.881221,3.028244,3.146588,3.214072,3.234810,3.242953", \
"2.861853,2.982870,3.087460,3.220774,3.286328,3.304241,3.312067", \
"3.208004,3.298529,3.375852,3.487422,3.524688,3.532016,3.533947", \
"3.848846,3.894785,3.952760,4.089525,4.107733,4.083119,4.066380", \
"5.258717,5.276479,5.279342,5.340609,5.375226,5.370206,5.310602", \
"8.154804,8.163810,8.127644,8.108219,8.184398,8.177031,8.151579");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.595427,1.657723,1.684093,1.717042,1.755090,1.588351,1.719041", \
"1.634175,1.681708,1.692236,1.716511,1.693718,1.528170,1.765315", \
"1.716651,1.747791,1.757260,1.761840,1.668644,1.609147,1.597072", \
"2.023680,2.051563,2.032878,2.007278,1.920256,1.970791,1.779498", \
"2.774388,2.788607,2.778111,2.685199,2.533520,2.494473,2.509082", \
"4.252157,4.217569,4.243195,4.254391,4.142169,3.979004,3.850102", \
"6.904535,6.840152,6.750532,6.906431,6.973930,7.020163,6.973872");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("3.198840,3.321058,3.454095,3.582441,3.642642,3.660723,3.668932", \
"3.160500,3.268241,3.412254,3.534071,3.596195,3.619654,3.627054", \
"3.177024,3.281354,3.409739,3.525794,3.590276,3.612720,3.620614", \
"3.344172,3.421050,3.538810,3.645520,3.697814,3.710608,3.717587", \
"3.716370,3.812859,3.923146,4.063118,4.102154,4.092819,4.087666", \
"4.825015,4.852297,4.901303,5.021817,5.110215,5.141812,5.104995", \
"7.080213,7.116104,7.127406,7.219104,7.404899,7.505484,7.533156");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.868807,1.918648,1.955501,1.948547,1.993413,1.767990,2.015274", \
"1.835309,1.910845,1.942635,1.907352,1.851565,1.911358,1.718278", \
"1.949159,1.978599,1.991729,2.003512,2.008250,2.025693,1.546914", \
"2.235795,2.272412,2.245952,2.248314,2.109295,2.097083,1.757705", \
"2.977759,2.988111,2.984972,2.876798,2.707806,2.591433,2.448140", \
"4.511131,4.459115,4.472408,4.413786,4.219632,4.032817,3.696603", \
"7.406546,7.298498,7.295145,7.266125,7.198494,6.896977,6.719362");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X4
Cell Description : Combinational cell (ISO_FENCE1_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 74006.062500;
leakage_power () {
when : "!A & !EN";
value : 97919.125000;
}
leakage_power () {
when : "!A & EN";
value : 55766.750000;
}
leakage_power () {
when : "A & !EN";
value : 62592.125000;
}
leakage_power () {
when : "A & EN";
value : 79746.250000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.946675;
fall_capacitance : 0.897964;
rise_capacitance : 0.995386;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.231368,0.230691,0.230527,0.230424,0.230251,0.230252,0.230388");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.226677,-0.226421,-0.226041,-0.225638,-0.225468,-0.225543,-0.225677");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.126114;
fall_capacitance : 1.086256;
rise_capacitance : 1.165971;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.955015,0.955352,0.954873,0.954385,0.953664,0.952612,0.951215");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.482156,-0.482394,-0.482698,-0.483309,-0.484572,-0.487094,-0.492146");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.012493,0.014567,0.016663,0.020376,0.027057,0.039749,0.064908", \
"0.013398,0.015467,0.017558,0.021267,0.027947,0.040640,0.065800", \
"0.015082,0.017139,0.019219,0.022917,0.029592,0.042283,0.067443", \
"0.018455,0.020523,0.022592,0.026272,0.032922,0.045587,0.070723", \
"0.023544,0.025749,0.027909,0.031685,0.038429,0.051104,0.076179", \
"0.031756,0.034186,0.036538,0.040548,0.047472,0.060203,0.085306", \
"0.045109,0.047891,0.050597,0.055144,0.062765,0.076090,0.101380");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.009514,0.011463,0.013476,0.017263,0.024670,0.039426,0.068908", \
"0.010426,0.012371,0.014377,0.018157,0.025562,0.040320,0.069805", \
"0.011737,0.013658,0.015641,0.019386,0.026759,0.041501,0.070980", \
"0.013407,0.015425,0.017435,0.021160,0.028458,0.043135,0.072579", \
"0.014956,0.017145,0.019302,0.023058,0.030274,0.044855,0.074198", \
"0.015494,0.017935,0.020362,0.024492,0.031781,0.046278,0.075487", \
"0.012938,0.015763,0.018528,0.023291,0.031261,0.045888,0.075324");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002756,0.003826,0.005053,0.007466,0.012400,0.022816,0.044265", \
"0.002756,0.003827,0.005055,0.007468,0.012400,0.022815,0.044265", \
"0.002762,0.003837,0.005066,0.007477,0.012405,0.022817,0.044264", \
"0.003100,0.004087,0.005247,0.007591,0.012457,0.022827,0.044265", \
"0.003804,0.004748,0.005836,0.008073,0.012794,0.022928,0.044269", \
"0.004947,0.005912,0.006955,0.009030,0.013423,0.023286,0.044427", \
"0.007029,0.008083,0.009191,0.011257,0.015409,0.024616,0.045004");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002157,0.003363,0.004801,0.007852,0.014250,0.027223,0.053206", \
"0.002158,0.003365,0.004803,0.007853,0.014250,0.027223,0.053202", \
"0.002208,0.003400,0.004827,0.007862,0.014251,0.027225,0.053207", \
"0.002611,0.003731,0.005053,0.007967,0.014267,0.027225,0.053198", \
"0.003308,0.004417,0.005629,0.008261,0.014390,0.027271,0.053204", \
"0.004569,0.005640,0.006919,0.009309,0.014901,0.027522,0.053293", \
"0.006734,0.007771,0.009056,0.011605,0.016535,0.028577,0.054103");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.014160,0.016234,0.018330,0.022043,0.028723,0.041415,0.066571", \
"0.015186,0.017256,0.019350,0.023061,0.029742,0.042435,0.067591", \
"0.016452,0.018515,0.020601,0.024306,0.030986,0.043680,0.068839", \
"0.018990,0.021064,0.023146,0.026843,0.033510,0.046189,0.071335", \
"0.023318,0.025494,0.027660,0.031476,0.038256,0.050950,0.076054", \
"0.030768,0.033129,0.035448,0.039482,0.046528,0.059369,0.084472", \
"0.042666,0.045335,0.047946,0.052416,0.060117,0.073740,0.099268");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.010985,0.012967,0.014997,0.018794,0.026201,0.040960,0.070452", \
"0.011849,0.013830,0.015858,0.019650,0.027055,0.041815,0.071309", \
"0.013195,0.015160,0.017173,0.020942,0.028323,0.043069,0.072555", \
"0.015369,0.017388,0.019409,0.023144,0.030451,0.045132,0.074579", \
"0.017652,0.019819,0.021946,0.025685,0.032898,0.047476,0.076831", \
"0.019599,0.021979,0.024340,0.028327,0.035487,0.049876,0.079081", \
"0.019890,0.022616,0.025268,0.029810,0.037357,0.051672,0.080722");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002756,0.003826,0.005053,0.007466,0.012400,0.022816,0.044265", \
"0.002756,0.003826,0.005054,0.007467,0.012400,0.022816,0.044264", \
"0.002760,0.003832,0.005060,0.007472,0.012403,0.022816,0.044265", \
"0.002979,0.004002,0.005186,0.007552,0.012439,0.022824,0.044265", \
"0.003463,0.004488,0.005659,0.007980,0.012719,0.022898,0.044268", \
"0.004379,0.005406,0.006552,0.008824,0.013396,0.023242,0.044357", \
"0.006045,0.007144,0.008327,0.010614,0.015200,0.024727,0.045027");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002292,0.003496,0.004916,0.007929,0.014292,0.027251,0.053227", \
"0.002292,0.003497,0.004917,0.007930,0.014292,0.027251,0.053226", \
"0.002300,0.003507,0.004926,0.007935,0.014293,0.027251,0.053220", \
"0.002628,0.003758,0.005096,0.008011,0.014308,0.027254,0.053230", \
"0.003240,0.004340,0.005561,0.008235,0.014401,0.027294,0.053237", \
"0.004314,0.005367,0.006606,0.008977,0.014656,0.027396,0.053304", \
"0.006148,0.007141,0.008392,0.010801,0.015740,0.027942,0.053600");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.123850,5.312073,5.543679,5.743522,5.850799,5.883862,5.901344", \
"5.109600,5.297336,5.504481,5.717032,5.817865,5.864382,5.881466", \
"5.284992,5.471780,5.657943,5.857461,5.958582,5.992119,6.013041", \
"5.990354,6.082021,6.226842,6.351543,6.408080,6.422874,6.430876", \
"7.211386,7.232490,7.315596,7.493253,7.504052,7.457361,7.428999", \
"9.970947,9.882824,9.846571,9.881104,9.896403,9.876708,9.766784", \
"15.516400,15.408160,15.268780,15.107860,15.167640,15.141030,15.081100");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.205587,3.308399,3.377394,3.411586,3.451968,3.425790,3.495833", \
"3.219963,3.298827,3.323625,3.384735,3.404635,3.302028,3.112577", \
"3.435076,3.520894,3.463337,3.543007,3.447217,3.378436,3.261579", \
"4.041328,4.062547,4.017370,3.966089,3.864561,3.908480,3.704073", \
"5.487075,5.519859,5.406171,5.299175,5.126836,4.873393,4.688591", \
"8.317452,8.256963,8.297589,8.177863,7.889529,7.514356,7.051353", \
"13.423180,13.230280,13.102420,13.207670,13.453490,13.345580,12.764240");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.899321,6.091620,6.309871,6.523122,6.624587,6.660096,6.676932", \
"5.828241,6.041014,6.226783,6.439400,6.540073,6.581203,6.600013", \
"5.848624,6.022874,6.239524,6.431586,6.535952,6.574563,6.593100", \
"6.214936,6.341676,6.490723,6.659174,6.730569,6.756720,6.773442", \
"6.941891,7.063053,7.227924,7.446170,7.501767,7.480603,7.475473", \
"9.096925,9.102945,9.152719,9.292306,9.415043,9.468987,9.401675", \
"13.353820,13.401030,13.379920,13.448070,13.733130,13.918980,13.957270");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.707404,3.781964,3.834046,3.883279,3.833690,3.791379,3.373457", \
"3.615205,3.759179,3.794517,3.826632,3.777743,3.788937,3.464885", \
"3.773979,3.927978,3.946203,3.961982,3.898416,3.996368,3.530451", \
"4.444834,4.480882,4.445228,4.442542,4.333736,4.172882,3.513673", \
"5.887894,5.884465,5.842811,5.620767,5.442406,5.239148,4.890841", \
"8.896516,8.778034,8.707464,8.494486,8.240033,7.919637,7.514273", \
"14.348000,14.059950,13.972180,13.975770,13.642500,13.098260,12.916640");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X1
Cell Description : Level-shifter cell (LS_HLEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_HLEN_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1580598.378956;
leakage_power () {
when : "!A & !ISOLN";
value : 1568753.527000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1586751.558000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1569226.213825;
}
leakage_power () {
when : "A & ISOLN";
value : 1597662.217000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.516827;
fall_capacitance : 0.475718;
rise_capacitance : 0.557935;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.385156,0.390147,0.391076,0.391248,0.383674,0.383674,0.387995");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.101165,-0.097806,-0.097870,-0.097997,-0.098250,-0.098758,-0.099774");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.610981;
fall_capacitance : 0.575387;
rise_capacitance : 0.646575;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.375671,0.367770,0.363025,0.358947,0.341848,0.339708,0.342501");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.120576,-0.117220,-0.117290,-0.117428,-0.117705,-0.118259,-0.119367");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.847300;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.011744,0.012853,0.014682,0.017752,0.023108,0.032789,0.050510", \
"0.013266,0.014371,0.016198,0.019269,0.024628,0.034313,0.052033", \
"0.015620,0.016721,0.018544,0.021614,0.026977,0.036669,0.054387", \
"0.020261,0.021387,0.023230,0.026307,0.031666,0.041352,0.059072", \
"0.027634,0.028912,0.030943,0.034184,0.039627,0.049298,0.066987", \
"0.039753,0.041205,0.043531,0.047120,0.052781,0.062517,0.080222", \
"0.060710,0.062410,0.065135,0.069359,0.075723,0.085851,0.103628");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.011281,0.012611,0.014879,0.018795,0.025750,0.038366,0.061428", \
"0.012075,0.013398,0.015657,0.019569,0.026525,0.039150,0.062216", \
"0.013052,0.014369,0.016615,0.020512,0.027456,0.040078,0.063147", \
"0.013631,0.014973,0.017257,0.021217,0.028170,0.040770,0.063837", \
"0.012953,0.014342,0.016634,0.020543,0.027490,0.040199,0.063255", \
"0.009187,0.010790,0.013295,0.017284,0.024175,0.036724,0.059826", \
"-0.001666,0.0002332,0.003267,0.007858,0.014994,0.027590,0.050608");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.002813,0.003448,0.004627,0.006880,0.011224,0.019446,0.034632", \
"0.002815,0.003451,0.004630,0.006881,0.011225,0.019445,0.034632", \
"0.002828,0.003464,0.004638,0.006885,0.011226,0.019446,0.034630", \
"0.003076,0.003660,0.004766,0.006946,0.011243,0.019447,0.034630", \
"0.003762,0.004351,0.005385,0.007380,0.011447,0.019483,0.034631", \
"0.004747,0.005382,0.006443,0.008280,0.011976,0.019719,0.034723", \
"0.006360,0.007060,0.008251,0.010180,0.013550,0.020561,0.035046");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.003445,0.004339,0.006002,0.009156,0.015142,0.026311,0.046841", \
"0.003446,0.004343,0.006007,0.009157,0.015142,0.026308,0.046844", \
"0.003514,0.004395,0.006040,0.009173,0.015144,0.026307,0.046846", \
"0.003723,0.004601,0.006259,0.009358,0.015208,0.026314,0.046848", \
"0.004159,0.004921,0.006421,0.009439,0.015387,0.026509,0.046863", \
"0.005124,0.005860,0.007152,0.009808,0.015457,0.026532,0.047132", \
"0.006673,0.007584,0.009005,0.011320,0.016280,0.026942,0.047216");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.013392,0.014543,0.016420,0.019534,0.024923,0.034626,0.052352", \
"0.014989,0.016137,0.018012,0.021128,0.026519,0.036225,0.053953", \
"0.017377,0.018522,0.020394,0.023509,0.028901,0.038607,0.056340", \
"0.022297,0.023442,0.025314,0.028422,0.033809,0.043511,0.061242", \
"0.030535,0.031825,0.033861,0.037101,0.042536,0.052214,0.069919", \
"0.043799,0.045276,0.047610,0.051173,0.056813,0.066539,0.084242", \
"0.066832,0.068548,0.071264,0.075399,0.081564,0.091532,0.109214");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.012166,0.013496,0.015764,0.019683,0.026637,0.039256,0.062317", \
"0.012793,0.014119,0.016384,0.020298,0.027254,0.039876,0.062941", \
"0.013336,0.014657,0.016913,0.020819,0.027771,0.040394,0.063474", \
"0.013649,0.014995,0.017278,0.021216,0.028170,0.040787,0.063856", \
"0.012644,0.014055,0.016375,0.020303,0.027249,0.039899,0.062970", \
"0.008253,0.009863,0.012398,0.016454,0.023401,0.035962,0.059019", \
"-0.003899,-0.001992,0.001045,0.005668,0.012948,0.025667,0.048748");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.003024,0.003643,0.004786,0.006991,0.011289,0.019481,0.034654", \
"0.003026,0.003643,0.004787,0.006992,0.011289,0.019481,0.034656", \
"0.003032,0.003651,0.004793,0.006995,0.011290,0.019480,0.034653", \
"0.003149,0.003741,0.004856,0.007028,0.011300,0.019482,0.034656", \
"0.003867,0.004428,0.005427,0.007398,0.011452,0.019507,0.034659", \
"0.004873,0.005474,0.006465,0.008248,0.011942,0.019716,0.034732", \
"0.006383,0.007057,0.008138,0.009898,0.013160,0.020253,0.034935");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.003444,0.004340,0.006002,0.009156,0.015142,0.026307,0.046849", \
"0.003445,0.004341,0.006005,0.009155,0.015141,0.026309,0.046847", \
"0.003482,0.004373,0.006026,0.009165,0.015145,0.026311,0.046840", \
"0.003673,0.004544,0.006177,0.009277,0.015178,0.026311,0.046849", \
"0.004087,0.004882,0.006397,0.009393,0.015288,0.026407,0.046853", \
"0.004975,0.005750,0.007104,0.009812,0.015434,0.026448,0.046986", \
"0.006419,0.007346,0.008805,0.011245,0.016317,0.026970,0.047166");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.558243,1.599553,1.633968,1.656842,1.669680,1.675126,1.677279", \
"1.506247,1.543310,1.578153,1.603377,1.617012,1.623181,1.626696", \
"1.488224,1.537456,1.566704,1.594056,1.608733,1.616113,1.619933", \
"1.528091,1.574408,1.614087,1.635563,1.647792,1.656581,1.661910", \
"1.582472,1.653670,1.717870,1.771023,1.781291,1.789536,1.791812", \
"1.825111,1.883288,1.972251,2.042706,2.090576,2.132682,2.135944", \
"2.460292,2.511943,2.598192,2.710802,2.803589,2.862383,2.917326");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.684698,0.695669,0.696006,0.703282,0.734232,0.711511,0.589224", \
"0.661490,0.664918,0.679152,0.696486,0.695628,0.725333,0.722744", \
"0.664971,0.676533,0.683172,0.681311,0.684210,0.688345,0.563979", \
"0.697667,0.703389,0.706546,0.713851,0.728072,0.714983,0.738328", \
"0.850430,0.862682,0.850219,0.839363,0.789156,0.789561,0.849680", \
"1.186903,1.216481,1.222077,1.224398,1.200293,1.167743,1.056063", \
"1.850093,1.894629,1.955756,1.990218,2.036531,2.023210,1.879755");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.856055,1.899745,1.946567,1.981693,2.003696,2.015805,2.026251", \
"1.818625,1.868316,1.912337,1.945798,1.964805,1.977903,1.986818", \
"1.818895,1.864618,1.907804,1.943332,1.964037,1.977404,1.988287", \
"1.861730,1.906758,1.955618,1.987697,2.006304,2.019857,2.029350", \
"1.940240,2.010374,2.089870,2.126459,2.143387,2.156457,2.163803", \
"2.174219,2.243844,2.338826,2.409568,2.455793,2.493762,2.499110", \
"2.866911,2.918151,3.005007,3.109674,3.171989,3.212036,3.269657");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.687655,0.686395,0.716545,0.713446,0.735620,0.675804,0.668734", \
"0.663670,0.666024,0.673153,0.697352,0.704569,0.728290,0.726872", \
"0.640406,0.657733,0.659287,0.671172,0.667941,0.671618,0.616428", \
"0.665848,0.668016,0.665641,0.679873,0.691779,0.685695,0.706206", \
"0.767919,0.778465,0.769172,0.742602,0.747429,0.726003,0.670932", \
"1.048732,1.060108,1.077630,1.076058,1.024972,1.001447,1.001140", \
"1.620264,1.663142,1.702555,1.771102,1.802366,1.818763,1.776838");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X2
Cell Description : Level-shifter cell (LS_HLEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_HLEN_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1585210.002831;
leakage_power () {
when : "!A & !ISOLN";
value : 1574074.465000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1592072.580000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1574547.309325;
}
leakage_power () {
when : "A & ISOLN";
value : 1600145.657000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.559941;
fall_capacitance : 0.524786;
rise_capacitance : 0.595097;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.385301,0.389835,0.390297,0.391146,0.383602,0.383633,0.388022");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.190571,-0.187252,-0.187367,-0.187599,-0.188061,-0.187536,-0.187275");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.654593;
fall_capacitance : 0.618840;
rise_capacitance : 0.690347;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.376014,0.367813,0.363022,0.359016,0.341832,0.339684,0.342501");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.209981,-0.206666,-0.206787,-0.207030,-0.207515,-0.208485,-0.210426");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.915400;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.012853,0.014057,0.015805,0.018814,0.024182,0.034422,0.054753", \
"0.014384,0.015587,0.017330,0.020337,0.025707,0.035948,0.056281", \
"0.016703,0.017900,0.019638,0.022641,0.028010,0.038257,0.058596", \
"0.021581,0.022766,0.024495,0.027489,0.032849,0.043089,0.063427", \
"0.029684,0.031009,0.032915,0.036107,0.041578,0.051789,0.072086", \
"0.042659,0.044144,0.046294,0.049886,0.055753,0.066115,0.086371", \
"0.064804,0.066489,0.068948,0.073091,0.079785,0.090744,0.111047");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.011725,0.013082,0.015106,0.018733,0.025440,0.038435,0.064256", \
"0.012532,0.013888,0.015908,0.019529,0.026233,0.039231,0.065053", \
"0.013672,0.015018,0.017022,0.020623,0.027310,0.040301,0.066129", \
"0.014838,0.016215,0.018256,0.021905,0.028587,0.041548,0.067355", \
"0.014800,0.016277,0.018382,0.022026,0.028713,0.041751,0.067528", \
"0.011752,0.013402,0.015778,0.019655,0.026331,0.039178,0.064978", \
"0.001946,0.003803,0.006542,0.011104,0.018322,0.031193,0.056794");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.002725,0.003316,0.004261,0.006147,0.010130,0.018609,0.036007", \
"0.002725,0.003318,0.004263,0.006149,0.010130,0.018610,0.036006", \
"0.002727,0.003321,0.004269,0.006154,0.010132,0.018609,0.036007", \
"0.002865,0.003436,0.004355,0.006208,0.010154,0.018613,0.036007", \
"0.003687,0.004241,0.005104,0.006780,0.010425,0.018658,0.036006", \
"0.004837,0.005425,0.006329,0.007982,0.011294,0.019028,0.036085", \
"0.006653,0.007269,0.008245,0.010025,0.013209,0.020098,0.036429");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.002991,0.003804,0.005115,0.007737,0.013191,0.024526,0.047485", \
"0.002990,0.003804,0.005117,0.007740,0.013191,0.024524,0.047483", \
"0.003015,0.003828,0.005138,0.007755,0.013197,0.024526,0.047485", \
"0.003298,0.004085,0.005380,0.007944,0.013268,0.024535,0.047489", \
"0.003906,0.004615,0.005752,0.008167,0.013486,0.024720,0.047501", \
"0.004906,0.005668,0.006779,0.008851,0.013696,0.024771,0.047756", \
"0.006600,0.007401,0.008676,0.010804,0.014894,0.025186,0.047804");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.014401,0.015654,0.017455,0.020524,0.025943,0.036210,0.056556", \
"0.016013,0.017264,0.019063,0.022132,0.027552,0.037821,0.058172", \
"0.018378,0.019624,0.021419,0.024484,0.029904,0.040174,0.060524", \
"0.023374,0.024610,0.026392,0.029445,0.034855,0.045120,0.065470", \
"0.032210,0.033565,0.035491,0.038691,0.044156,0.054390,0.074705", \
"0.046218,0.047752,0.049945,0.053557,0.059418,0.069776,0.090034", \
"0.070159,0.071901,0.074415,0.078575,0.085165,0.095960,0.116201");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.012609,0.013967,0.015991,0.019619,0.026327,0.039322,0.065144", \
"0.013259,0.014615,0.016637,0.020261,0.026967,0.039964,0.065785", \
"0.013880,0.015231,0.017244,0.020856,0.027554,0.040551,0.066376", \
"0.014494,0.015876,0.017920,0.021565,0.028265,0.041247,0.067069", \
"0.014000,0.015464,0.017577,0.021254,0.027963,0.040970,0.066767", \
"0.010231,0.011874,0.014225,0.018116,0.024879,0.037781,0.063556", \
"-0.0009756,0.0008841,0.003610,0.008139,0.015408,0.028460,0.054174");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.002972,0.003549,0.004469,0.006309,0.010230,0.018657,0.036034", \
"0.002973,0.003549,0.004471,0.006309,0.010230,0.018657,0.036032", \
"0.002973,0.003551,0.004474,0.006312,0.010232,0.018657,0.036032", \
"0.003023,0.003602,0.004518,0.006342,0.010244,0.018660,0.036033", \
"0.003793,0.004332,0.005164,0.006797,0.010438,0.018696,0.036035", \
"0.004950,0.005534,0.006419,0.008009,0.011275,0.019025,0.036096", \
"0.006634,0.007277,0.008237,0.009919,0.012955,0.019866,0.036364");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.002991,0.003804,0.005115,0.007737,0.013190,0.024525,0.047490", \
"0.002989,0.003804,0.005115,0.007739,0.013191,0.024524,0.047485", \
"0.003005,0.003818,0.005128,0.007748,0.013194,0.024527,0.047487", \
"0.003196,0.003997,0.005294,0.007871,0.013241,0.024532,0.047491", \
"0.003665,0.004422,0.005626,0.008091,0.013394,0.024630,0.047496", \
"0.004558,0.005348,0.006499,0.008703,0.013651,0.024694,0.047625", \
"0.006039,0.006893,0.008207,0.010408,0.014764,0.025209,0.047759");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.884338,1.978466,2.073011,2.163365,2.210211,2.232496,2.240541", \
"1.836246,1.930919,2.020928,2.114498,2.162792,2.181774,2.196873", \
"1.824284,1.924058,2.004100,2.094193,2.144593,2.166685,2.178530", \
"1.880114,1.957793,2.053162,2.126730,2.169943,2.193738,2.205830", \
"1.970777,2.056569,2.168610,2.260651,2.298651,2.313095,2.329830", \
"2.303762,2.333863,2.409564,2.518831,2.600792,2.638678,2.642791", \
"3.103743,3.105125,3.127192,3.207614,3.313037,3.364626,3.408414");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.059745,1.113526,1.134817,1.167545,1.206113,1.148960,1.220686", \
"1.060981,1.103209,1.139835,1.167347,1.189070,1.132271,1.187578", \
"1.048373,1.108313,1.141145,1.150860,1.160111,1.196058,1.178151", \
"1.128448,1.158734,1.190352,1.202784,1.162335,1.235269,1.236420", \
"1.314260,1.307874,1.350452,1.345968,1.299988,1.264586,1.250316", \
"1.693344,1.686230,1.735418,1.725084,1.654437,1.623262,1.573792", \
"2.506772,2.504747,2.495708,2.567645,2.540086,2.439591,2.409679");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("2.184718,2.269878,2.379259,2.475235,2.533164,2.562249,2.582110", \
"2.151312,2.234853,2.346401,2.441407,2.498712,2.526949,2.543838", \
"2.147911,2.235223,2.336689,2.435031,2.491984,2.522049,2.539451", \
"2.195757,2.286116,2.385889,2.471355,2.523269,2.552555,2.577222", \
"2.333742,2.426054,2.529322,2.621385,2.657543,2.681119,2.700895", \
"2.646178,2.696168,2.783394,2.889455,2.979216,3.017121,3.024521", \
"3.489316,3.505467,3.526835,3.618455,3.710023,3.742518,3.786363");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.073088,1.117452,1.137428,1.190570,1.211577,1.252185,1.044530", \
"1.056965,1.101843,1.118986,1.159811,1.186226,1.224886,1.197832", \
"1.052509,1.094286,1.108598,1.154581,1.183187,1.154331,1.192554", \
"1.066669,1.108975,1.130401,1.170272,1.162865,1.109512,1.212204", \
"1.210758,1.222390,1.245673,1.226635,1.190189,1.255986,1.229358", \
"1.498451,1.505076,1.556182,1.567974,1.523603,1.418304,1.489554", \
"2.172129,2.203753,2.208892,2.284649,2.277380,2.232950,2.222254");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X4
Cell Description : Level-shifter cell (LS_HLEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_HLEN_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1607114.513906;
leakage_power () {
when : "!A & !ISOLN";
value : 1585579.945000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1620518.645000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1586497.138125;
}
leakage_power () {
when : "A & ISOLN";
value : 1635862.327500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.949788;
fall_capacitance : 0.876535;
rise_capacitance : 1.023041;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.747127,0.752601,0.753153,0.753840,0.746472,0.746097,0.750681");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.390087,-0.388002,-0.388070,-0.388071,-0.389006,-0.388307,-0.386233");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.103482;
fall_capacitance : 1.038160;
rise_capacitance : 1.168804;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.734397,0.702046,0.692956,0.686013,0.666095,0.661489,0.662614");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("-0.429410,-0.426189,-0.426430,-0.426913,-0.427879,-0.429810,-0.433673");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.012015,0.013612,0.015309,0.018255,0.023567,0.033769,0.054052", \
"0.013550,0.015142,0.016834,0.019778,0.025093,0.035297,0.055581", \
"0.015879,0.017462,0.019148,0.022088,0.027403,0.037613,0.057901", \
"0.020717,0.022294,0.023973,0.026905,0.032211,0.042411,0.062697", \
"0.028586,0.030349,0.032205,0.035331,0.040743,0.050914,0.071155", \
"0.041301,0.043277,0.045370,0.048875,0.054642,0.064931,0.085135", \
"0.063112,0.065351,0.067747,0.071800,0.078369,0.089210,0.109449");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.011006,0.012835,0.014841,0.018455,0.025168,0.038203,0.064100", \
"0.011811,0.013637,0.015637,0.019244,0.025955,0.038992,0.064895", \
"0.012932,0.014744,0.016728,0.020314,0.027007,0.040039,0.065943", \
"0.013957,0.015808,0.017822,0.021461,0.028155,0.041154,0.067046", \
"0.013761,0.015743,0.017805,0.021417,0.028102,0.041188,0.067051", \
"0.010548,0.012752,0.015074,0.018889,0.025537,0.038420,0.064306", \
"0.0005967,0.003066,0.005746,0.010234,0.017372,0.030250,0.055936");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.002493,0.003276,0.004215,0.006111,0.010123,0.018624,0.035989", \
"0.002493,0.003278,0.004217,0.006112,0.010123,0.018624,0.035989", \
"0.002496,0.003284,0.004224,0.006117,0.010125,0.018624,0.035990", \
"0.002694,0.003423,0.004327,0.006178,0.010147,0.018627,0.035990", \
"0.003521,0.004244,0.005089,0.006754,0.010423,0.018672,0.035990", \
"0.004674,0.005435,0.006312,0.007929,0.011241,0.019021,0.036075", \
"0.006537,0.007328,0.008274,0.010001,0.013144,0.020065,0.036424");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.002741,0.003837,0.005156,0.007803,0.013302,0.024696,0.047736", \
"0.002739,0.003839,0.005158,0.007805,0.013302,0.024696,0.047733", \
"0.002777,0.003869,0.005184,0.007822,0.013309,0.024697,0.047739", \
"0.003070,0.004122,0.005428,0.008022,0.013384,0.024705,0.047737", \
"0.003692,0.004636,0.005770,0.008214,0.013593,0.024902,0.047751", \
"0.004702,0.005703,0.006788,0.008861,0.013780,0.024942,0.048023", \
"0.006453,0.007473,0.008718,0.010806,0.014933,0.025354,0.048067");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.013562,0.015221,0.016967,0.019968,0.025326,0.035552,0.055851", \
"0.015169,0.016826,0.018570,0.021571,0.026930,0.037159,0.057457", \
"0.017536,0.019191,0.020930,0.023927,0.029286,0.039517,0.059818", \
"0.022526,0.024163,0.025890,0.028875,0.034225,0.044451,0.064751", \
"0.031155,0.032969,0.034846,0.037976,0.043382,0.053572,0.073834", \
"0.044918,0.046962,0.049106,0.052628,0.058379,0.068665,0.088869", \
"0.068595,0.070909,0.073358,0.077421,0.083871,0.094532,0.114696");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.011891,0.013720,0.015725,0.019340,0.026055,0.039089,0.064988", \
"0.012523,0.014350,0.016353,0.019964,0.026677,0.039714,0.065615", \
"0.013116,0.014937,0.016930,0.020529,0.027233,0.040269,0.066174", \
"0.013629,0.015491,0.017513,0.021145,0.027852,0.040874,0.066776", \
"0.012970,0.014941,0.017022,0.020675,0.027383,0.040432,0.066314", \
"0.008998,0.011206,0.013518,0.017365,0.024109,0.037047,0.062908", \
"-0.002440,0.00004901,0.002735,0.007207,0.014426,0.027502,0.053304");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.002743,0.003507,0.004415,0.006263,0.010216,0.018668,0.036016", \
"0.002744,0.003508,0.004417,0.006265,0.010216,0.018668,0.036016", \
"0.002746,0.003510,0.004421,0.006268,0.010217,0.018669,0.036016", \
"0.002819,0.003571,0.004471,0.006301,0.010231,0.018671,0.036016", \
"0.003623,0.004328,0.005141,0.006770,0.010430,0.018705,0.036019", \
"0.004771,0.005537,0.006386,0.007938,0.011212,0.019016,0.036085", \
"0.006480,0.007301,0.008230,0.009852,0.012840,0.019805,0.036347");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.002739,0.003838,0.005156,0.007803,0.013301,0.024696,0.047737", \
"0.002738,0.003839,0.005157,0.007804,0.013302,0.024695,0.047734", \
"0.002761,0.003857,0.005172,0.007814,0.013306,0.024697,0.047738", \
"0.002961,0.004041,0.005341,0.007945,0.013356,0.024702,0.047737", \
"0.003447,0.004458,0.005659,0.008145,0.013500,0.024806,0.047745", \
"0.004343,0.005392,0.006528,0.008741,0.013743,0.024863,0.047880", \
"0.005858,0.006971,0.008262,0.010438,0.014836,0.025385,0.048018");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("3.497151,3.720293,3.861921,4.017026,4.099369,4.133762,4.152739", \
"3.405880,3.605625,3.767912,3.919829,4.001499,4.040606,4.057477", \
"3.383941,3.587363,3.745679,3.885276,3.965787,4.013579,4.039187", \
"3.492624,3.680851,3.824893,3.948106,4.020893,4.066754,4.096465", \
"3.705407,3.873410,4.042252,4.205044,4.269228,4.305495,4.333138", \
"4.362390,4.429010,4.554704,4.731279,4.859940,4.941731,4.954344", \
"5.991954,5.973628,5.968694,6.087949,6.271053,6.353562,6.448908");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.048005,2.189683,2.250118,2.319199,2.399792,2.376643,2.438480", \
"2.040875,2.150306,2.203991,2.311152,2.352968,2.344179,2.200285", \
"2.043283,2.167714,2.246935,2.289018,2.250347,2.345116,2.433643", \
"2.187591,2.269571,2.321848,2.359420,2.386905,2.432205,2.404675", \
"2.566178,2.616202,2.656477,2.585989,2.574428,2.541013,2.540443", \
"3.322059,3.338252,3.414544,3.366610,3.310827,3.140103,2.994625", \
"4.919373,4.893623,4.953672,5.017225,4.990187,4.919514,4.762563");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("4.063057,4.276107,4.446454,4.622787,4.712063,4.761837,4.795366", \
"3.966431,4.206324,4.392913,4.547353,4.646027,4.698278,4.728699", \
"3.963342,4.207634,4.372891,4.534689,4.631391,4.688996,4.728183", \
"4.084689,4.302789,4.472570,4.614193,4.697881,4.755030,4.797405", \
"4.369099,4.566855,4.743698,4.903232,4.963222,5.008652,5.039231", \
"5.056460,5.149872,5.269613,5.450726,5.594950,5.660709,5.676581", \
"6.722952,6.729368,6.759041,6.884706,7.024561,7.083321,7.164087");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.074528,2.174292,2.259398,2.306580,2.415110,2.421373,2.376366", \
"2.047206,2.155592,2.243253,2.320433,2.338688,2.422881,2.156759", \
"2.040095,2.140476,2.224894,2.233031,2.338462,2.384943,2.393692", \
"2.082027,2.168441,2.224301,2.234809,2.320583,2.303639,2.413845", \
"2.321638,2.435333,2.450127,2.417888,2.457945,2.393682,2.465315", \
"2.934965,3.024878,3.090363,3.094409,2.976077,2.810258,2.983079", \
"4.315584,4.325789,4.364559,4.481513,4.511619,4.446683,4.106720");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X1
Cell Description : Level-shifter cell (LS_HL_X1) with drive strength X1
*******************************************************************************************/
cell (LS_HL_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1574435.551000;
leakage_power () {
when : "!A";
value : 1569994.249000;
}
leakage_power () {
when : "A";
value : 1578876.853000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.597482;
fall_capacitance : 0.541674;
rise_capacitance : 0.653289;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.923600;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.010360,0.011429,0.013208,0.016233,0.021586,0.031318,0.049152", \
"0.011970,0.013035,0.014811,0.017838,0.023195,0.032931,0.050771", \
"0.014422,0.015481,0.017254,0.020281,0.025640,0.035384,0.053222", \
"0.018943,0.020057,0.021866,0.024903,0.030253,0.039985,0.057821", \
"0.026062,0.027312,0.029294,0.032477,0.037894,0.047618,0.065432", \
"0.037995,0.039421,0.041686,0.045182,0.050768,0.060521,0.078344", \
"0.059071,0.060736,0.063406,0.067532,0.073791,0.083900,0.101792");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.008599,0.009805,0.011941,0.015772,0.022724,0.035407,0.058614", \
"0.009493,0.010684,0.012808,0.016635,0.023593,0.036285,0.059505", \
"0.010238,0.011431,0.013537,0.017343,0.024290,0.036988,0.060213", \
"0.010372,0.011572,0.013672,0.017474,0.024427,0.037103,0.060336", \
"0.009028,0.010316,0.012435,0.016176,0.023055,0.035748,0.058969", \
"0.004147,0.005692,0.008077,0.011885,0.018696,0.031280,0.054460", \
"-0.008620,-0.006760,-0.003806,0.0006512,0.007632,0.020245,0.043391");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.002580,0.003218,0.004416,0.006721,0.011146,0.019448,0.034740", \
"0.002583,0.003221,0.004420,0.006722,0.011146,0.019448,0.034741", \
"0.002606,0.003240,0.004432,0.006728,0.011147,0.019449,0.034733", \
"0.002925,0.003498,0.004595,0.006796,0.011163,0.019450,0.034741", \
"0.003561,0.004133,0.005157,0.007182,0.011348,0.019488,0.034740", \
"0.004491,0.005109,0.006147,0.007985,0.011774,0.019680,0.034833", \
"0.006047,0.006733,0.007898,0.009793,0.013208,0.020427,0.035135");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.002831,0.003757,0.005521,0.008840,0.014977,0.026249,0.046930", \
"0.002841,0.003766,0.005526,0.008840,0.014978,0.026249,0.046930", \
"0.002960,0.003846,0.005556,0.008845,0.014977,0.026249,0.046935", \
"0.003168,0.003990,0.005661,0.008938,0.015017,0.026249,0.046922", \
"0.003706,0.004390,0.005842,0.008964,0.015066,0.026340,0.046946", \
"0.004728,0.005423,0.006633,0.009311,0.015159,0.026361,0.047052", \
"0.006299,0.007185,0.008564,0.010816,0.015866,0.026771,0.047204");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("1.382444,1.420032,1.448513,1.464363,1.474240,1.478779,1.483007", \
"1.343106,1.376001,1.407509,1.422510,1.434784,1.439486,1.444907", \
"1.354872,1.385260,1.415794,1.434652,1.445905,1.453282,1.457931", \
"1.410362,1.443597,1.471124,1.490346,1.502665,1.510887,1.516143", \
"1.467242,1.528743,1.591350,1.641862,1.653229,1.659525,1.666280", \
"1.739775,1.803944,1.876612,1.937652,1.977736,2.027505,2.032282", \
"2.421179,2.460575,2.552168,2.658782,2.746643,2.807641,2.861012");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.562557,0.577935,0.590230,0.585708,0.576512,0.559519,0.591862", \
"0.550664,0.550588,0.568887,0.566195,0.543201,0.596226,0.575471", \
"0.555217,0.553755,0.558016,0.554240,0.544051,0.532252,0.529450", \
"0.611321,0.596824,0.595109,0.606061,0.580662,0.623865,0.636916", \
"0.786804,0.784505,0.784581,0.761357,0.748427,0.731914,0.748787", \
"1.143456,1.178575,1.187617,1.183721,1.167867,1.078491,1.044453", \
"1.800078,1.849696,1.888667,1.965163,2.048210,1.996591,2.037107");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X2
Cell Description : Level-shifter cell (LS_HL_X2) with drive strength X2
*******************************************************************************************/
cell (LS_HL_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1578337.408750;
leakage_power () {
when : "!A";
value : 1575315.250000;
}
leakage_power () {
when : "A";
value : 1581359.567500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.592516;
fall_capacitance : 0.543584;
rise_capacitance : 0.641447;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.991700;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.011736,0.012898,0.014582,0.017512,0.022820,0.033063,0.053450", \
"0.013330,0.014487,0.016168,0.019095,0.024405,0.034650,0.055036", \
"0.015759,0.016909,0.018584,0.021507,0.026816,0.037065,0.057460", \
"0.020662,0.021812,0.023485,0.026403,0.031702,0.041939,0.062329", \
"0.028571,0.029862,0.031717,0.034835,0.040240,0.050444,0.070786", \
"0.041400,0.042844,0.044937,0.048429,0.054173,0.064477,0.084781", \
"0.063667,0.065299,0.067687,0.071716,0.078237,0.089044,0.109360");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.009070,0.010235,0.012027,0.015401,0.021953,0.034936,0.060814", \
"0.010011,0.011168,0.012948,0.016311,0.022863,0.035851,0.061731", \
"0.011097,0.012249,0.014011,0.017346,0.023876,0.036861,0.062746", \
"0.011799,0.013018,0.014813,0.018147,0.024653,0.037600,0.063471", \
"0.011008,0.012387,0.014315,0.017658,0.024078,0.036999,0.062845", \
"0.006832,0.008409,0.010661,0.014301,0.020681,0.033426,0.059196", \
"-0.004854,-0.003063,-0.0004200,0.003972,0.010909,0.023592,0.049207");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.002604,0.003182,0.004121,0.006026,0.010078,0.018650,0.036112", \
"0.002604,0.003185,0.004122,0.006027,0.010079,0.018650,0.036112", \
"0.002610,0.003191,0.004131,0.006033,0.010080,0.018650,0.036114", \
"0.002821,0.003355,0.004246,0.006099,0.010104,0.018653,0.036113", \
"0.003627,0.004163,0.005006,0.006671,0.010370,0.018693,0.036112", \
"0.004729,0.005296,0.006178,0.007796,0.011134,0.019010,0.036192", \
"0.006479,0.007076,0.008026,0.009757,0.012912,0.019947,0.036499");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.002424,0.003177,0.004481,0.007222,0.012914,0.024426,0.047474", \
"0.002426,0.003181,0.004484,0.007223,0.012914,0.024426,0.047477", \
"0.002537,0.003255,0.004526,0.007239,0.012915,0.024425,0.047478", \
"0.002935,0.003576,0.004745,0.007370,0.012960,0.024428,0.047475", \
"0.003609,0.004231,0.005224,0.007556,0.013037,0.024511,0.047481", \
"0.004612,0.005335,0.006360,0.008291,0.013222,0.024538,0.047594", \
"0.006298,0.007079,0.008314,0.010341,0.014363,0.024914,0.047699");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("1.739541,1.836124,1.919135,1.990041,2.026515,2.047061,2.055132", \
"1.700641,1.791663,1.873998,1.942615,1.986296,2.005670,2.017762", \
"1.707595,1.793456,1.873768,1.943574,1.985729,2.006402,2.019037", \
"1.774773,1.849203,1.929415,1.991269,2.031085,2.052101,2.066716", \
"1.888131,1.962014,2.047574,2.143690,2.175955,2.190957,2.206320", \
"2.213480,2.249579,2.312828,2.423537,2.493392,2.536999,2.543672", \
"3.065815,3.049125,3.064071,3.137284,3.241139,3.291744,3.345140");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("1.038637,1.054705,1.102495,1.137434,1.143653,1.143280,1.186607", \
"1.018088,1.055945,1.061445,1.073354,1.079929,1.155546,1.103737", \
"1.020632,1.066468,1.071596,1.104535,1.091123,1.115865,1.084089", \
"1.102804,1.114808,1.123209,1.108540,1.117353,1.052502,1.053337", \
"1.266131,1.302153,1.307949,1.277477,1.225737,1.267306,1.268163", \
"1.630890,1.677185,1.704603,1.706125,1.669821,1.605026,1.541954", \
"2.465304,2.464067,2.503838,2.538717,2.537118,2.554719,2.461045");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X4
Cell Description : Level-shifter cell (LS_HL_X4) with drive strength X4
*******************************************************************************************/
cell (LS_HL_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1586834.654500;
leakage_power () {
when : "!A";
value : 1585981.465000;
}
leakage_power () {
when : "A";
value : 1587687.844000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.641624;
fall_capacitance : 0.592346;
rise_capacitance : 0.690902;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.014595,0.016321,0.018166,0.021339,0.026896,0.037286,0.057781", \
"0.016196,0.017917,0.019760,0.022931,0.028487,0.038879,0.059376", \
"0.018564,0.020283,0.022121,0.025287,0.030843,0.041235,0.061734", \
"0.023589,0.025286,0.027108,0.030259,0.035805,0.046193,0.066690", \
"0.032592,0.034400,0.036321,0.039580,0.045156,0.055501,0.075949", \
"0.046726,0.048713,0.050862,0.054497,0.060490,0.070976,0.091323", \
"0.070485,0.072675,0.075081,0.079195,0.085929,0.096971,0.117323");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.011005,0.012732,0.014629,0.018100,0.024697,0.037684,0.063564", \
"0.011948,0.013673,0.015566,0.019032,0.025627,0.038617,0.064501", \
"0.013224,0.014934,0.016812,0.020256,0.026830,0.039814,0.065698", \
"0.014731,0.016519,0.018426,0.021871,0.028395,0.041328,0.067193", \
"0.014942,0.016917,0.018972,0.022469,0.028948,0.041829,0.067614", \
"0.012039,0.014211,0.016551,0.020386,0.026875,0.039537,0.065232", \
"0.002215,0.004603,0.007249,0.011753,0.018895,0.031456,0.056851");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003235,0.004024,0.004972,0.006840,0.010741,0.019130,0.036594", \
"0.003235,0.004024,0.004973,0.006841,0.010741,0.019130,0.036594", \
"0.003236,0.004026,0.004976,0.006845,0.010743,0.019130,0.036594", \
"0.003280,0.004070,0.005016,0.006874,0.010758,0.019134,0.036594", \
"0.004174,0.004867,0.005709,0.007366,0.010979,0.019181,0.036596", \
"0.005608,0.006316,0.007173,0.008788,0.012048,0.019637,0.036667", \
"0.007717,0.008426,0.009311,0.010997,0.014114,0.020852,0.037071");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.002742,0.003754,0.005024,0.007666,0.013237,0.024702,0.047751", \
"0.002742,0.003755,0.005025,0.007666,0.013236,0.024703,0.047751", \
"0.002768,0.003777,0.005044,0.007677,0.013240,0.024701,0.047753", \
"0.003222,0.004135,0.005305,0.007815,0.013273,0.024705,0.047756", \
"0.004018,0.004922,0.005942,0.008176,0.013436,0.024778,0.047758", \
"0.005213,0.006159,0.007229,0.009147,0.013763,0.024845,0.047868", \
"0.007247,0.008146,0.009338,0.011414,0.015222,0.025231,0.047946");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.763761,2.881269,3.018745,3.171608,3.266978,3.312670,3.340232", \
"2.708420,2.851312,2.977364,3.117993,3.221775,3.273160,3.298280", \
"2.707534,2.846902,2.969911,3.110957,3.205285,3.262532,3.291936", \
"2.798759,2.908357,3.017859,3.151596,3.243125,3.294400,3.330167", \
"3.091517,3.161959,3.230591,3.346503,3.405851,3.439443,3.467076", \
"3.672552,3.613129,3.621295,3.710439,3.800818,3.819625,3.821618", \
"4.922830,4.774652,4.661868,4.601904,4.647686,4.660093,4.676779");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("1.988024,2.102634,2.160143,2.210507,2.177626,2.209954,2.166686", \
"1.970278,2.097007,2.134194,2.153709,2.249912,2.116514,2.294066", \
"2.020544,2.100334,2.152231,2.203404,2.222419,2.190786,2.069412", \
"2.139991,2.223743,2.221720,2.196022,2.260475,2.229503,2.228476", \
"2.369363,2.453461,2.444767,2.418005,2.423364,2.206510,2.413161", \
"2.979681,2.926140,2.996332,2.950087,2.808483,2.638113,2.326851", \
"4.259653,4.093175,4.067816,4.090466,4.000067,3.851040,3.527929");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X1
Cell Description : Level-shifter cell (LS_LHEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_LHEN_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 38935.318750;
leakage_power () {
when : "!A & !ISOLN";
value : 24712.934500;
}
leakage_power () {
when : "!A & ISOLN";
value : 41944.517500;
}
leakage_power () {
when : "A & !ISOLN";
value : 23396.899000;
}
leakage_power () {
when : "A & ISOLN";
value : 65686.924000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.494021;
fall_capacitance : 0.466897;
rise_capacitance : 0.521145;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.410818,1.397907,1.409718,1.466386,1.613005,1.961640,2.778832");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.791005,0.772041,0.773975,0.816391,0.943590,1.282204,2.094575");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.014705;
fall_capacitance : 0.997262;
rise_capacitance : 1.032148;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.585986,0.567559,0.561293,0.556114,0.551735,0.548892,0.546488");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("4.666553,4.647787,4.661000,4.796423,5.051976,5.482736,6.131903");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.514900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.307178,0.308928,0.312182,0.318443,0.327593,0.351325,0.397894", \
"0.308514,0.310264,0.313518,0.319778,0.329726,0.352672,0.399442", \
"0.309892,0.311642,0.314896,0.321156,0.331320,0.353803,0.400799", \
"0.310867,0.312617,0.315870,0.322131,0.332076,0.355419,0.401357", \
"0.312957,0.314706,0.317960,0.324221,0.333683,0.352231,0.405596", \
"0.318089,0.319839,0.323092,0.329352,0.339681,0.361745,0.409815", \
"0.328961,0.330712,0.333965,0.340225,0.350551,0.373041,0.423219");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.454212,0.455535,0.457821,0.461905,0.466933,0.473943,0.506931", \
"0.454166,0.455472,0.457774,0.461858,0.466713,0.472516,0.506689", \
"0.451977,0.453284,0.455586,0.459671,0.464778,0.477898,0.503976", \
"0.446950,0.448258,0.450559,0.454643,0.460230,0.472246,0.498686", \
"0.442585,0.443892,0.446193,0.450278,0.456289,0.464131,0.488923", \
"0.439593,0.440900,0.443202,0.447285,0.452831,0.463771,0.491788", \
"0.437053,0.438360,0.440662,0.444746,0.449217,0.462161,0.488814");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.003851,0.005016,0.007459,0.012616,0.023098,0.043880,0.084882", \
"0.003852,0.005017,0.007460,0.012616,0.023099,0.043879,0.084880", \
"0.003851,0.005016,0.007459,0.012617,0.023099,0.043879,0.084882", \
"0.003851,0.005018,0.007460,0.012616,0.023099,0.043880,0.084882", \
"0.003849,0.005016,0.007460,0.012616,0.023099,0.043878,0.084887", \
"0.003848,0.005016,0.007461,0.012616,0.023099,0.043877,0.084883", \
"0.003852,0.005018,0.007459,0.012616,0.023099,0.043878,0.084884");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.003280,0.004060,0.005550,0.008531,0.014739,0.027513,0.052991", \
"0.003281,0.004060,0.005550,0.008531,0.014739,0.027513,0.052994", \
"0.003279,0.004058,0.005550,0.008532,0.014739,0.027513,0.052993", \
"0.003280,0.004059,0.005550,0.008531,0.014739,0.027514,0.052990", \
"0.003279,0.004059,0.005550,0.008532,0.014739,0.027514,0.052992", \
"0.003280,0.004059,0.005550,0.008532,0.014740,0.027513,0.052996", \
"0.003280,0.004060,0.005550,0.008532,0.014740,0.027514,0.052995");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.011284,0.013032,0.016321,0.022653,0.035037,0.059382,0.107341", \
"0.012465,0.014202,0.017482,0.023814,0.036205,0.060563,0.108525", \
"0.014097,0.015810,0.019061,0.025369,0.037752,0.062112,0.110083", \
"0.016577,0.018330,0.021590,0.027848,0.040186,0.064527,0.112501", \
"0.019832,0.021695,0.025037,0.031292,0.043577,0.067844,0.115781", \
"0.023932,0.025983,0.029624,0.036097,0.048375,0.072554,0.120396", \
"0.028604,0.030916,0.035005,0.042142,0.054923,0.079452,0.127241");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.012624,0.013935,0.016241,0.020330,0.027897,0.042568,0.071481", \
"0.013315,0.014624,0.016928,0.021016,0.028583,0.043252,0.072172", \
"0.014602,0.015899,0.018189,0.022265,0.029823,0.044491,0.073396", \
"0.016828,0.018159,0.020491,0.024588,0.032128,0.046760,0.075645", \
"0.019887,0.021315,0.023740,0.027896,0.035476,0.050117,0.078958", \
"0.024092,0.025731,0.028486,0.032988,0.040756,0.055444,0.084301", \
"0.029305,0.031286,0.034569,0.039897,0.048459,0.063773,0.093090");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.003455,0.004705,0.007280,0.012549,0.023078,0.043867,0.084867", \
"0.003459,0.004707,0.007281,0.012550,0.023078,0.043868,0.084869", \
"0.003504,0.004739,0.007295,0.012552,0.023078,0.043867,0.084864", \
"0.003837,0.004998,0.007426,0.012574,0.023077,0.043866,0.084867", \
"0.004433,0.005542,0.007807,0.012756,0.023130,0.043867,0.084879", \
"0.005512,0.006663,0.008848,0.013416,0.023401,0.043961,0.084875", \
"0.007385,0.008582,0.010872,0.015299,0.024784,0.044777,0.085086");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.003210,0.003992,0.005494,0.008497,0.014728,0.027513,0.052990", \
"0.003210,0.003993,0.005495,0.008497,0.014727,0.027513,0.052993", \
"0.003227,0.004011,0.005510,0.008506,0.014730,0.027514,0.052990", \
"0.003518,0.004266,0.005717,0.008625,0.014765,0.027516,0.052995", \
"0.004094,0.004789,0.006127,0.008906,0.014975,0.027591,0.052993", \
"0.005231,0.005955,0.007281,0.009820,0.015496,0.027879,0.053123", \
"0.007236,0.008035,0.009486,0.012094,0.017293,0.029321,0.053944");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("31.653404,31.714625,31.753989,31.772800,31.480363,31.436741,31.314939", \
"31.642386,31.696046,31.734751,31.753621,31.537560,31.422289,31.317238", \
"31.595664,31.655499,31.693993,31.713340,31.517459,31.356310,31.273634", \
"31.422882,31.483701,31.522876,31.543170,31.325961,31.245001,31.061953", \
"31.322428,31.378371,31.415714,31.436069,31.174374,30.639650,31.161589", \
"31.558817,31.607839,31.645135,31.665954,31.485687,31.284146,31.303161", \
"32.506595,32.560197,32.595919,32.619223,32.443822,32.289549,32.506050");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("43.124348,43.160035,43.197850,43.209449,42.976429,42.284824,42.558612", \
"43.009244,43.053770,43.080181,43.087207,42.839400,42.037775,42.417376", \
"42.615220,42.689837,42.737683,42.747182,42.512747,42.251157,42.074096", \
"41.946196,41.991363,42.026049,42.024920,41.849266,41.598763,41.311053", \
"41.288316,41.350729,41.389757,41.416189,41.275222,40.625171,40.230172", \
"40.943263,41.010869,41.055522,41.065697,40.861242,40.551871,40.386755", \
"41.065545,41.115566,41.155234,41.186216,40.885300,40.744848,40.562466");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("2.233348,2.254259,2.273908,2.293732,2.309842,2.320278,2.325655", \
"2.212131,2.232613,2.251683,2.269697,2.285992,2.296824,2.302974", \
"2.276296,2.296241,2.306585,2.320491,2.336797,2.350255,2.356214", \
"2.496074,2.513320,2.518659,2.522108,2.532175,2.542417,2.551996", \
"2.900679,2.976732,3.028997,3.066992,3.062912,3.063602,3.069031", \
"3.919382,4.035797,4.149723,4.236393,4.310383,4.303965,4.296736", \
"5.859466,5.992875,6.216417,6.515033,6.813715,6.926193,6.935799");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("1.351171,1.374169,1.419416,1.432711,1.423607,1.451206,1.361373", \
"1.301151,1.360174,1.391456,1.406265,1.414484,1.425617,1.352025", \
"1.387736,1.402279,1.434500,1.450869,1.474448,1.432754,1.405762", \
"1.562492,1.600569,1.618453,1.650266,1.647943,1.500886,1.607194", \
"2.120823,2.139637,2.152545,2.131328,2.118711,2.096159,1.982811", \
"3.204000,3.235344,3.313256,3.340455,3.322931,3.204935,3.150272", \
"5.249199,5.304080,5.397996,5.644746,5.842363,5.884395,5.598943");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X2
Cell Description : Level-shifter cell (LS_LHEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_LHEN_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 50726.322875;
leakage_power () {
when : "!A & !ISOLN";
value : 43407.721000;
}
leakage_power () {
when : "!A & ISOLN";
value : 50854.484500;
}
leakage_power () {
when : "A & !ISOLN";
value : 44751.611000;
}
leakage_power () {
when : "A & ISOLN";
value : 63891.475000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.443228;
fall_capacitance : 0.429144;
rise_capacitance : 0.457312;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.292500,1.279847,1.288329,1.332943,1.450759,1.718569,2.352223");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.717023,0.706336,0.709167,0.739765,0.840231,1.108810,1.761201");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.874456;
fall_capacitance : 0.872134;
rise_capacitance : 0.876778;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.381110,0.363057,0.357107,0.352270,0.348598,0.345858,0.343666");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("5.001291,4.988750,4.985675,5.096141,5.417631,6.013703,6.690915");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.405900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.307343,0.308658,0.310816,0.314630,0.319663,0.332712,0.359803", \
"0.308637,0.309952,0.312110,0.315923,0.321735,0.332722,0.359221", \
"0.310509,0.311824,0.313981,0.317796,0.322785,0.335853,0.362929", \
"0.312659,0.313973,0.316131,0.319945,0.324596,0.338032,0.365958", \
"0.316165,0.317480,0.319637,0.323452,0.329359,0.340742,0.368736", \
"0.323938,0.325252,0.327409,0.331223,0.337074,0.346287,0.375534", \
"0.338795,0.340109,0.342267,0.346081,0.351647,0.365119,0.390579");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.418152,0.419479,0.421693,0.425688,0.431883,0.444703,0.471330", \
"0.418186,0.419512,0.421726,0.425722,0.431635,0.442067,0.472389", \
"0.415567,0.416894,0.419108,0.423103,0.429227,0.441839,0.468651", \
"0.410094,0.411422,0.413636,0.417631,0.423065,0.435527,0.462276", \
"0.405741,0.407068,0.409282,0.413278,0.419037,0.426962,0.454978", \
"0.402027,0.403353,0.405566,0.409563,0.415813,0.428263,0.453323", \
"0.398454,0.399780,0.401994,0.405990,0.411805,0.419364,0.449961");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.003317,0.003988,0.005218,0.007730,0.013203,0.024883,0.048602", \
"0.003319,0.003989,0.005217,0.007730,0.013203,0.024882,0.048606", \
"0.003317,0.003988,0.005216,0.007730,0.013203,0.024883,0.048604", \
"0.003319,0.003989,0.005217,0.007730,0.013203,0.024882,0.048605", \
"0.003319,0.003988,0.005216,0.007731,0.013203,0.024882,0.048605", \
"0.003319,0.003988,0.005217,0.007730,0.013203,0.024883,0.048605", \
"0.003319,0.003989,0.005217,0.007730,0.013203,0.024882,0.048606");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.003123,0.003955,0.005423,0.008345,0.014464,0.027246,0.053127", \
"0.003121,0.003955,0.005423,0.008345,0.014463,0.027246,0.053127", \
"0.003123,0.003954,0.005423,0.008345,0.014463,0.027245,0.053126", \
"0.003122,0.003954,0.005423,0.008345,0.014464,0.027246,0.053125", \
"0.003123,0.003955,0.005423,0.008345,0.014464,0.027245,0.053127", \
"0.003122,0.003955,0.005423,0.008345,0.014464,0.027244,0.053130", \
"0.003123,0.003955,0.005424,0.008345,0.014464,0.027245,0.053130");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.012331,0.013618,0.015741,0.019525,0.026580,0.040455,0.068193", \
"0.013454,0.014737,0.016856,0.020637,0.027693,0.041569,0.069309", \
"0.015227,0.016500,0.018605,0.022375,0.029424,0.043301,0.071041", \
"0.018659,0.019954,0.022071,0.025827,0.032838,0.046676,0.074397", \
"0.023615,0.025030,0.027318,0.031274,0.038365,0.052134,0.079783", \
"0.031061,0.032645,0.035177,0.039500,0.046907,0.060694,0.088245", \
"0.042221,0.044055,0.046983,0.051918,0.060184,0.074586,0.102264");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.012715,0.014048,0.016273,0.020290,0.027799,0.042513,0.071907", \
"0.013484,0.014816,0.017038,0.021051,0.028559,0.043275,0.072664", \
"0.014729,0.016051,0.018259,0.022251,0.029741,0.044446,0.073827", \
"0.016827,0.018162,0.020386,0.024374,0.031818,0.046464,0.075809", \
"0.019225,0.020637,0.022932,0.026952,0.034391,0.049002,0.078254", \
"0.021547,0.023119,0.025663,0.029984,0.037544,0.052106,0.081305", \
"0.022338,0.024173,0.027093,0.032048,0.040283,0.055218,0.084701");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.002888,0.003594,0.004874,0.007491,0.013099,0.024851,0.048588", \
"0.002888,0.003596,0.004876,0.007493,0.013099,0.024850,0.048589", \
"0.002900,0.003611,0.004890,0.007501,0.013102,0.024851,0.048587", \
"0.003253,0.003900,0.005099,0.007609,0.013131,0.024853,0.048589", \
"0.004018,0.004671,0.005848,0.008194,0.013387,0.024884,0.048589", \
"0.005249,0.005926,0.007107,0.009382,0.014142,0.025141,0.048639", \
"0.007363,0.008116,0.009379,0.011726,0.016311,0.026457,0.049118");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.003081,0.003915,0.005387,0.008320,0.014454,0.027244,0.053126", \
"0.003081,0.003915,0.005388,0.008320,0.014453,0.027243,0.053129", \
"0.003086,0.003922,0.005398,0.008328,0.014457,0.027245,0.053130", \
"0.003348,0.004150,0.005588,0.008446,0.014496,0.027248,0.053132", \
"0.003936,0.004680,0.006015,0.008742,0.014707,0.027329,0.053128", \
"0.005087,0.005820,0.007151,0.009673,0.015218,0.027597,0.053268", \
"0.007131,0.007871,0.009234,0.011856,0.016938,0.028850,0.054062");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("30.491173,30.560559,30.658679,30.709555,30.528741,30.459392,30.403024", \
"30.462557,30.543175,30.640367,30.688295,30.583601,30.319156,30.207642", \
"30.470287,30.538877,30.639140,30.688578,30.503029,30.435568,30.377362", \
"30.347058,30.427455,30.527243,30.575311,30.358748,30.325400,30.349064", \
"30.225459,30.309190,30.405465,30.454339,30.357803,30.129688,30.158601", \
"30.419104,30.500423,30.596906,30.645372,30.541776,30.111892,30.258153", \
"31.206782,31.298016,31.383574,31.434401,31.310502,31.290761,31.083405");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("39.535808,39.573820,39.601276,39.596066,39.447463,39.312197,38.783882", \
"39.425701,39.452120,39.488361,39.498532,39.310712,38.809849,38.801189", \
"39.025713,39.059508,39.083800,39.085017,38.907907,38.640568,38.531610", \
"38.283773,38.311595,38.343783,38.353905,38.132136,37.814391,37.697263", \
"37.667247,37.700454,37.744627,37.739982,37.551567,36.863583,36.613839", \
"37.284088,37.324805,37.356931,37.342230,37.212346,36.897551,36.644558", \
"37.374194,37.404293,37.446997,37.457374,37.277874,36.528177,36.772092");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("2.044655,2.130955,2.210432,2.256881,2.279531,2.291066,2.299675", \
"2.032483,2.103456,2.183853,2.228884,2.247653,2.258954,2.265538", \
"2.073728,2.153543,2.215558,2.259873,2.275777,2.287906,2.295529", \
"2.259198,2.335656,2.392246,2.415514,2.418163,2.419579,2.424201", \
"2.612277,2.672715,2.762457,2.813185,2.800134,2.781605,2.773102", \
"3.548163,3.559431,3.615449,3.672450,3.677573,3.662576,3.626082", \
"5.382516,5.410345,5.423471,5.476430,5.565908,5.587052,5.559600");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("1.484228,1.518994,1.562060,1.566854,1.550824,1.473060,1.584414", \
"1.474088,1.509890,1.552306,1.535165,1.565474,1.509471,1.311006", \
"1.522129,1.560279,1.580885,1.576927,1.581177,1.479085,1.596580", \
"1.737090,1.748115,1.737697,1.733951,1.688609,1.619911,1.725205", \
"2.182687,2.192604,2.172385,2.132209,2.103432,1.940699,2.048234", \
"3.161105,3.192746,3.177894,3.150658,3.087048,2.981253,2.923289", \
"5.022293,4.982786,4.917780,5.038643,5.020194,4.983946,4.832912");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X4
Cell Description : Level-shifter cell (LS_LHEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_LHEN_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 62915.270375;
leakage_power () {
when : "!A & !ISOLN";
value : 56782.234000;
}
leakage_power () {
when : "!A & ISOLN";
value : 71810.947500;
}
leakage_power () {
when : "A & !ISOLN";
value : 61350.325500;
}
leakage_power () {
when : "A & ISOLN";
value : 61717.574500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.394023;
fall_capacitance : 0.388405;
rise_capacitance : 0.399640;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("1.164150,1.151526,1.156582,1.191249,1.283383,1.490419,1.969831");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.638477,0.632656,0.635952,0.658432,0.734208,0.948408,1.456019");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.764679;
fall_capacitance : 0.780581;
rise_capacitance : 0.748776;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("0.286003,0.268020,0.262393,0.258393,0.255153,0.253063,0.251335");
}
rise_power(Hidden_power_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
values ("3.204786,3.162673,3.032883,2.993040,3.153467,3.423619,3.877128");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 56.457500;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.089400,0.091895,0.094548,0.099214,0.107526,0.123208,0.154381", \
"0.090681,0.093176,0.095827,0.100495,0.108807,0.124489,0.155662", \
"0.092674,0.095166,0.097816,0.102486,0.110797,0.126479,0.157658", \
"0.096856,0.099349,0.102000,0.106668,0.114980,0.130661,0.161836", \
"0.105000,0.107494,0.110148,0.114814,0.123128,0.138807,0.169980", \
"0.118904,0.121397,0.124048,0.128717,0.137046,0.152728,0.183901", \
"0.140070,0.142560,0.145212,0.149882,0.158092,0.173715,0.204890");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.091626,0.094018,0.096609,0.101203,0.109348,0.124451,0.154056", \
"0.092622,0.095015,0.097605,0.102199,0.110344,0.125447,0.155052", \
"0.093695,0.096091,0.098678,0.103274,0.111419,0.126522,0.156129", \
"0.095495,0.097888,0.100476,0.105071,0.113216,0.128319,0.157925", \
"0.097592,0.099985,0.102572,0.107168,0.115313,0.130416,0.160022", \
"0.099508,0.101900,0.104487,0.109084,0.117200,0.132303,0.161908", \
"0.099778,0.102169,0.104756,0.109352,0.117174,0.132298,0.161905");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.004575,0.005694,0.007082,0.009882,0.015694,0.028380,0.054982", \
"0.004576,0.005693,0.007081,0.009882,0.015694,0.028380,0.054983", \
"0.004575,0.005694,0.007082,0.009881,0.015694,0.028380,0.054983", \
"0.004575,0.005695,0.007082,0.009881,0.015694,0.028380,0.054983", \
"0.004577,0.005693,0.007083,0.009881,0.015694,0.028380,0.054981", \
"0.004577,0.005696,0.007082,0.009880,0.015694,0.028380,0.054982", \
"0.004576,0.005693,0.007081,0.009881,0.015694,0.028380,0.054983");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.004329,0.005498,0.007042,0.010046,0.015940,0.028277,0.054087", \
"0.004329,0.005498,0.007043,0.010045,0.015940,0.028277,0.054087", \
"0.004331,0.005497,0.007042,0.010045,0.015940,0.028277,0.054086", \
"0.004329,0.005497,0.007042,0.010045,0.015940,0.028276,0.054084", \
"0.004329,0.005497,0.007042,0.010046,0.015940,0.028277,0.054084", \
"0.004328,0.005498,0.007042,0.010046,0.015940,0.028276,0.054086", \
"0.004328,0.005498,0.007043,0.010045,0.015940,0.028277,0.054085");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.019451,0.021914,0.024564,0.029237,0.037551,0.053254,0.084461", \
"0.020570,0.023032,0.025680,0.030351,0.038665,0.054368,0.085575", \
"0.022224,0.024682,0.027331,0.031997,0.040307,0.056011,0.087218", \
"0.025907,0.028352,0.030980,0.035619,0.043903,0.059585,0.090780", \
"0.032884,0.035395,0.038062,0.042695,0.050911,0.066476,0.097571", \
"0.042711,0.045474,0.048387,0.053365,0.061937,0.077506,0.108348", \
"0.057271,0.060329,0.063579,0.069080,0.078364,0.094426,0.125085");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.033306,0.035696,0.038283,0.042874,0.051011,0.066105,0.095709", \
"0.033869,0.036261,0.038846,0.043437,0.051572,0.066665,0.096265", \
"0.034533,0.036927,0.039511,0.044101,0.052236,0.067328,0.096928", \
"0.035829,0.038213,0.040801,0.045395,0.053537,0.068629,0.098229", \
"0.039523,0.041896,0.044462,0.049005,0.057130,0.072198,0.101765", \
"0.045836,0.048427,0.051124,0.055782,0.063905,0.078907,0.108341", \
"0.052407,0.055304,0.058300,0.063366,0.071973,0.087064,0.116373");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.004291,0.005435,0.006851,0.009688,0.015568,0.028331,0.054964", \
"0.004291,0.005434,0.006851,0.009688,0.015567,0.028331,0.054965", \
"0.004290,0.005435,0.006854,0.009690,0.015569,0.028332,0.054965", \
"0.004299,0.005452,0.006876,0.009714,0.015584,0.028335,0.054964", \
"0.005184,0.006222,0.007500,0.010131,0.015775,0.028375,0.054966", \
"0.006860,0.007926,0.009196,0.011709,0.016908,0.028750,0.054988", \
"0.009524,0.010618,0.011929,0.014408,0.019356,0.030150,0.055352");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.004339,0.005505,0.007049,0.010052,0.015945,0.028278,0.054084", \
"0.004338,0.005506,0.007050,0.010053,0.015946,0.028278,0.054086", \
"0.004338,0.005505,0.007050,0.010053,0.015946,0.028278,0.054084", \
"0.004338,0.005505,0.007050,0.010052,0.015946,0.028279,0.054087", \
"0.004475,0.005595,0.007114,0.010101,0.015977,0.028289,0.054087", \
"0.005735,0.006737,0.008069,0.010816,0.016419,0.028497,0.054116", \
"0.007821,0.008793,0.010069,0.012663,0.017778,0.029166,0.054414");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("10.054099,9.956015,9.891709,9.888648,9.854906,9.807225,9.778096", \
"10.051173,9.942326,9.876924,9.883025,9.840424,9.798310,9.764693", \
"10.061343,9.957923,9.894547,9.886704,9.844983,9.797706,9.770090", \
"10.108475,9.989988,9.925529,9.928392,9.887002,9.839737,9.811163", \
"10.265516,10.178216,10.101401,10.100154,10.066183,10.019092,9.989412", \
"10.770927,10.666460,10.612357,10.601286,10.561560,10.513073,10.484103", \
"11.607897,11.517896,11.461074,11.463892,11.428410,11.386940,11.355584");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("9.305838,9.150705,9.017229,9.004738,8.942501,8.578359,8.650135", \
"9.290124,9.069206,8.993511,8.977106,8.922668,8.536545,8.629054", \
"9.248540,9.012347,8.961484,8.916824,8.847455,8.748949,8.717376", \
"9.180367,8.968793,8.906006,8.861895,8.789304,8.539078,8.392381", \
"9.194729,9.038237,8.914056,8.892192,8.847000,8.589550,8.631608", \
"9.379689,9.216750,9.099455,9.084824,8.983396,8.851077,8.585450", \
"9.953600,9.703873,9.648612,9.614191,9.569412,9.375527,8.809104");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("3.370090,3.279543,3.237963,3.274544,3.276124,3.265962,3.252277", \
"3.351129,3.250693,3.226867,3.255804,3.251647,3.238914,3.228771", \
"3.369869,3.255964,3.242533,3.255934,3.257509,3.243195,3.234769", \
"3.499247,3.395382,3.358684,3.362374,3.335324,3.311555,3.297629", \
"4.181187,4.011166,3.889737,3.804492,3.694982,3.594859,3.533925", \
"5.429202,5.184943,4.939039,4.711620,4.519756,4.291724,4.135958", \
"7.796407,7.520461,7.187805,6.707711,6.301681,5.905708,5.588197");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("4.562796,4.348671,4.246396,4.208325,4.083662,3.855570,3.338684", \
"4.555158,4.391338,4.315912,4.244067,4.201995,3.894423,3.746156", \
"4.643704,4.393079,4.337087,4.263910,4.136938,3.869402,3.897222", \
"4.758332,4.544498,4.497617,4.427602,4.279684,4.291438,4.036849", \
"5.167955,5.007146,4.903467,4.803490,4.727664,4.346079,3.978058", \
"6.412109,6.096240,5.921361,5.699410,5.485681,5.314989,5.065904", \
"8.719514,8.340482,8.002195,7.675066,7.328514,7.066829,6.726032");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X1
Cell Description : Level-shifter cell (LS_LH_X1) with drive strength X1
*******************************************************************************************/
cell (LS_LH_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 22326.510250;
leakage_power () {
when : "!A";
value : 26877.439500;
}
leakage_power () {
when : "A";
value : 17775.581000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.400325;
fall_capacitance : 0.382896;
rise_capacitance : 0.417753;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.615700;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.064064,0.065477,0.067907,0.072174,0.080156,0.095570,0.125330", \
"0.065418,0.066835,0.069262,0.073532,0.081514,0.096925,0.126690", \
"0.067462,0.068877,0.071303,0.075573,0.083555,0.098967,0.128732", \
"0.071601,0.073013,0.075442,0.079710,0.087692,0.103105,0.132866", \
"0.079038,0.080452,0.082879,0.087147,0.095126,0.110537,0.140297", \
"0.090060,0.091471,0.093899,0.098110,0.106086,0.121497,0.151252", \
"0.108166,0.109576,0.111962,0.116272,0.124201,0.139595,0.169350");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.064925,0.066455,0.068978,0.073005,0.080234,0.094257,0.121904", \
"0.065929,0.067472,0.069985,0.074039,0.081247,0.095276,0.122913", \
"0.067202,0.068740,0.071256,0.075304,0.082512,0.096544,0.124182", \
"0.069303,0.070846,0.073357,0.077402,0.084611,0.098643,0.126285", \
"0.071936,0.073468,0.076009,0.080031,0.087229,0.101271,0.128911", \
"0.074839,0.076401,0.078898,0.082931,0.090129,0.104161,0.131801", \
"0.077378,0.078904,0.081428,0.085456,0.092499,0.106537,0.134177");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.003486,0.004250,0.005739,0.008860,0.015463,0.028648,0.054141", \
"0.003487,0.004253,0.005740,0.008860,0.015463,0.028648,0.054141", \
"0.003489,0.004251,0.005740,0.008860,0.015463,0.028648,0.054137", \
"0.003486,0.004253,0.005739,0.008861,0.015462,0.028648,0.054137", \
"0.003487,0.004254,0.005740,0.008861,0.015462,0.028648,0.054141", \
"0.003488,0.004255,0.005741,0.008860,0.015463,0.028648,0.054137", \
"0.003496,0.004256,0.005745,0.008863,0.015463,0.028649,0.054136");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.004623,0.005284,0.006526,0.009167,0.015262,0.027998,0.052827", \
"0.004620,0.005284,0.006526,0.009167,0.015262,0.027999,0.052833", \
"0.004619,0.005284,0.006528,0.009167,0.015262,0.027999,0.052831", \
"0.004618,0.005284,0.006527,0.009167,0.015262,0.027999,0.052835", \
"0.004623,0.005287,0.006523,0.009167,0.015261,0.027998,0.052831", \
"0.004626,0.005285,0.006521,0.009165,0.015262,0.027999,0.052836", \
"0.004625,0.005283,0.006527,0.009166,0.015261,0.027998,0.052833");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("8.737279,8.776788,8.811101,8.805789,8.791685,8.783818,8.780211", \
"8.723057,8.775150,8.796350,8.790090,8.777010,8.770612,8.766228", \
"8.729935,8.780402,8.813115,8.808823,8.794314,8.787386,8.783458", \
"8.858602,8.901512,8.936832,8.926994,8.911985,8.905006,8.901067", \
"9.255320,9.296491,9.327782,9.322636,9.308329,9.301520,9.297097", \
"9.976989,10.028079,10.050273,10.034571,10.021471,10.014591,10.010405", \
"11.401226,11.442490,11.480125,11.480926,11.478612,11.491157,11.487446");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("8.772770,8.940474,8.971617,8.916695,8.759065,8.559908,8.361872", \
"8.763900,8.913859,8.951292,8.893324,8.754665,8.666604,8.353286", \
"8.706591,8.871572,8.913464,8.850632,8.688743,8.504706,8.315141", \
"8.687647,8.844869,8.887705,8.833335,8.661867,8.490758,8.464051", \
"8.766810,8.921669,8.952135,8.916629,8.741968,8.559512,8.344477", \
"9.046686,9.201484,9.250978,9.167216,9.057118,8.855811,8.663433", \
"9.830913,9.926815,10.003788,9.942704,9.855513,9.613707,9.600109");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X2
Cell Description : Level-shifter cell (LS_LH_X2) with drive strength X2
*******************************************************************************************/
cell (LS_LH_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 27269.074750;
leakage_power () {
when : "!A";
value : 33980.642000;
}
leakage_power () {
when : "A";
value : 20557.507500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.391453;
fall_capacitance : 0.375005;
rise_capacitance : 0.407901;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.444000;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.066689,0.067995,0.070119,0.073860,0.080825,0.094633,0.122355", \
"0.068043,0.069348,0.071471,0.075213,0.082177,0.095984,0.123707", \
"0.070085,0.071390,0.073514,0.077255,0.084220,0.098027,0.125747", \
"0.074249,0.075555,0.077679,0.081419,0.088384,0.102191,0.129909", \
"0.081820,0.083126,0.085248,0.088987,0.095950,0.109755,0.137481", \
"0.093117,0.094418,0.096535,0.100270,0.107255,0.121057,0.148783", \
"0.111650,0.112955,0.115080,0.118813,0.125783,0.139524,0.167242");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.068006,0.069477,0.071834,0.075751,0.082787,0.096826,0.125594", \
"0.069015,0.070494,0.072844,0.076763,0.083793,0.097838,0.126606", \
"0.070286,0.071757,0.074115,0.078026,0.085055,0.099104,0.127877", \
"0.072363,0.073846,0.076198,0.080112,0.087147,0.101187,0.129957", \
"0.074937,0.076408,0.078764,0.082684,0.089706,0.103743,0.132518", \
"0.077748,0.079213,0.081564,0.085473,0.092502,0.106543,0.135329", \
"0.079993,0.081492,0.083841,0.087754,0.094648,0.108623,0.137408");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.003398,0.004033,0.005208,0.007651,0.013113,0.024834,0.048610", \
"0.003397,0.004034,0.005208,0.007651,0.013112,0.024834,0.048610", \
"0.003396,0.004033,0.005208,0.007651,0.013113,0.024834,0.048605", \
"0.003397,0.004033,0.005208,0.007651,0.013113,0.024834,0.048606", \
"0.003397,0.004033,0.005206,0.007652,0.013113,0.024834,0.048609", \
"0.003399,0.004036,0.005207,0.007652,0.013113,0.024834,0.048604", \
"0.003407,0.004038,0.005211,0.007654,0.013114,0.024834,0.048605");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.004526,0.005159,0.006316,0.008731,0.014445,0.027150,0.053078", \
"0.004527,0.005159,0.006318,0.008731,0.014445,0.027149,0.053081", \
"0.004525,0.005160,0.006318,0.008732,0.014444,0.027148,0.053072", \
"0.004532,0.005160,0.006319,0.008732,0.014443,0.027150,0.053080", \
"0.004526,0.005159,0.006320,0.008731,0.014444,0.027148,0.053077", \
"0.004530,0.005152,0.006313,0.008732,0.014444,0.027150,0.053075", \
"0.004527,0.005155,0.006315,0.008732,0.014444,0.027150,0.053079");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("9.386465,9.460160,9.508310,9.510297,9.484033,9.466038,9.453769", \
"9.388856,9.445959,9.495575,9.496164,9.469587,9.451738,9.439545", \
"9.388458,9.463479,9.510321,9.512299,9.485767,9.467843,9.457826", \
"9.518987,9.579820,9.625025,9.625156,9.598321,9.579810,9.568437", \
"9.905807,9.971844,10.015131,10.017561,9.990636,9.970390,9.959165", \
"10.627135,10.686305,10.737465,10.733527,10.708348,10.687423,10.677336", \
"12.022298,12.100108,12.151164,12.155923,12.142732,12.138837,12.128179");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("9.645522,9.720492,9.776238,9.701456,9.528676,9.303208,9.170412", \
"9.589659,9.710911,9.763656,9.696616,9.493468,9.236044,9.004590", \
"9.573355,9.652127,9.706526,9.634465,9.493493,9.163335,9.094123", \
"9.514008,9.645317,9.680929,9.619241,9.472995,9.199132,9.063296", \
"9.609273,9.708797,9.755788,9.674946,9.496289,9.354239,9.140756", \
"9.867838,9.973634,10.025345,9.968551,9.773754,9.489475,9.424702", \
"10.590712,10.700400,10.759824,10.669596,10.550843,10.256944,9.914203");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X4
Cell Description : Level-shifter cell (LS_LH_X4) with drive strength X4
*******************************************************************************************/
cell (LS_LH_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 40647.512250;
leakage_power () {
when : "!A";
value : 53669.392000;
}
leakage_power () {
when : "A";
value : 27625.632500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.392970;
fall_capacitance : 0.376667;
rise_capacitance : 0.409274;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.879900;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.075756,0.077984,0.080410,0.084669,0.092418,0.107555,0.137968", \
"0.077100,0.079328,0.081756,0.086015,0.093764,0.108901,0.139313", \
"0.079149,0.081376,0.083802,0.088061,0.095811,0.110948,0.141363", \
"0.083288,0.085515,0.087940,0.092198,0.099948,0.115085,0.145500", \
"0.090842,0.093067,0.095492,0.099751,0.107499,0.122634,0.153049", \
"0.102222,0.104448,0.106875,0.111130,0.118884,0.134022,0.164435", \
"0.120962,0.123184,0.125603,0.129855,0.137568,0.152706,0.183117");
}
cell_rise(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.075760,0.078126,0.080633,0.084815,0.092067,0.106160,0.135076", \
"0.076779,0.079149,0.081653,0.085835,0.093087,0.107180,0.136096", \
"0.078038,0.080405,0.082908,0.087091,0.094344,0.108432,0.137356", \
"0.080096,0.082462,0.084969,0.089162,0.096397,0.110494,0.139414", \
"0.082602,0.084977,0.087479,0.091659,0.098904,0.112998,0.141922", \
"0.085338,0.087724,0.090215,0.094389,0.101637,0.115739,0.144666", \
"0.087458,0.089848,0.092328,0.096529,0.103584,0.117680,0.146605");
}
fall_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.003822,0.004833,0.006137,0.008793,0.014600,0.027307,0.053408", \
"0.003820,0.004836,0.006138,0.008793,0.014600,0.027308,0.053408", \
"0.003821,0.004833,0.006138,0.008792,0.014600,0.027307,0.053407", \
"0.003822,0.004833,0.006138,0.008792,0.014600,0.027307,0.053408", \
"0.003821,0.004835,0.006136,0.008793,0.014599,0.027307,0.053409", \
"0.003822,0.004834,0.006136,0.008793,0.014600,0.027308,0.053407", \
"0.003826,0.004839,0.006139,0.008794,0.014601,0.027307,0.053409");
}
rise_transition(Timing_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.005168,0.005954,0.007133,0.009569,0.015023,0.027552,0.053707", \
"0.005169,0.005956,0.007134,0.009569,0.015023,0.027551,0.053707", \
"0.005167,0.005954,0.007134,0.009570,0.015022,0.027551,0.053705", \
"0.005167,0.005954,0.007135,0.009568,0.015023,0.027551,0.053707", \
"0.005167,0.005957,0.007134,0.009569,0.015022,0.027551,0.053707", \
"0.005171,0.005955,0.007135,0.009569,0.015022,0.027552,0.053707", \
"0.005170,0.005958,0.007133,0.009569,0.015023,0.027552,0.053704");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("11.771008,11.716591,11.710523,11.669175,11.581961,11.516468,11.476385", \
"11.752392,11.708392,11.695891,11.656865,11.565065,11.500237,11.460680", \
"11.769523,11.717766,11.712410,11.672473,11.584362,11.517912,11.477262", \
"11.878005,11.826298,11.820882,11.780896,11.693372,11.626326,11.585690", \
"12.258688,12.217957,12.209328,12.165820,12.076362,12.012936,11.972508", \
"12.989972,12.946085,12.938652,12.898054,12.802649,12.738356,12.698380", \
"14.427736,14.401721,14.383010,14.348134,14.278317,14.215426,14.173795");
}
rise_power(Power_7_7) {
index_1 ("0.000872315,0.00391081,0.00782162,0.0156432,0.0312865,0.062573,0.125146");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("12.660850,12.637779,12.553891,12.399701,12.051956,11.426566,10.656256", \
"12.637065,12.573622,12.517066,12.287505,11.879944,11.573043,10.675158", \
"12.579092,12.569276,12.485815,12.263606,11.967832,11.374692,10.900594", \
"12.547447,12.505945,12.470534,12.280566,11.842792,11.194172,10.802693", \
"12.603881,12.509347,12.520937,12.264889,11.982058,11.455835,10.634896", \
"12.898381,12.850682,12.793794,12.590739,12.243417,11.545332,11.189922", \
"13.558415,13.518452,13.489712,13.301400,12.878591,12.411991,11.803995");
}
}
}
}
}
/*
* End of file
*/