blob: 4a531566b1d59eaec49932426b3b47c854d38e28 [file] [log] [blame]
/*
* ******************************************************************************
* * *
* * Copyright (C) 2004-2011, Nangate Inc. *
* * All rights reserved. *
* * *
* * Nangate and the Nangate logo are trademarks of Nangate Inc. *
* * *
* * All trademarks, logos, software marks, and trade names (collectively the *
* * "Marks") in this program are proprietary to Nangate or other respective *
* * owners that have granted Nangate the right and license to use such Marks. *
* * You are not permitted to use the Marks without the prior written consent *
* * of Nangate or such third party that may own the Marks. *
* * *
* * This file has been provided pursuant to a License Agreement containing *
* * restrictions on its use. This file contains valuable trade secrets and *
* * proprietary information of Nangate Inc., and is protected by U.S. and *
* * international laws and/or treaties. *
* * *
* * The copyright notice(s) in this file does not indicate actual or intended *
* * publication of this file. *
* * *
* * NGLibraryCharacterizer, v2011.05-QR02-2011-05-18_32 - build 1107011217 *
* * *
* ******************************************************************************
*
* Spice engine : Nanspice v2011.05-QR02-2011-05-18_32-1107011217
* Liberty export type : conditional
*
* Characterization Corner : fast
* Process : FastFast
* Temperature : 0C
* Voltage : 1.25V
*
****************************************************************************/
library (LowPowerOpenCellLibrary) {
/* Documentation Attributes */
date : "Wed 17 Aug 2011, 19:29:11";
revision : "revision 1.0";
comment : "Copyright (c) 2004-2010 Nangate Inc. All Rights Reserved.";
/* General Attributes */
technology (cmos);
delay_model : table_lookup;
in_place_swap_mode : match_footprint;
library_features (report_delay_calculation,report_power_calculation);
/* Units Attributes */
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit (1,ff);
/* Operation Conditions */
nom_process : 1.00;
nom_temperature : 0.00;
nom_voltage : 1.25;
voltage_map (VDD,1.25);
voltage_map (VSS,0.00);
voltage_map (VDDL,1.05);
voltage_map (VDDBAK,1.25);
voltage_map (VVDD,1.12);
define(process_corner, operating_conditions, string);
operating_conditions (fast) {
process_corner : "FastFast";
process : 1.00;
voltage : 1.25;
temperature : 0.00;
tree_type : balanced_tree;
}
default_operating_conditions : fast;
/* Threshold Definitions */
slew_lower_threshold_pct_fall : 30.00 ;
slew_lower_threshold_pct_rise : 30.00 ;
slew_upper_threshold_pct_fall : 70.00 ;
slew_upper_threshold_pct_rise : 70.00 ;
slew_derate_from_library : 1.00 ;
input_threshold_pct_fall : 50.00 ;
input_threshold_pct_rise : 50.00 ;
output_threshold_pct_fall : 50.00 ;
output_threshold_pct_rise : 50.00 ;
default_leakage_power_density : 0.00 ;
default_cell_leakage_power : 0.00 ;
/* Default Pin Attributes */
default_inout_pin_cap : 1.000000;
default_input_pin_cap : 1.000000;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.146240;
define(drive_strength, cell, float);
define(ng_build_equation, cell, string);
/* Wire load tables */
wire_load("1K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3207 );
fanout_length( 2, 2.9813 );
fanout_length( 3, 5.1135 );
fanout_length( 4, 7.6639 );
fanout_length( 5, 10.0334 );
fanout_length( 6, 12.2296 );
fanout_length( 8, 19.3185 );
}
wire_load("1K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.3216 );
fanout_length( 2, 2.8855 );
fanout_length( 3, 4.6810 );
fanout_length( 4, 6.7976 );
fanout_length( 5, 9.4037 );
fanout_length( 6, 13.0170 );
fanout_length( 8, 24.1720 );
}
wire_load("1K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 6.283688;
fanout_length( 1, 1.3446 );
fanout_length( 2, 2.8263 );
fanout_length( 3, 4.7581 );
fanout_length( 4, 7.4080 );
fanout_length( 5, 10.9381 );
fanout_length( 6, 15.7314 );
fanout_length( 8, 29.7891 );
}
wire_load("3K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.8234 );
fanout_length( 2, 4.5256 );
fanout_length( 3, 7.5342 );
fanout_length( 4, 10.6237 );
fanout_length( 5, 13.5401 );
fanout_length( 6, 16.3750 );
fanout_length( 7, 18.6686 );
fanout_length( 8, 19.4348 );
fanout_length( 10, 20.9672 );
}
wire_load("3K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6615 );
fanout_length( 2, 3.9827 );
fanout_length( 3, 6.6386 );
fanout_length( 4, 9.6287 );
fanout_length( 5, 12.8485 );
fanout_length( 6, 16.4145 );
fanout_length( 7, 20.0747 );
fanout_length( 8, 22.6325 );
fanout_length( 10, 21.7173 );
}
wire_load("3K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.5771 );
fanout_length( 2, 3.9330 );
fanout_length( 3, 6.6217 );
fanout_length( 4, 9.7638 );
fanout_length( 5, 13.5526 );
fanout_length( 6, 18.1322 );
fanout_length( 7, 22.5871 );
fanout_length( 8, 25.1074 );
fanout_length( 10, 30.1480 );
}
wire_load("5K_hvratio_1_4") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 2.0449 );
fanout_length( 2, 4.4094 );
fanout_length( 3, 7.2134 );
fanout_length( 4, 10.4927 );
fanout_length( 5, 13.9420 );
fanout_length( 6, 18.0039 );
fanout_length( 7, 23.9278 );
fanout_length( 8, 30.8475 );
fanout_length( 9, 34.9441 );
fanout_length( 11, 43.1373 );
}
wire_load("5K_hvratio_1_2") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.6706 );
fanout_length( 2, 3.7951 );
fanout_length( 3, 6.2856 );
fanout_length( 4, 9.1309 );
fanout_length( 5, 12.1420 );
fanout_length( 6, 15.6918 );
fanout_length( 7, 20.1043 );
fanout_length( 8, 24.2827 );
fanout_length( 9, 27.3445 );
fanout_length( 11, 35.3421 );
}
wire_load("5K_hvratio_1_1") {
capacitance : 1.774000e-01;
resistance : 3.571429e-03;
slope : 5.000000;
fanout_length( 1, 1.7460 );
fanout_length( 2, 3.9394 );
fanout_length( 3, 6.4626 );
fanout_length( 4, 9.2201 );
fanout_length( 5, 11.9123 );
fanout_length( 6, 14.8358 );
fanout_length( 7, 18.6155 );
fanout_length( 8, 22.6727 );
fanout_length( 9, 25.4842 );
fanout_length( 11, 27.0320 );
}
default_wire_load : "5K_hvratio_1_1" ;
power_lut_template (Hidden_power_7) {
variable_1 : input_transition_time;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
power_lut_template (Power_7_7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Timing_7_7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
lu_table_template (Tristate_disable_7) {
variable_1 : input_net_transition;
index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070");
}
/******************************************************************************************
Module : AON_BUF_X1
Cell Description : Combinational cell (AON_BUF_X1) with drive strength X1
*******************************************************************************************/
cell (AON_BUF_X1) {
drive_strength : 1;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 20828.249369;
leakage_power () {
when : "!A";
value : 20829.200788;
}
leakage_power () {
when : "A";
value : 20827.297950;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.447116;
fall_capacitance : 0.425334;
rise_capacitance : 0.468897;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.692100;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.013396,0.015106,0.017868,0.022416,0.030177,0.043844,0.068174", \
"0.014789,0.016497,0.019259,0.023808,0.031572,0.045247,0.069571", \
"0.016888,0.018587,0.021344,0.025890,0.033654,0.047317,0.071647", \
"0.020478,0.022248,0.025074,0.029651,0.037406,0.051051,0.075368", \
"0.025830,0.027743,0.030753,0.035517,0.043384,0.057039,0.081308", \
"0.034367,0.036535,0.039895,0.045084,0.053288,0.067070,0.091359", \
"0.048082,0.050658,0.054624,0.060653,0.069876,0.084597,0.109414");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.012620,0.014677,0.018250,0.024543,0.035722,0.055606,0.091007", \
"0.013717,0.015762,0.019322,0.025612,0.036797,0.056688,0.092085", \
"0.015072,0.017094,0.020618,0.026873,0.038037,0.057907,0.093295", \
"0.016617,0.018652,0.022160,0.028366,0.039463,0.059301,0.094676", \
"0.017923,0.020076,0.023619,0.029779,0.040791,0.060531,0.095826", \
"0.017781,0.020250,0.024138,0.030444,0.041523,0.061235,0.096408", \
"0.013934,0.016776,0.021307,0.028346,0.039725,0.059858,0.095370");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.003853,0.004901,0.006770,0.010194,0.016560,0.028249,0.049253", \
"0.003857,0.004906,0.006774,0.010196,0.016560,0.028248,0.049254", \
"0.003914,0.004952,0.006804,0.010212,0.016566,0.028248,0.049256", \
"0.004371,0.005339,0.007082,0.010351,0.016608,0.028256,0.049255", \
"0.005146,0.006092,0.007771,0.010878,0.016907,0.028337,0.049256", \
"0.006601,0.007545,0.009192,0.012118,0.017719,0.028728,0.049400", \
"0.009223,0.010275,0.012000,0.014964,0.020341,0.030692,0.050423");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.004677,0.006378,0.009543,0.015330,0.025726,0.044270,0.077289", \
"0.004682,0.006384,0.009543,0.015326,0.025735,0.044268,0.077287", \
"0.004749,0.006421,0.009560,0.015335,0.025734,0.044273,0.077302", \
"0.005053,0.006631,0.009678,0.015376,0.025734,0.044261,0.077304", \
"0.005778,0.007211,0.010022,0.015539,0.025816,0.044285,0.077300", \
"0.007144,0.008610,0.011179,0.016301,0.026332,0.044559,0.077349", \
"0.009400,0.011003,0.013720,0.018416,0.027832,0.045998,0.078317");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("1.446312,1.505734,1.548672,1.568483,1.576564,1.580521,1.581983", \
"1.439253,1.502605,1.546531,1.565665,1.574728,1.578981,1.581283", \
"1.498861,1.553640,1.595332,1.616819,1.623422,1.627770,1.630468", \
"1.639765,1.697329,1.743839,1.761589,1.766180,1.769733,1.771002", \
"1.899751,1.979301,2.057297,2.105329,2.112847,2.111320,2.110096", \
"2.599585,2.669785,2.768783,2.844708,2.888134,2.911112,2.904007", \
"4.021365,4.092863,4.203531,4.365825,4.512162,4.606427,4.643987");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651383,1.160510,2.067560,3.683580,6.562680,11.692100");
values ("0.595177,0.598954,0.599590,0.590199,0.585877,0.588796,0.499807", \
"0.592560,0.580391,0.590124,0.597031,0.566849,0.592865,0.602982", \
"0.633578,0.620307,0.626854,0.619373,0.583281,0.524683,0.566312", \
"0.767754,0.758771,0.751631,0.736872,0.711582,0.750261,0.748528", \
"1.168624,1.159003,1.135730,1.101981,1.094859,0.999424,0.956246", \
"1.900031,1.949609,1.992299,2.015574,1.936457,1.920375,1.910000", \
"3.134503,3.220690,3.335369,3.513829,3.714385,3.806426,3.703729");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X2
Cell Description : Combinational cell (AON_BUF_X2) with drive strength X2
*******************************************************************************************/
cell (AON_BUF_X2) {
drive_strength : 2;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 32666.437500;
leakage_power () {
when : "!A";
value : 36022.875000;
}
leakage_power () {
when : "A";
value : 29310.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.408443;
fall_capacitance : 0.387383;
rise_capacitance : 0.429503;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.013330,0.014823,0.017233,0.021440,0.029054,0.043703,0.072852", \
"0.014708,0.016195,0.018601,0.022806,0.030422,0.045071,0.074223", \
"0.016842,0.018313,0.020704,0.024899,0.032510,0.047159,0.076307", \
"0.020767,0.022278,0.024702,0.028909,0.036504,0.051120,0.080250", \
"0.026521,0.028162,0.030767,0.035212,0.042978,0.057593,0.086652", \
"0.035578,0.037414,0.040318,0.045181,0.053386,0.068182,0.097215", \
"0.050118,0.052245,0.055628,0.061267,0.070535,0.086400,0.115896");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.011692,0.013304,0.016044,0.021258,0.031524,0.051996,0.092905", \
"0.012802,0.014407,0.017136,0.022339,0.032602,0.053083,0.093988", \
"0.014309,0.015893,0.018587,0.023742,0.033964,0.054425,0.095327", \
"0.016170,0.017814,0.020533,0.025651,0.035779,0.056163,0.097028", \
"0.017798,0.019585,0.022464,0.027596,0.037614,0.057870,0.098623", \
"0.018188,0.020159,0.023396,0.028935,0.039027,0.059188,0.099751", \
"0.015020,0.017270,0.020933,0.027311,0.038101,0.058538,0.099363");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003338,0.004114,0.005514,0.008314,0.014155,0.026471,0.051626", \
"0.003342,0.004117,0.005518,0.008317,0.014156,0.026470,0.051628", \
"0.003372,0.004150,0.005546,0.008334,0.014163,0.026472,0.051625", \
"0.003889,0.004591,0.005869,0.008516,0.014231,0.026482,0.051626", \
"0.004844,0.005530,0.006765,0.009264,0.014665,0.026586,0.051631", \
"0.006416,0.007117,0.008337,0.010721,0.015719,0.027073,0.051762", \
"0.009187,0.009987,0.011313,0.013747,0.018567,0.029117,0.052647");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003369,0.004492,0.006647,0.011207,0.020666,0.039759,0.077945", \
"0.003369,0.004497,0.006648,0.011205,0.020669,0.039750,0.077954", \
"0.003438,0.004547,0.006681,0.011214,0.020670,0.039746,0.077959", \
"0.003891,0.004928,0.006928,0.011317,0.020678,0.039751,0.077946", \
"0.004706,0.005742,0.007576,0.011637,0.020811,0.039783,0.077951", \
"0.006189,0.007225,0.009112,0.012809,0.021408,0.040062,0.078022", \
"0.008886,0.009857,0.011775,0.015549,0.023319,0.041471,0.078858");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.631056,1.685198,1.763200,1.818682,1.842270,1.852907,1.856678", \
"1.631300,1.683062,1.750239,1.812503,1.837028,1.847783,1.853418", \
"1.680670,1.740018,1.806551,1.857582,1.880546,1.892414,1.895278", \
"1.879310,1.928180,1.971262,2.015484,2.025176,2.030220,2.030921", \
"2.259951,2.281844,2.322609,2.375551,2.381388,2.369466,2.362067", \
"3.127326,3.131135,3.124885,3.157424,3.175627,3.170048,3.143592", \
"4.900266,4.894574,4.864248,4.855381,4.900495,4.916156,4.905937");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.003121,1.021349,1.036664,1.021830,1.052877,1.032677,0.878193", \
"0.998240,1.019171,1.024807,1.029925,1.049885,0.920060,0.826264", \
"1.072559,1.079031,1.083419,1.082859,1.024899,0.959951,1.055485", \
"1.251626,1.241441,1.230548,1.214637,1.150230,1.207965,1.175726", \
"1.715473,1.706444,1.680029,1.605413,1.565409,1.416525,1.302259", \
"2.586376,2.597795,2.597316,2.584260,2.489207,2.327064,2.361870", \
"4.214055,4.150770,4.180219,4.235643,4.381580,4.395503,4.166620");
}
}
}
}
/******************************************************************************************
Module : AON_BUF_X4
Cell Description : Combinational cell (AON_BUF_X4) with drive strength X4
*******************************************************************************************/
cell (AON_BUF_X4) {
drive_strength : 4;
area : 2.128000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 54935.187500;
leakage_power () {
when : "!A";
value : 64540.750000;
}
leakage_power () {
when : "A";
value : 45329.625000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.409644;
fall_capacitance : 0.391755;
rise_capacitance : 0.427532;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.735500;
function : "A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.018398,0.020807,0.023479,0.028113,0.036206,0.051076,0.080122", \
"0.019729,0.022133,0.024802,0.029434,0.037526,0.052396,0.081446", \
"0.021763,0.024158,0.026821,0.031447,0.039538,0.054410,0.083456", \
"0.026174,0.028520,0.031147,0.035736,0.043796,0.058646,0.087675", \
"0.033400,0.035905,0.038661,0.043383,0.051521,0.066331,0.095267", \
"0.044096,0.046843,0.049855,0.054958,0.063552,0.078621,0.107479", \
"0.061103,0.064173,0.067589,0.073350,0.082830,0.098823,0.127986");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.015457,0.017983,0.020895,0.026239,0.036481,0.056824,0.097531", \
"0.016549,0.019075,0.021984,0.027322,0.037563,0.057907,0.098614", \
"0.018105,0.020620,0.023513,0.028829,0.039044,0.059378,0.100077", \
"0.020973,0.023481,0.026359,0.031615,0.041729,0.061968,0.102614", \
"0.023997,0.026639,0.029650,0.034967,0.044979,0.065028,0.105514", \
"0.026324,0.029212,0.032497,0.038209,0.048290,0.068099,0.108287", \
"0.025837,0.029081,0.032741,0.039140,0.050040,0.070034,0.110137");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.004585,0.005682,0.007072,0.009818,0.015379,0.027157,0.051911", \
"0.004584,0.005683,0.007075,0.009819,0.015380,0.027157,0.051911", \
"0.004588,0.005689,0.007081,0.009825,0.015384,0.027158,0.051911", \
"0.004731,0.005812,0.007186,0.009906,0.015430,0.027173,0.051913", \
"0.006030,0.007003,0.008224,0.010692,0.015862,0.027299,0.051925", \
"0.007875,0.008879,0.010081,0.012427,0.017270,0.028035,0.052073", \
"0.011001,0.012056,0.013328,0.015673,0.020282,0.030179,0.052945");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("0.003861,0.005499,0.007583,0.011902,0.021069,0.039981,0.077995", \
"0.003861,0.005499,0.007583,0.011902,0.021066,0.039977,0.078010", \
"0.003864,0.005506,0.007592,0.011909,0.021067,0.039977,0.078000", \
"0.004234,0.005779,0.007783,0.011997,0.021091,0.039982,0.077997", \
"0.005244,0.006682,0.008565,0.012476,0.021259,0.040000,0.078005", \
"0.007078,0.008383,0.010227,0.013849,0.021917,0.040215,0.078043", \
"0.010326,0.011499,0.013242,0.016911,0.024264,0.041511,0.078662");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("3.003224,2.983244,2.969743,3.049578,3.098079,3.108443,3.107518", \
"2.992729,2.971005,2.974075,3.044841,3.089517,3.101275,3.099433", \
"3.030097,2.995853,2.997426,3.065581,3.116199,3.127971,3.129378", \
"3.251153,3.223410,3.196126,3.233182,3.254006,3.253877,3.244221", \
"3.913545,3.838260,3.736714,3.704881,3.655919,3.602464,3.565123", \
"5.124032,5.001564,4.841745,4.659464,4.541834,4.422824,4.321302", \
"7.561838,7.382230,7.187469,6.842280,6.533504,6.293183,6.100854");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.585480,3.170970,6.341940,12.683900,25.367800,50.735500");
values ("2.185791,2.219083,2.234103,2.218617,2.167239,2.225091,1.873264", \
"2.182912,2.197607,2.225809,2.212484,2.184310,2.025348,2.222841", \
"2.241432,2.268759,2.262143,2.251078,2.192507,2.074215,2.246702", \
"2.519462,2.525792,2.459508,2.413201,2.358990,2.211890,1.912275", \
"3.210295,3.126559,3.059459,2.882410,2.768371,2.569868,2.640917", \
"4.639942,4.390895,4.293417,4.107381,3.785672,3.564992,3.146694", \
"7.268216,6.898193,6.657920,6.456129,6.222636,5.725346,5.261093");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X1
Cell Description : Combinational cell (AON_INV_X1) with drive strength X1
*******************************************************************************************/
cell (AON_INV_X1) {
drive_strength : 1;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 10412.421081;
leakage_power () {
when : "!A";
value : 7530.503900;
}
leakage_power () {
when : "A";
value : 13294.338263;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.389296;
fall_capacitance : 0.371654;
rise_capacitance : 0.406939;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 11.730200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.004155,0.005538,0.007978,0.012307,0.020007,0.033715,0.058144", \
"0.005257,0.006654,0.009075,0.013396,0.021090,0.034798,0.059228", \
"0.005917,0.007781,0.010599,0.014893,0.022538,0.036213,0.060628", \
"0.006252,0.008626,0.012260,0.017707,0.025650,0.039187,0.063501", \
"0.005612,0.008666,0.013322,0.020287,0.030621,0.045579,0.069551", \
"0.002596,0.006512,0.012520,0.021507,0.034716,0.054117,0.082259", \
"-0.005625,-0.0006691,0.007032,0.018629,0.035735,0.060633,0.096877");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.005709,0.007738,0.011311,0.017623,0.028828,0.048773,0.084303", \
"0.007186,0.009134,0.012644,0.018930,0.030134,0.050083,0.085613", \
"0.009183,0.011345,0.014723,0.020875,0.031980,0.051863,0.087348", \
"0.011993,0.014710,0.018936,0.025230,0.036051,0.055695,0.091011", \
"0.016500,0.019805,0.025007,0.033045,0.044997,0.064096,0.098899", \
"0.023884,0.028054,0.034485,0.044326,0.059395,0.081883,0.116072", \
"0.036302,0.041629,0.049834,0.062193,0.080696,0.108734,0.150720");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.002560,0.003733,0.005822,0.009549,0.016193,0.028024,0.049133", \
"0.002970,0.003865,0.005823,0.009549,0.016187,0.028021,0.049133", \
"0.004234,0.005131,0.006537,0.009637,0.016191,0.028026,0.049139", \
"0.006296,0.007364,0.009093,0.011745,0.016749,0.028031,0.049143", \
"0.009951,0.011272,0.013316,0.016554,0.021606,0.029985,0.049140", \
"0.016451,0.018176,0.020793,0.024713,0.030731,0.040154,0.054730", \
"0.028365,0.030565,0.034008,0.039126,0.046591,0.057734,0.075138");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.004075,0.005915,0.009201,0.015054,0.025501,0.044110,0.077279", \
"0.004151,0.005917,0.009205,0.015062,0.025488,0.044102,0.077271", \
"0.005305,0.006556,0.009302,0.015063,0.025499,0.044097,0.077271", \
"0.007192,0.008807,0.011202,0.015693,0.025494,0.044129,0.077274", \
"0.010255,0.012102,0.015191,0.019966,0.027583,0.044183,0.077290", \
"0.016021,0.018061,0.021471,0.027174,0.036235,0.049814,0.077930", \
"0.027014,0.029273,0.033132,0.039424,0.049832,0.066679,0.091516");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.069304,0.069124,0.069893,0.069279,0.069441,0.069610,0.068976", \
"0.068630,0.069323,0.069553,0.069317,0.069729,0.069554,0.069312", \
"0.070819,0.068939,0.069698,0.069504,0.069131,0.069487,0.069425", \
"0.116203,0.077809,0.070612,0.069135,0.069534,0.069487,0.068917", \
"0.422540,0.376436,0.302747,0.206454,0.123812,0.069333,0.069030", \
"0.989407,0.972932,0.905296,0.770194,0.571974,0.382064,0.246969", \
"2.088293,2.072917,2.048842,1.964361,1.734860,1.351339,0.945621");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.651736,1.161770,2.070930,3.691580,6.580500,11.730200");
values ("0.621872,0.611522,0.609850,0.625865,0.602066,0.637894,0.612744", \
"0.613275,0.622096,0.623001,0.612023,0.635702,0.634936,0.508334", \
"0.687397,0.659688,0.658187,0.644531,0.633937,0.632521,0.598378", \
"0.797530,0.807894,0.766866,0.740369,0.693319,0.598984,0.638016", \
"1.130105,1.094306,1.043671,1.009277,0.885394,0.766915,0.720069", \
"1.861938,1.805257,1.715304,1.584036,1.433566,1.235668,1.159064", \
"3.374567,3.310350,3.199469,3.022761,2.770542,2.494768,2.039832");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X2
Cell Description : Combinational cell (AON_INV_X2) with drive strength X2
*******************************************************************************************/
cell (AON_INV_X2) {
drive_strength : 2;
area : 1.596000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 22242.430075;
leakage_power () {
when : "!A";
value : 16009.041375;
}
leakage_power () {
when : "A";
value : 28475.818775;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 0.708625;
fall_capacitance : 0.670567;
rise_capacitance : 0.746684;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 25.482200;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003035,0.004064,0.005922,0.009594,0.016901,0.031488,0.060653", \
"0.003900,0.005144,0.007025,0.010675,0.017976,0.032566,0.061726", \
"0.004185,0.005770,0.008242,0.012213,0.019433,0.033974,0.063108", \
"0.004074,0.006086,0.009224,0.014389,0.022598,0.036951,0.065968", \
"0.002889,0.005476,0.009499,0.016083,0.026661,0.043331,0.071996", \
"-0.0007208,0.002571,0.007735,0.016223,0.029756,0.051195,0.084787", \
"-0.009396,-0.005321,0.001215,0.012105,0.029606,0.057103,0.100252");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.003937,0.005394,0.008024,0.013198,0.023467,0.043938,0.084846", \
"0.005519,0.006880,0.009403,0.014515,0.024765,0.045245,0.086164", \
"0.006964,0.008779,0.011593,0.016531,0.026636,0.047022,0.087884", \
"0.009260,0.011457,0.014997,0.020895,0.030798,0.050882,0.091530", \
"0.013042,0.015769,0.020081,0.027401,0.039463,0.059336,0.099370", \
"0.019300,0.022789,0.028246,0.037270,0.052191,0.076591,0.116419", \
"0.030183,0.034552,0.041534,0.053059,0.071603,0.101773,0.150846");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.001599,0.002452,0.004028,0.007180,0.013485,0.026093,0.051309", \
"0.002328,0.002893,0.004114,0.007179,0.013485,0.026090,0.051311", \
"0.003395,0.004130,0.005331,0.007578,0.013484,0.026093,0.051312", \
"0.005284,0.006164,0.007592,0.010100,0.014539,0.026093,0.051312", \
"0.008640,0.009775,0.011528,0.014490,0.019613,0.028475,0.051312", \
"0.014706,0.016188,0.018492,0.022224,0.028274,0.038627,0.056357", \
"0.026181,0.027966,0.030940,0.035850,0.043574,0.055831,0.076651");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.002481,0.003768,0.006155,0.010927,0.020480,0.039598,0.077810", \
"0.002934,0.003897,0.006155,0.010928,0.020481,0.039577,0.077800", \
"0.004081,0.005121,0.006748,0.010934,0.020488,0.039586,0.077801", \
"0.005654,0.006919,0.009017,0.012448,0.020577,0.039582,0.077797", \
"0.008599,0.009973,0.012378,0.016735,0.023826,0.039871,0.077798", \
"0.014177,0.015735,0.018402,0.023278,0.032144,0.046566,0.078436", \
"0.025065,0.026701,0.029712,0.035223,0.045093,0.062941,0.092027");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("0.145415,0.145645,0.144033,0.144767,0.144717,0.144206,0.143654", \
"0.144877,0.144597,0.145410,0.145294,0.145090,0.144296,0.143635", \
"0.153057,0.148974,0.144290,0.144941,0.144736,0.143911,0.143784", \
"0.327105,0.250021,0.151445,0.144094,0.144468,0.144443,0.143974", \
"0.963845,0.905228,0.779698,0.552557,0.306239,0.151877,0.142775", \
"2.160866,2.125690,2.054780,1.829116,1.372285,0.859850,0.504478", \
"4.481025,4.460285,4.429770,4.323540,3.906935,3.010595,1.966819");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.796319,1.592640,3.185280,6.370550,12.741100,25.482200");
values ("1.161475,1.168307,1.196320,1.194618,1.222554,1.106089,0.942798", \
"1.198617,1.178685,1.177773,1.173077,1.197607,1.059140,1.173380", \
"1.341725,1.300396,1.284518,1.250790,1.234937,1.217827,1.177349", \
"1.597073,1.588028,1.555380,1.489406,1.389987,1.229588,1.237938", \
"2.328687,2.271012,2.164442,2.081356,1.861966,1.582200,1.487927", \
"3.895262,3.841825,3.697516,3.442757,3.141183,2.597094,2.320109", \
"7.133157,7.026181,6.857581,6.541848,5.979730,5.215701,4.332298");
}
}
}
}
/******************************************************************************************
Module : AON_INV_X4
Cell Description : Combinational cell (AON_INV_X4) with drive strength X4
*******************************************************************************************/
cell (AON_INV_X4) {
drive_strength : 4;
area : 1.862000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDBAK) {
voltage_name : VDDBAK;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 44484.962500;
leakage_power () {
when : "!A";
value : 32018.000000;
}
leakage_power () {
when : "A";
value : 56951.925000;
}
pin (A) {
direction : input;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
capacitance : 1.318405;
fall_capacitance : 1.242301;
rise_capacitance : 1.394510;
}
pin (Z) {
direction : output;
related_power_pin : "VDDBAK";
related_ground_pin : "VSS";
max_capacitance : 50.964400;
function : "!A";
power_down_function : "(!VDDBAK | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.002669,0.004143,0.006001,0.009673,0.016979,0.031568,0.060736", \
"0.003400,0.005230,0.007103,0.010754,0.018055,0.032643,0.061807", \
"0.003553,0.005877,0.008334,0.012291,0.019513,0.034054,0.063191", \
"0.003264,0.006218,0.009337,0.014485,0.022677,0.037032,0.066050", \
"0.001849,0.005638,0.009639,0.016198,0.026762,0.043413,0.072078", \
"-0.002037,0.002764,0.007905,0.016366,0.029880,0.051297,0.084875", \
"-0.011005,-0.005091,0.001421,0.012288,0.029755,0.057232,0.100364");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.003401,0.005490,0.008119,0.013293,0.023561,0.044034,0.084947", \
"0.004931,0.006972,0.009496,0.014610,0.024860,0.045338,0.086258", \
"0.006232,0.008888,0.011682,0.016624,0.026731,0.047114,0.087982", \
"0.008378,0.011587,0.015111,0.020991,0.030890,0.050974,0.091624", \
"0.011922,0.015924,0.020218,0.027517,0.039560,0.059429,0.099466", \
"0.017871,0.022978,0.028411,0.037408,0.052310,0.076689,0.116512", \
"0.028422,0.034777,0.041732,0.053224,0.071738,0.101886,0.150940");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001283,0.002496,0.004073,0.007224,0.013524,0.026141,0.051356", \
"0.002076,0.002920,0.004152,0.007224,0.013529,0.026138,0.051357", \
"0.003095,0.004164,0.005360,0.007615,0.013529,0.026134,0.051364", \
"0.004919,0.006205,0.007624,0.010130,0.014572,0.026140,0.051360", \
"0.008148,0.009819,0.011565,0.014521,0.019642,0.028508,0.051363", \
"0.014068,0.016235,0.018535,0.022248,0.028307,0.038657,0.056392", \
"0.025438,0.028005,0.030977,0.035887,0.043594,0.055855,0.076673");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.001998,0.003837,0.006222,0.010997,0.020547,0.039651,0.077867", \
"0.002648,0.003950,0.006223,0.010999,0.020550,0.039647,0.077863", \
"0.003639,0.005167,0.006797,0.010998,0.020550,0.039654,0.077870", \
"0.005157,0.006979,0.009068,0.012491,0.020638,0.039650,0.077880", \
"0.008058,0.010046,0.012439,0.016789,0.023863,0.039927,0.077876", \
"0.013573,0.015809,0.018468,0.023342,0.032193,0.046607,0.078500", \
"0.024496,0.026778,0.029785,0.035287,0.045153,0.062988,0.092063");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("0.072491,0.095351,0.106466,0.112181,0.119813,0.120591,0.122069", \
"0.088076,0.087496,0.094233,0.102264,0.110698,0.116433,0.118445", \
"0.265528,0.178653,0.143085,0.131369,0.128411,0.125347,0.123291", \
"0.809427,0.589435,0.388061,0.275235,0.218650,0.180208,0.154920", \
"2.039546,1.902353,1.645429,1.193966,0.707776,0.399803,0.285145", \
"4.399769,4.369634,4.178346,3.741051,2.832838,1.810909,1.105177", \
"9.038601,9.050408,8.995992,8.747342,7.902785,6.100739,4.022907");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.592640,3.185280,6.370550,12.741100,25.482200,50.964400");
values ("2.010662,2.064917,2.111503,2.136389,2.061375,1.925930,2.175980", \
"2.112966,2.084435,2.078849,2.123258,2.045064,1.905210,2.129800", \
"2.368769,2.354856,2.293046,2.256114,2.220806,2.158330,2.180410", \
"2.935416,2.915429,2.877737,2.703308,2.538830,2.370410,2.213440", \
"4.442987,4.231316,4.082318,3.886911,3.427580,3.023310,2.748150", \
"7.619315,7.366457,7.079439,6.541232,5.978470,5.207460,4.096510", \
"14.180840,13.796055,13.436940,12.824182,11.644150,10.123260,8.527660");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X1
Cell Description : Combinational cell (HEADER_OE_X1) with drive strength X1
*******************************************************************************************/
cell (HEADER_OE_X1) {
drive_strength : 1;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 17206305.393750;
leakage_power () {
when : "!SLEEP";
value : 34391500.000000;
}
leakage_power () {
when : "SLEEP";
value : 21110.787500;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.670321;
fall_capacitance : 0.624500;
rise_capacitance : 0.716141;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 12.168900;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.013297,0.015004,0.017795,0.022443,0.030465,0.044715,0.070257", \
"0.014694,0.016399,0.019190,0.023840,0.031865,0.046117,0.071664", \
"0.016778,0.018476,0.021260,0.025906,0.033927,0.048174,0.073712", \
"0.020294,0.022066,0.024921,0.029596,0.037609,0.051838,0.077356", \
"0.025532,0.027438,0.030472,0.035324,0.043440,0.057667,0.083147", \
"0.033821,0.035983,0.039366,0.044643,0.053079,0.067421,0.092918", \
"0.047025,0.049590,0.053577,0.059703,0.069152,0.084412,0.110415");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.012619,0.014629,0.018138,0.024361,0.035479,0.055394,0.091093", \
"0.013712,0.015712,0.019209,0.025427,0.036548,0.056477,0.092165", \
"0.015077,0.017052,0.020514,0.026698,0.037798,0.057707,0.093399", \
"0.016656,0.018648,0.022098,0.028236,0.039273,0.059145,0.094814", \
"0.018064,0.020174,0.023667,0.029759,0.040729,0.060509,0.096103", \
"0.018143,0.020568,0.024406,0.030661,0.041707,0.061471,0.096943", \
"0.014783,0.017574,0.022062,0.029061,0.040424,0.060588,0.096420");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.003874,0.004937,0.006851,0.010393,0.017020,0.029233,0.051288", \
"0.003881,0.004943,0.006855,0.010395,0.017021,0.029233,0.051289", \
"0.003938,0.004989,0.006886,0.010411,0.017024,0.029233,0.051292", \
"0.004389,0.005378,0.007163,0.010546,0.017064,0.029238,0.051289", \
"0.005168,0.006119,0.007833,0.011053,0.017342,0.029308,0.051288", \
"0.006621,0.007584,0.009253,0.012280,0.018128,0.029679,0.051425", \
"0.009263,0.010319,0.012072,0.015124,0.020726,0.031606,0.052397");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.004649,0.006305,0.009406,0.015124,0.025460,0.044037,0.077345", \
"0.004654,0.006306,0.009403,0.015118,0.025472,0.044045,0.077344", \
"0.004721,0.006350,0.009418,0.015122,0.025474,0.044037,0.077348", \
"0.005031,0.006565,0.009543,0.015167,0.025465,0.044045,0.077350", \
"0.005762,0.007155,0.009900,0.015335,0.025566,0.044056,0.077351", \
"0.007139,0.008575,0.011092,0.016122,0.026082,0.044334,0.077404", \
"0.009427,0.010990,0.013653,0.018287,0.027625,0.045802,0.078364");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("1.551099,1.602898,1.634467,1.643934,1.643812,1.660384,1.596910", \
"1.499122,1.558732,1.594152,1.600415,1.595147,1.621987,1.624045", \
"1.502876,1.557702,1.590602,1.602332,1.611934,1.621715,1.615859", \
"1.574369,1.612797,1.645915,1.653043,1.635929,1.611117,1.641866", \
"1.657390,1.705489,1.771932,1.812165,1.804961,1.803638,1.809388", \
"2.188557,2.234402,2.260881,2.247149,2.201466,2.178136,2.217266", \
"3.448491,3.455020,3.465406,3.505269,3.464374,3.320547,3.158184");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.655737,1.176070,2.109300,3.783050,6.784950,12.168900");
values ("0.478849,0.467210,0.476274,0.484844,0.498412,0.397640,0.504337", \
"0.434150,0.429909,0.422679,0.422908,0.418927,0.442737,0.436609", \
"0.425253,0.425442,0.423507,0.394031,0.397904,0.325804,0.347765", \
"0.460016,0.451926,0.448394,0.427401,0.438721,0.344749,0.432362", \
"0.692960,0.677025,0.647957,0.590171,0.577759,0.521557,0.433801", \
"1.013875,1.084239,1.127223,1.134387,1.098401,1.013605,1.000038", \
"1.336184,1.452951,1.660366,1.842238,2.066925,2.131633,2.006324");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X2
Cell Description : Combinational cell (HEADER_OE_X2) with drive strength X2
*******************************************************************************************/
cell (HEADER_OE_X2) {
drive_strength : 2;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 35330514.131250;
leakage_power () {
when : "!SLEEP";
value : 70631375.000000;
}
leakage_power () {
when : "SLEEP";
value : 29653.262500;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.856874;
fall_capacitance : 0.790559;
rise_capacitance : 0.923189;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.367700;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.014463,0.015944,0.018368,0.022617,0.030268,0.044883,0.073905", \
"0.015828,0.017305,0.019725,0.023973,0.031626,0.046244,0.075270", \
"0.017931,0.019396,0.021804,0.026044,0.033693,0.048310,0.077339", \
"0.021945,0.023433,0.025854,0.030095,0.037731,0.052320,0.081324", \
"0.027801,0.029419,0.032025,0.036504,0.044321,0.058914,0.087847", \
"0.036871,0.038681,0.041580,0.046469,0.054730,0.069535,0.098447", \
"0.051234,0.053333,0.056704,0.062356,0.071662,0.087542,0.116904");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.013092,0.014701,0.017450,0.022656,0.032874,0.053262,0.093998", \
"0.014189,0.015794,0.018537,0.023736,0.033951,0.054339,0.095081", \
"0.015736,0.017321,0.020034,0.025193,0.035377,0.055745,0.096482", \
"0.017886,0.019517,0.022251,0.027374,0.037461,0.057757,0.098450", \
"0.019919,0.021681,0.024560,0.029711,0.039699,0.059859,0.100444", \
"0.020949,0.022899,0.026128,0.031682,0.041759,0.061794,0.102171", \
"0.018831,0.021050,0.024702,0.031079,0.041879,0.062220,0.102801");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.003656,0.004431,0.005835,0.008619,0.014388,0.026575,0.051597", \
"0.003658,0.004433,0.005839,0.008622,0.014390,0.026576,0.051598", \
"0.003682,0.004459,0.005860,0.008637,0.014396,0.026577,0.051596", \
"0.004132,0.004838,0.006134,0.008800,0.014463,0.026590,0.051598", \
"0.005111,0.005800,0.007051,0.009566,0.014913,0.026699,0.051600", \
"0.006677,0.007386,0.008623,0.011025,0.016007,0.027221,0.051734", \
"0.009447,0.010255,0.011590,0.014039,0.018859,0.029268,0.052600");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.003779,0.004892,0.007016,0.011508,0.020890,0.039887,0.077939", \
"0.003777,0.004893,0.007018,0.011509,0.020892,0.039893,0.077949", \
"0.003816,0.004928,0.007042,0.011519,0.020897,0.039893,0.077926", \
"0.004234,0.005281,0.007284,0.011621,0.020909,0.039898,0.077941", \
"0.005030,0.006068,0.007919,0.011956,0.021036,0.039927,0.077932", \
"0.006480,0.007539,0.009434,0.013135,0.021618,0.040168,0.077991", \
"0.009110,0.010122,0.012075,0.015869,0.023589,0.041542,0.078778");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("2.121126,2.144169,2.248751,2.300850,2.357039,2.363541,2.275647", \
"2.019604,2.069824,2.155213,2.235106,2.255085,2.258049,2.202799", \
"1.981261,2.031749,2.119623,2.182595,2.189629,2.206115,2.213140", \
"1.960762,2.008157,2.055228,2.125091,2.110931,2.119641,2.108358", \
"1.916998,1.935328,1.954531,2.066627,2.066940,2.072615,2.070106", \
"2.372586,2.328251,2.266534,2.202471,2.090508,2.005215,1.942024", \
"3.650159,3.595222,3.458479,3.254291,2.957379,2.463437,2.144804");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.792741,1.585480,3.170960,6.341930,12.683900,25.367700");
values ("0.846947,0.858482,0.848313,0.855054,0.837543,0.746705,0.623579", \
"0.750709,0.779745,0.787923,0.789004,0.786622,0.794749,0.544876", \
"0.724163,0.709786,0.709383,0.725709,0.669732,0.578788,0.611657", \
"0.692889,0.683587,0.675729,0.623867,0.605651,0.510591,0.612447", \
"0.772065,0.753099,0.718283,0.637696,0.534905,0.554936,0.536196", \
"0.843543,0.874113,0.865690,0.851958,0.738688,0.488150,0.371347", \
"0.664508,0.687719,0.767941,0.843490,1.042600,0.973286,0.638437");
}
}
}
}
/******************************************************************************************
Module : HEADER_OE_X4
Cell Description : Combinational cell (HEADER_OE_X4) with drive strength X4
*******************************************************************************************/
cell (HEADER_OE_X4) {
drive_strength : 4;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 71345870.993750;
leakage_power () {
when : "!SLEEP";
value : 142645000.000000;
}
leakage_power () {
when : "SLEEP";
value : 46741.987500;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.207359;
fall_capacitance : 1.093650;
rise_capacitance : 1.321068;
}
pin (SLEEPOUT) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.803600;
function : "SLEEP";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "SLEEP";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.017862,0.020356,0.023072,0.027752,0.035898,0.050856,0.080078", \
"0.019199,0.021689,0.024402,0.029080,0.037226,0.052185,0.081409", \
"0.021227,0.023711,0.026417,0.031089,0.039232,0.054190,0.083415", \
"0.025627,0.028061,0.030728,0.035361,0.043476,0.058412,0.087618", \
"0.032718,0.035329,0.038136,0.042913,0.051114,0.066006,0.095119", \
"0.043157,0.046010,0.049088,0.054254,0.062919,0.078084,0.107114", \
"0.059602,0.062792,0.066272,0.072111,0.081705,0.097810,0.127154");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.015460,0.018085,0.021063,0.026484,0.036825,0.057344,0.098394", \
"0.016549,0.019173,0.022148,0.027566,0.037904,0.058422,0.099474", \
"0.018105,0.020720,0.023682,0.029077,0.039392,0.059899,0.100945", \
"0.021045,0.023651,0.026590,0.031921,0.042137,0.062551,0.103545", \
"0.024233,0.026975,0.030047,0.035448,0.045558,0.065776,0.106615", \
"0.026893,0.029869,0.033199,0.038987,0.049180,0.069157,0.109707", \
"0.026980,0.030319,0.034027,0.040514,0.051540,0.071710,0.112137");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.004398,0.005519,0.006919,0.009685,0.015289,0.027161,0.052100", \
"0.004398,0.005520,0.006921,0.009687,0.015290,0.027161,0.052100", \
"0.004400,0.005525,0.006928,0.009694,0.015293,0.027162,0.052101", \
"0.004560,0.005658,0.007040,0.009777,0.015342,0.027177,0.052102", \
"0.005881,0.006874,0.008103,0.010583,0.015785,0.027304,0.052113", \
"0.007727,0.008754,0.009968,0.012328,0.017199,0.028040,0.052263", \
"0.010863,0.011944,0.013233,0.015598,0.020231,0.030193,0.053132");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("0.003701,0.005374,0.007480,0.011817,0.021039,0.040117,0.078491", \
"0.003700,0.005374,0.007481,0.011817,0.021039,0.040115,0.078491", \
"0.003702,0.005379,0.007488,0.011825,0.021045,0.040113,0.078471", \
"0.004070,0.005642,0.007672,0.011913,0.021063,0.040120,0.078476", \
"0.005104,0.006549,0.008461,0.012403,0.021239,0.040130,0.078470", \
"0.006943,0.008243,0.010109,0.013780,0.021900,0.040344,0.078524", \
"0.010211,0.011378,0.013125,0.016832,0.024260,0.041639,0.079117");
}
}
internal_power () {
related_pin : "SLEEP";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("3.500763,3.461496,3.430251,3.568760,3.616362,3.616504,3.562563", \
"3.334670,3.283205,3.281999,3.395849,3.404858,3.415651,3.455437", \
"3.168217,3.110064,3.160604,3.225552,3.244529,3.244703,3.102253", \
"2.988933,2.910479,2.859194,2.962887,2.995062,2.960578,2.833353", \
"2.794736,2.725890,2.668693,2.604259,2.517207,2.497673,2.440846", \
"2.946908,2.697359,2.467740,2.098975,1.773029,1.692557,1.568113", \
"4.104341,3.822570,3.403569,2.609176,1.744079,0.686369,-0.032742");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.618860,3.237720,6.475450,12.950900,25.901800,51.803600");
values ("1.491867,1.514571,1.511125,1.518643,1.442426,1.258574,1.430107", \
"1.321882,1.328482,1.321889,1.298759,1.331335,1.322568,1.352901", \
"1.169622,1.161342,1.187799,1.160289,1.107651,1.092568,1.071893", \
"1.045338,1.052573,1.004158,0.960819,0.816067,0.640788,0.831509", \
"1.022189,0.893634,0.755857,0.633384,0.464150,0.170895,0.218067", \
"0.847011,0.605400,0.491404,0.243871,-0.104003,-0.433760,-0.539100", \
"0.018002,-0.325351,-0.527206,-0.636657,-0.816116,-1.396680,-1.683280");
}
}
}
}
/******************************************************************************************
Module : HEADER_X1
Cell Description : Physical cell (HEADER_X1)
*******************************************************************************************/
cell (HEADER_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 17183341.187563;
leakage_power () {
when : "!SLEEP";
value : 34366625.000000;
}
leakage_power () {
when : "SLEEP";
value : 57.375125;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.300640;
fall_capacitance : 0.274034;
rise_capacitance : 0.327247;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.127728,0.089780,0.039168,-0.062502,-0.265888,-0.672995,-1.487340");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.192171,0.151422,0.100611,-0.000739,-0.203208,-0.609248,-1.421150");
}
}
}
}
/******************************************************************************************
Module : HEADER_X2
Cell Description : Physical cell (HEADER_X2)
*******************************************************************************************/
cell (HEADER_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 35300934.603948;
leakage_power () {
when : "!SLEEP";
value : 70601750.000000;
}
leakage_power () {
when : "SLEEP";
value : 119.207895;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.474031;
fall_capacitance : 0.426782;
rise_capacitance : 0.521281;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.266230,0.187219,0.083920,-0.122859,-0.536711,-1.364220,-3.020050");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.393577,0.311161,0.207873,0.001805,-0.409624,-1.235400,-2.886210");
}
}
}
}
/******************************************************************************************
Module : HEADER_X4
Cell Description : Physical cell (HEADER_X4)
*******************************************************************************************/
cell (HEADER_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
area : 0.532000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
pg_pin(VVDD) {
voltage_name : VVDD;
pg_type : primary_power;
}
cell_leakage_power : 71201371.419425;
leakage_power () {
when : "!SLEEP";
value : 142402500.000000;
}
leakage_power () {
when : "SLEEP";
value : 242.838850;
}
pin (SLEEP) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.805618;
fall_capacitance : 0.716537;
rise_capacitance : 0.894699;
internal_power () {
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.539819,0.378179,0.171308,-0.242397,-1.068570,-2.723760,-6.034690");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.795948,0.631587,0.424935,0.012489,-0.810653,-2.461120,-5.760530");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X1
Cell Description : Combinational cell (ISO_FENCE0N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 44063.569784;
leakage_power () {
when : "!A & !EN";
value : 27250.250000;
}
leakage_power () {
when : "!A & EN";
value : 55900.125000;
}
leakage_power () {
when : "A & !EN";
value : 28324.779138;
}
leakage_power () {
when : "A & EN";
value : 64779.125000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.543412;
fall_capacitance : 0.522204;
rise_capacitance : 0.564620;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.479709,0.482199,0.482653,0.483015,0.483646,0.482964,0.482553");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.265237,-0.267468,-0.267699,-0.267645,-0.266803,-0.266057,-0.266037");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.645668;
fall_capacitance : 0.623395;
rise_capacitance : 0.667941;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.501317,0.472322,0.467233,0.463419,0.460530,0.457520,0.455847");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.404364,-0.405606,-0.406427,-0.407075,-0.407387,-0.407259,-0.407025");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.959000;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.012367,0.013639,0.015828,0.019604,0.026361,0.039050,0.063472", \
"0.013682,0.014950,0.017137,0.020915,0.027674,0.040368,0.064794", \
"0.015702,0.016961,0.019140,0.022915,0.029672,0.042363,0.066791", \
"0.019102,0.020435,0.022706,0.026556,0.033332,0.046001,0.070412", \
"0.024132,0.025597,0.028060,0.032147,0.039117,0.051856,0.076211", \
"0.031876,0.033565,0.036380,0.040958,0.048452,0.061471,0.085889", \
"0.043727,0.045734,0.049096,0.054514,0.063148,0.077380,0.102661");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.013496,0.015183,0.018229,0.023811,0.034349,0.054596,0.093642", \
"0.014436,0.016118,0.019154,0.024729,0.035264,0.055510,0.094568", \
"0.015806,0.017469,0.020475,0.026013,0.036513,0.056745,0.095795", \
"0.017502,0.019182,0.022209,0.027757,0.038201,0.058374,0.097391", \
"0.019314,0.021062,0.024132,0.029668,0.040096,0.060227,0.099148", \
"0.020353,0.022304,0.025668,0.031443,0.042021,0.062182,0.101049", \
"0.018773,0.020987,0.024833,0.031334,0.042390,0.063096,0.102476");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.003154,0.003874,0.005238,0.007887,0.013216,0.023955,0.045038", \
"0.003162,0.003882,0.005242,0.007890,0.013216,0.023955,0.045040", \
"0.003225,0.003936,0.005283,0.007915,0.013225,0.023957,0.045039", \
"0.003747,0.004407,0.005665,0.008147,0.013309,0.023968,0.045039", \
"0.004604,0.005253,0.006463,0.008822,0.013743,0.024119,0.045044", \
"0.006144,0.006822,0.008044,0.010318,0.014883,0.024700,0.045258", \
"0.008789,0.009578,0.010921,0.013299,0.017788,0.027064,0.046635");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.004276,0.005506,0.007909,0.012718,0.022342,0.041187,0.077666", \
"0.004275,0.005509,0.007910,0.012720,0.022342,0.041189,0.077665", \
"0.004328,0.005552,0.007940,0.012735,0.022342,0.041187,0.077643", \
"0.004578,0.005786,0.008162,0.012869,0.022373,0.041184,0.077643", \
"0.005164,0.006297,0.008528,0.013131,0.022599,0.041272,0.077661", \
"0.006396,0.007558,0.009705,0.014039,0.023242,0.041683,0.077790", \
"0.008622,0.009800,0.012065,0.016240,0.024964,0.043414,0.078943");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.014050,0.015353,0.017590,0.021424,0.028233,0.040953,0.065395", \
"0.015377,0.016678,0.018912,0.022747,0.029558,0.042280,0.066724", \
"0.017474,0.018764,0.020992,0.024822,0.031631,0.044353,0.068791", \
"0.021449,0.022780,0.025045,0.028897,0.035702,0.048402,0.072830", \
"0.027475,0.028931,0.031369,0.035428,0.042385,0.055118,0.079499", \
"0.037251,0.038884,0.041596,0.046001,0.053265,0.066115,0.090518", \
"0.053546,0.055463,0.058624,0.063676,0.071720,0.085169,0.109761");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.014503,0.016191,0.019238,0.024821,0.035357,0.055602,0.094659", \
"0.015235,0.016920,0.019963,0.025542,0.036081,0.056331,0.095380", \
"0.016002,0.017676,0.020701,0.026261,0.036785,0.057027,0.096082", \
"0.017067,0.018761,0.021802,0.027366,0.037853,0.058063,0.097100", \
"0.018042,0.019810,0.022931,0.028532,0.039010,0.059174,0.098158", \
"0.017475,0.019419,0.022800,0.028688,0.039455,0.059779,0.098695", \
"0.012468,0.014651,0.018470,0.024966,0.036311,0.057476,0.097293");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.003373,0.004077,0.005415,0.008031,0.013311,0.024007,0.045071", \
"0.003377,0.004079,0.005418,0.008032,0.013312,0.024007,0.045073", \
"0.003405,0.004105,0.005440,0.008045,0.013316,0.024008,0.045072", \
"0.003816,0.004455,0.005699,0.008195,0.013375,0.024019,0.045072", \
"0.004630,0.005246,0.006436,0.008797,0.013739,0.024134,0.045083", \
"0.005944,0.006551,0.007686,0.009903,0.014494,0.024494,0.045238", \
"0.008233,0.008902,0.010057,0.012200,0.016479,0.025732,0.045763");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("0.004276,0.005507,0.007909,0.012715,0.022343,0.041195,0.077663", \
"0.004275,0.005505,0.007909,0.012717,0.022344,0.041187,0.077652", \
"0.004305,0.005531,0.007925,0.012729,0.022340,0.041186,0.077631", \
"0.004497,0.005710,0.008082,0.012814,0.022358,0.041191,0.077651", \
"0.004994,0.006187,0.008477,0.013093,0.022523,0.041243,0.077648", \
"0.006011,0.007231,0.009506,0.014027,0.023309,0.041668,0.077729", \
"0.007774,0.009036,0.011411,0.015851,0.025028,0.043695,0.079105");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("2.154389,2.236224,2.314977,2.363736,2.383460,2.390920,2.392894", \
"2.128604,2.213959,2.292996,2.345388,2.364979,2.371684,2.374552", \
"2.210376,2.276414,2.354993,2.405662,2.426290,2.432750,2.438137", \
"2.413784,2.496087,2.579934,2.627640,2.641527,2.644644,2.647270", \
"2.855710,2.921031,3.045158,3.149776,3.191507,3.185882,3.182743", \
"3.972658,4.038793,4.159301,4.297216,4.394110,4.461083,4.445041", \
"6.190803,6.256343,6.384829,6.567849,6.838458,7.073201,7.164766");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.071614,1.080464,1.090545,1.100510,1.087466,1.071476,0.979585", \
"1.058099,1.067838,1.080107,1.065785,1.112095,1.100067,1.011160", \
"1.133244,1.131246,1.143948,1.121571,1.134561,1.138004,1.130099", \
"1.340528,1.341415,1.334837,1.331899,1.288695,1.180738,1.150278", \
"1.948775,1.945180,1.919531,1.889778,1.859621,1.797674,1.667901", \
"3.133529,3.157621,3.241020,3.278944,3.174252,3.126862,3.062551", \
"5.137063,5.214948,5.394736,5.629022,5.925381,6.013816,5.812552");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("2.538973,2.615170,2.712951,2.775435,2.806473,2.821985,2.831780", \
"2.525476,2.599105,2.690946,2.761632,2.791729,2.807368,2.819836", \
"2.586103,2.678907,2.760717,2.826358,2.858105,2.873535,2.886286", \
"2.841874,2.910040,3.002125,3.056280,3.082421,3.095278,3.102156", \
"3.311097,3.376527,3.499517,3.614334,3.644322,3.646787,3.650697", \
"4.497499,4.576173,4.673755,4.787878,4.849104,4.901570,4.897896", \
"6.978658,7.036715,7.121532,7.284788,7.410826,7.479884,7.528479");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706031,1.363400,2.632810,5.084150,9.817860,18.959000");
values ("1.075050,1.096739,1.110530,1.103729,1.117021,1.071893,0.958558", \
"1.040383,1.060388,1.071211,1.055156,1.072063,0.969412,0.951735", \
"1.064327,1.077308,1.075192,1.088398,1.077593,1.042938,1.065848", \
"1.196994,1.205511,1.186291,1.183938,1.190427,1.180913,1.034914", \
"1.691761,1.705988,1.692963,1.646834,1.629666,1.563797,1.510670", \
"2.605256,2.718919,2.794175,2.875913,2.862408,2.817265,2.650770", \
"4.200190,4.341218,4.547846,4.867290,5.296329,5.446917,5.197940");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X2
Cell Description : Combinational cell (ISO_FENCE0N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 65803.007838;
leakage_power () {
when : "!A & !EN";
value : 51515.625000;
}
leakage_power () {
when : "!A & EN";
value : 80176.625000;
}
leakage_power () {
when : "A & !EN";
value : 52599.281350;
}
leakage_power () {
when : "A & EN";
value : 78920.500000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.575965;
fall_capacitance : 0.553896;
rise_capacitance : 0.598034;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.480066,0.482390,0.482810,0.483179,0.483745,0.483322,0.482673");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.264795,-0.266800,-0.267463,-0.267432,-0.266632,-0.265843,-0.265766");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.661522;
fall_capacitance : 0.641282;
rise_capacitance : 0.681761;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.501517,0.472738,0.467316,0.463240,0.460556,0.457760,0.456114");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.404601,-0.405497,-0.406078,-0.406765,-0.407166,-0.406994,-0.406726");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.520300;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.013491,0.015392,0.017686,0.021651,0.028697,0.042014,0.068370", \
"0.014786,0.016680,0.018969,0.022934,0.029981,0.043301,0.069656", \
"0.016820,0.018694,0.020971,0.024927,0.031972,0.045290,0.071648", \
"0.020682,0.022607,0.024914,0.028881,0.035919,0.049213,0.075546", \
"0.026289,0.028400,0.030902,0.035127,0.042381,0.055719,0.081978", \
"0.034881,0.037260,0.040077,0.044755,0.052526,0.066164,0.092442", \
"0.048062,0.050808,0.054100,0.059564,0.068463,0.083311,0.110280");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.014040,0.016379,0.019351,0.024804,0.035181,0.055671,0.096587", \
"0.014976,0.017313,0.020281,0.025727,0.036101,0.056589,0.097510", \
"0.016431,0.018747,0.021689,0.027102,0.037445,0.057915,0.098824", \
"0.018667,0.020989,0.023943,0.029334,0.039601,0.059995,0.100860", \
"0.021103,0.023501,0.026496,0.031889,0.042123,0.062432,0.103177", \
"0.023083,0.025693,0.028945,0.034611,0.044942,0.065187,0.105809", \
"0.022731,0.025676,0.029314,0.035681,0.046630,0.067325,0.108282");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003149,0.004083,0.005367,0.007898,0.013102,0.024100,0.046790", \
"0.003151,0.004086,0.005370,0.007900,0.013103,0.024100,0.046789", \
"0.003174,0.004112,0.005393,0.007916,0.013110,0.024101,0.046790", \
"0.003711,0.004552,0.005718,0.008109,0.013195,0.024120,0.046792", \
"0.004731,0.005569,0.006695,0.008949,0.013728,0.024280,0.046799", \
"0.006400,0.007285,0.008415,0.010576,0.015005,0.024945,0.046994", \
"0.009278,0.010281,0.011537,0.013783,0.018101,0.027354,0.048201");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003561,0.005160,0.007342,0.011757,0.020979,0.039974,0.078160", \
"0.003562,0.005161,0.007344,0.011758,0.020979,0.039968,0.078161", \
"0.003573,0.005176,0.007359,0.011770,0.020983,0.039970,0.078183", \
"0.003876,0.005437,0.007582,0.011901,0.021019,0.039967,0.078176", \
"0.004586,0.006019,0.008038,0.012231,0.021261,0.040044,0.078170", \
"0.006064,0.007406,0.009361,0.013272,0.021891,0.040410,0.078300", \
"0.008761,0.010011,0.011930,0.015797,0.023837,0.042028,0.079283");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.015125,0.017067,0.019399,0.023420,0.030525,0.043880,0.070253", \
"0.016442,0.018380,0.020708,0.024728,0.031834,0.045191,0.071563", \
"0.018514,0.020439,0.022759,0.026772,0.033875,0.047232,0.073605", \
"0.022782,0.024712,0.027021,0.031018,0.038104,0.051438,0.077796", \
"0.029313,0.031440,0.033940,0.038151,0.045397,0.058739,0.085028", \
"0.039720,0.042089,0.044856,0.049423,0.057036,0.070565,0.096846", \
"0.057019,0.059728,0.062906,0.068098,0.076510,0.090686,0.117081");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.015049,0.017388,0.020360,0.025813,0.036191,0.056681,0.097596", \
"0.015802,0.018141,0.021110,0.026561,0.036937,0.057426,0.098344", \
"0.016633,0.018960,0.021915,0.027345,0.037706,0.058190,0.099102", \
"0.017942,0.020287,0.023257,0.028685,0.039006,0.059449,0.100348", \
"0.019430,0.021837,0.024867,0.030331,0.040637,0.061015,0.101832", \
"0.019743,0.022331,0.025574,0.031315,0.041863,0.062317,0.103031", \
"0.015970,0.018859,0.022447,0.028764,0.039906,0.061119,0.102572");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003411,0.004311,0.005567,0.008065,0.013222,0.024165,0.046825", \
"0.003411,0.004315,0.005570,0.008068,0.013223,0.024165,0.046827", \
"0.003419,0.004326,0.005583,0.008077,0.013228,0.024167,0.046825", \
"0.003793,0.004603,0.005778,0.008201,0.013286,0.024181,0.046826", \
"0.004809,0.005609,0.006702,0.008942,0.013724,0.024305,0.046837", \
"0.006276,0.007105,0.008164,0.010271,0.014725,0.024810,0.046991", \
"0.008775,0.009691,0.010797,0.012830,0.016970,0.026213,0.047528");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("0.003562,0.005161,0.007342,0.011757,0.020980,0.039975,0.078161", \
"0.003563,0.005161,0.007343,0.011760,0.020984,0.039968,0.078162", \
"0.003570,0.005169,0.007352,0.011763,0.020984,0.039966,0.078178", \
"0.003746,0.005334,0.007499,0.011853,0.021008,0.039968,0.078161", \
"0.004236,0.005782,0.007897,0.012155,0.021182,0.040021,0.078160", \
"0.005388,0.006863,0.008958,0.013117,0.021922,0.040393,0.078249", \
"0.007472,0.008874,0.010964,0.015094,0.023716,0.042290,0.079432");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("2.738603,2.841661,2.981257,3.094807,3.147869,3.161103,3.168440", \
"2.727452,2.831035,2.957472,3.068808,3.126769,3.142310,3.146635", \
"2.781726,2.901246,3.000381,3.114186,3.172705,3.187688,3.193675", \
"3.113022,3.173595,3.257902,3.348806,3.382343,3.385831,3.388412", \
"3.685302,3.739613,3.797705,3.903677,3.934709,3.911190,3.897720", \
"5.097903,5.111743,5.109046,5.147580,5.184952,5.182617,5.126915", \
"7.824665,7.842860,7.851839,7.790339,7.863456,7.913980,7.884813");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.755064,1.804081,1.823276,1.787800,1.783911,1.853350,1.731234", \
"1.742715,1.777181,1.802975,1.826173,1.763197,1.698360,1.815796", \
"1.827117,1.863055,1.876375,1.865151,1.833182,1.721342,1.836973", \
"2.101038,2.112125,2.126744,2.045814,2.012929,2.024674,1.997359", \
"2.837535,2.790308,2.780090,2.648977,2.614980,2.372930,2.358050", \
"4.322189,4.274119,4.245290,4.180102,4.049694,3.786153,3.771370", \
"7.095559,6.992529,6.927153,6.966336,7.123866,6.838492,6.655340");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("3.147139,3.242597,3.378122,3.499486,3.566079,3.585723,3.599311", \
"3.122649,3.244656,3.359912,3.481092,3.550272,3.571286,3.586070", \
"3.200787,3.307630,3.420500,3.540568,3.604866,3.629905,3.641733", \
"3.515084,3.604369,3.694709,3.783911,3.827012,3.838442,3.844918", \
"4.171828,4.203789,4.275417,4.385455,4.404168,4.389466,4.379316", \
"5.606876,5.615390,5.617921,5.651743,5.680990,5.667976,5.620955", \
"8.548962,8.559052,8.529980,8.459203,8.430590,8.365690,8.313253");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.235010,2.470020,4.940040,9.880080,19.760200,39.520300");
values ("1.761073,1.803841,1.828587,1.793437,1.784078,1.836093,1.828245", \
"1.731562,1.758049,1.798330,1.761768,1.748337,1.818174,1.778888", \
"1.757983,1.793031,1.817290,1.786963,1.811975,1.820900,1.713627", \
"1.917151,1.943885,1.944001,1.924595,1.844108,1.839208,1.791219", \
"2.477593,2.469244,2.460778,2.365009,2.355600,2.284501,2.216337", \
"3.655154,3.641383,3.715879,3.701329,3.618285,3.452342,3.361747", \
"5.790294,5.705396,5.808651,5.943244,6.218884,6.293398,6.082874");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0N_X4
Cell Description : Combinational cell (ISO_FENCE0N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 130270.115063;
leakage_power () {
when : "!A & !EN";
value : 102787.500000;
}
leakage_power () {
when : "!A & EN";
value : 158429.375000;
}
leakage_power () {
when : "A & !EN";
value : 104892.835250;
}
leakage_power () {
when : "A & EN";
value : 154970.750000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.972140;
fall_capacitance : 0.928082;
rise_capacitance : 1.016198;
internal_power () {
when : "!EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.938102,0.939484,0.940035,0.940620,0.941286,0.940679,0.939363");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.525054,-0.527121,-0.527831,-0.528077,-0.528210,-0.526588,-0.526246");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.107552;
fall_capacitance : 1.065277;
rise_capacitance : 1.149827;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.983312,0.921713,0.909994,0.901877,0.896068,0.891599,0.887438");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.788843,-0.789748,-0.790870,-0.791807,-0.792799,-0.792480,-0.791787");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.012675,0.014954,0.017191,0.021085,0.028068,0.041362,0.067726", \
"0.013981,0.016251,0.018484,0.022377,0.029361,0.042657,0.069022", \
"0.016025,0.018267,0.020487,0.024371,0.031352,0.044645,0.071012", \
"0.019772,0.022091,0.024351,0.028254,0.035228,0.048494,0.074834", \
"0.025201,0.027738,0.030182,0.034330,0.041505,0.054808,0.081069", \
"0.033521,0.036384,0.039135,0.043736,0.051415,0.064993,0.091269", \
"0.046252,0.049557,0.052781,0.058160,0.066956,0.081719,0.108695");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.013261,0.016092,0.019037,0.024468,0.034840,0.055335,0.096253", \
"0.014200,0.017027,0.019966,0.025390,0.035759,0.056255,0.097175", \
"0.015662,0.018460,0.021372,0.026759,0.037094,0.057570,0.098483", \
"0.017815,0.020615,0.023538,0.028909,0.039170,0.059570,0.100440", \
"0.020183,0.023072,0.026024,0.031383,0.041610,0.061935,0.102685", \
"0.022098,0.025240,0.028441,0.034053,0.044373,0.064644,0.105289", \
"0.021757,0.025301,0.028881,0.035167,0.046058,0.066794,0.107804");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002920,0.004040,0.005316,0.007851,0.013097,0.024160,0.046887", \
"0.002921,0.004044,0.005320,0.007853,0.013097,0.024160,0.046887", \
"0.002950,0.004076,0.005347,0.007872,0.013105,0.024162,0.046888", \
"0.003544,0.004546,0.005701,0.008080,0.013190,0.024178,0.046888", \
"0.004561,0.005554,0.006665,0.008903,0.013710,0.024335,0.046896", \
"0.006262,0.007305,0.008408,0.010542,0.014972,0.024981,0.047095", \
"0.009179,0.010352,0.011576,0.013787,0.018084,0.027397,0.048343");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003280,0.005216,0.007401,0.011840,0.021102,0.040105,0.078312", \
"0.003281,0.005217,0.007403,0.011841,0.021102,0.040103,0.078313", \
"0.003297,0.005235,0.007421,0.011855,0.021105,0.040108,0.078329", \
"0.003622,0.005498,0.007652,0.011991,0.021136,0.040113,0.078313", \
"0.004374,0.006075,0.008092,0.012311,0.021386,0.040187,0.078312", \
"0.005909,0.007481,0.009414,0.013340,0.022022,0.040570,0.078459", \
"0.008690,0.010133,0.012018,0.015855,0.023951,0.042242,0.079482");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.014298,0.016626,0.018901,0.022848,0.029886,0.043214,0.069594", \
"0.015619,0.017941,0.020214,0.024160,0.031199,0.044528,0.070912", \
"0.017701,0.020008,0.022270,0.026209,0.033245,0.046574,0.072956", \
"0.021905,0.024235,0.026492,0.030418,0.037436,0.050740,0.077100", \
"0.028272,0.030829,0.033266,0.037398,0.044566,0.057872,0.084165", \
"0.038484,0.041336,0.044028,0.048504,0.056008,0.069469,0.095745", \
"0.055459,0.058721,0.061826,0.066919,0.075207,0.089278,0.115655");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.014275,0.017105,0.020051,0.025482,0.035856,0.056348,0.097264", \
"0.015008,0.017837,0.020779,0.026208,0.036579,0.057076,0.097995", \
"0.015822,0.018633,0.021559,0.026967,0.037323,0.057812,0.098727", \
"0.017065,0.019900,0.022841,0.028248,0.038563,0.059013,0.099913", \
"0.018457,0.021366,0.024364,0.029805,0.040106,0.060492,0.101313", \
"0.018622,0.021749,0.024957,0.030665,0.041218,0.061703,0.102435", \
"0.014702,0.018191,0.021737,0.028011,0.039134,0.060413,0.101933");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003181,0.004262,0.005511,0.008012,0.013209,0.024221,0.046920", \
"0.003181,0.004265,0.005513,0.008015,0.013209,0.024221,0.046921", \
"0.003194,0.004281,0.005528,0.008025,0.013215,0.024222,0.046921", \
"0.003632,0.004590,0.005748,0.008158,0.013274,0.024236,0.046922", \
"0.004634,0.005579,0.006657,0.008886,0.013703,0.024355,0.046933", \
"0.006103,0.007079,0.008112,0.010195,0.014656,0.024827,0.047088", \
"0.008641,0.009706,0.010773,0.012766,0.016883,0.026198,0.047623");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.003281,0.005216,0.007401,0.011840,0.021097,0.040110,0.078314", \
"0.003281,0.005216,0.007402,0.011842,0.021097,0.040104,0.078319", \
"0.003292,0.005228,0.007412,0.011849,0.021099,0.040107,0.078315", \
"0.003480,0.005401,0.007569,0.011943,0.021123,0.040109,0.078317", \
"0.004005,0.005855,0.007967,0.012244,0.021305,0.040157,0.078317", \
"0.005208,0.006955,0.009038,0.013220,0.022074,0.040554,0.078404", \
"0.007354,0.009003,0.011068,0.015197,0.023886,0.042535,0.079650");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.142277,5.384488,5.594870,5.776141,5.869776,5.898099,5.906487", \
"5.086630,5.334078,5.553920,5.735360,5.832583,5.857974,5.872440", \
"5.251182,5.486694,5.651592,5.838522,5.920200,5.956002,5.972171", \
"5.903632,6.042362,6.159128,6.283222,6.339802,6.345524,6.348075", \
"7.089021,7.154701,7.229838,7.392443,7.418476,7.377005,7.349492", \
"9.828172,9.865608,9.800284,9.840034,9.873778,9.865546,9.758901", \
"15.267870,15.246090,15.161420,15.030180,15.073320,15.170790,15.127880");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.455459,3.559450,3.590810,3.593380,3.700392,3.515268,3.312978", \
"3.438774,3.540105,3.557348,3.571496,3.668764,3.447025,3.552863", \
"3.614499,3.676466,3.688464,3.735231,3.679180,3.755893,2.964178", \
"4.188944,4.214604,4.207248,4.093836,3.966516,4.055887,3.441208", \
"5.621252,5.565813,5.475003,5.316059,5.155787,4.894213,4.683778", \
"8.542019,8.459860,8.449498,8.326307,8.006558,7.670842,7.206338", \
"14.068060,13.757150,13.679470,13.759540,14.000270,13.675350,13.189780");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.907497,6.158415,6.376152,6.566795,6.671274,6.716919,6.740231", \
"5.888330,6.153926,6.330187,6.540869,6.642264,6.686275,6.714287", \
"6.044217,6.242702,6.457393,6.655789,6.756440,6.798313,6.828201", \
"6.710533,6.861912,6.983899,7.123193,7.191640,7.213320,7.229781", \
"7.972002,8.070670,8.163495,8.302890,8.329105,8.292568,8.275689", \
"10.848030,10.832950,10.797440,10.813760,10.809300,10.787530,10.695160", \
"16.645280,16.583970,16.443870,16.297350,16.176870,16.024000,15.931580");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.425918,3.568941,3.577266,3.604321,3.679951,3.741330,3.099808", \
"3.403933,3.515063,3.560488,3.501756,3.603340,3.335438,3.166293", \
"3.464334,3.518952,3.592366,3.584575,3.532091,3.403032,3.496638", \
"3.744923,3.869662,3.865601,3.801297,3.798029,3.843744,3.348712", \
"4.945119,4.956758,4.904160,4.748141,4.671462,4.628206,4.256446", \
"7.284241,7.293528,7.338149,7.308152,7.141432,6.795413,6.396217", \
"11.431170,11.373760,11.480650,11.765560,12.089280,12.365650,11.437250");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X1
Cell Description : Combinational cell (ISO_FENCE0_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE0_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 24674.441094;
leakage_power () {
when : "!A & !EN";
value : 27315.250000;
}
leakage_power () {
when : "!A & EN";
value : 17341.700000;
}
leakage_power () {
when : "A & !EN";
value : 25190.037500;
}
leakage_power () {
when : "A & EN";
value : 28850.776875;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.606152;
fall_capacitance : 0.579727;
rise_capacitance : 0.632577;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.131930,0.131882,0.131901,0.131898,0.131918,0.131978,0.132102");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.005115,-0.005130,-0.005148,-0.005184,-0.005256,-0.005401,-0.005691");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.645292;
fall_capacitance : 0.621143;
rise_capacitance : 0.669440;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.515020,0.515448,0.515299,0.514856,0.513959,0.513206,0.513840");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.011562,-0.011600,-0.011660,-0.011782,-0.012040,-0.012545,-0.013568");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 10.299700;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003955,0.004709,0.006004,0.008240,0.012120,0.018866,0.030616", \
"0.005062,0.005875,0.007152,0.009379,0.013255,0.020005,0.031760", \
"0.005559,0.006652,0.008347,0.010891,0.014740,0.021446,0.033172", \
"0.005428,0.006869,0.009101,0.012465,0.017419,0.024522,0.036127", \
"0.003682,0.005588,0.008549,0.013002,0.019520,0.028931,0.042344", \
"-0.001693,0.0008010,0.004685,0.010570,0.019211,0.031641,0.049304", \
"-0.014709,-0.011527,-0.006516,0.001146,0.012531,0.029017,0.052417");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.008755,0.010654,0.013913,0.019527,0.029235,0.046099,0.075440", \
"0.009752,0.011577,0.014772,0.020357,0.030071,0.046966,0.076355", \
"0.011865,0.013583,0.016646,0.022073,0.031640,0.048421,0.077734", \
"0.015259,0.017411,0.020865,0.026209,0.035470,0.051920,0.080953", \
"0.020956,0.023448,0.027515,0.034018,0.044094,0.060035,0.088403", \
"0.031006,0.033986,0.038770,0.046366,0.058367,0.076953,0.105228", \
"0.048820,0.052538,0.058437,0.067629,0.081786,0.103717,0.137654");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.002239,0.002867,0.003963,0.005877,0.009215,0.015035,0.025191", \
"0.002677,0.003119,0.004025,0.005877,0.009214,0.015036,0.025191", \
"0.003893,0.004403,0.005216,0.006513,0.009306,0.015036,0.025188", \
"0.005951,0.006570,0.007543,0.009092,0.011475,0.015746,0.025191", \
"0.009586,0.010382,0.011612,0.013494,0.016373,0.020805,0.027786", \
"0.016010,0.017072,0.018721,0.021191,0.024794,0.030136,0.038241", \
"0.027657,0.029047,0.031254,0.034596,0.039441,0.046328,0.056203");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.006464,0.008142,0.011077,0.016185,0.025075,0.040602,0.067659", \
"0.006444,0.008141,0.011076,0.016174,0.025073,0.040579,0.067641", \
"0.006970,0.008366,0.011057,0.016183,0.025080,0.040601,0.067641", \
"0.008771,0.010265,0.012445,0.016663,0.025087,0.040601,0.067634", \
"0.011277,0.012897,0.015638,0.020098,0.027074,0.040825,0.067636", \
"0.016399,0.018005,0.020802,0.025648,0.033732,0.046290,0.069090", \
"0.026516,0.028189,0.031084,0.036006,0.044443,0.058808,0.081759");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.004953,0.005764,0.007135,0.009456,0.013416,0.020233,0.032048", \
"0.006050,0.006833,0.008182,0.010491,0.014443,0.021257,0.033062", \
"0.007042,0.008047,0.009622,0.012007,0.015910,0.022683,0.034460", \
"0.007594,0.008894,0.010954,0.014112,0.018829,0.025753,0.037407", \
"0.006998,0.008684,0.011354,0.015458,0.021606,0.030654,0.043712", \
"0.003749,0.005917,0.009348,0.014655,0.022656,0.034398,0.051452", \
"-0.005120,-0.002400,0.001940,0.008705,0.019009,0.034297,0.056425");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.011085,0.012951,0.016180,0.021762,0.031445,0.048281,0.077623", \
"0.012204,0.014073,0.017312,0.022932,0.032676,0.049580,0.078963", \
"0.013606,0.015445,0.018643,0.024224,0.033943,0.050846,0.080264", \
"0.015949,0.018043,0.021504,0.027088,0.036670,0.053451,0.082787", \
"0.020564,0.022873,0.026674,0.032900,0.043027,0.059560,0.088532", \
"0.029278,0.032029,0.036425,0.043410,0.054572,0.072604,0.101690", \
"0.044361,0.047858,0.053393,0.061936,0.074984,0.095197,0.127354");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.003243,0.003875,0.004973,0.006885,0.010227,0.016060,0.026236", \
"0.003397,0.003937,0.004964,0.006889,0.010228,0.016062,0.026233", \
"0.004664,0.005130,0.005864,0.007291,0.010267,0.016062,0.026235", \
"0.006825,0.007407,0.008338,0.009823,0.012108,0.016612,0.026237", \
"0.010563,0.011297,0.012456,0.014272,0.017108,0.021471,0.028554", \
"0.017160,0.018144,0.019687,0.022012,0.025491,0.030777,0.038877", \
"0.028916,0.030315,0.032498,0.035691,0.040292,0.046892,0.056588");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.006466,0.008148,0.011080,0.016180,0.025078,0.040576,0.067639", \
"0.006481,0.008145,0.011074,0.016176,0.025092,0.040596,0.067656", \
"0.006711,0.008237,0.011085,0.016178,0.025075,0.040605,0.067643", \
"0.008162,0.009609,0.011994,0.016512,0.025082,0.040585,0.067627", \
"0.010428,0.011873,0.014438,0.018911,0.026489,0.040847,0.067641", \
"0.015051,0.016415,0.018853,0.023236,0.031090,0.044547,0.068791", \
"0.023912,0.025312,0.027824,0.032179,0.039731,0.053278,0.077145");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("-0.000106,-0.000123,-0.000152,-0.000203,-0.000291,-0.000445,-0.000713", \
"-0.000243,-0.000260,-0.000289,-0.000340,-0.000428,-0.000582,-0.000850", \
"-0.000415,-0.000434,-0.000463,-0.000513,-0.000600,-0.000754,-0.001021", \
"0.190292,0.159603,0.115373,0.059019,0.024866,0.004162,-0.001366", \
"0.603020,0.578178,0.533325,0.446793,0.324118,0.206343,0.134470", \
"1.351448,1.360185,1.335349,1.280811,1.140635,0.897055,0.623408", \
"2.797281,2.814354,2.840101,2.838901,2.774331,2.554234,2.118237");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("1.198074,1.209999,1.232754,1.236648,1.250977,1.260368,1.176886", \
"1.200996,1.194907,1.209658,1.209608,1.229020,1.220282,1.213679", \
"1.270236,1.276045,1.255879,1.260919,1.214475,1.213004,1.248967", \
"1.502469,1.480862,1.447747,1.423407,1.360481,1.310018,1.214724", \
"1.908517,1.902746,1.905713,1.822213,1.727598,1.622334,1.553427", \
"2.963734,2.888486,2.829971,2.729804,2.616659,2.435991,2.205307", \
"5.197294,5.109533,4.985126,4.793739,4.566110,4.288824,3.912038");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("0.093672,0.098078,0.112059,0.122962,0.132168,0.142045,0.151324", \
"0.069974,0.075186,0.086969,0.099322,0.110516,0.118987,0.128685", \
"0.107634,0.110254,0.111103,0.116188,0.120072,0.125725,0.130456", \
"0.313212,0.292437,0.259505,0.217683,0.197568,0.181735,0.168108", \
"0.772533,0.740615,0.692483,0.609756,0.502840,0.396898,0.322519", \
"1.659772,1.641431,1.600817,1.524274,1.363584,1.124011,0.851596", \
"3.293065,3.319383,3.327284,3.302843,3.206267,2.931300,2.456825");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.637762,1.112480,1.940550,3.385000,5.904610,10.299700");
values ("1.629208,1.634973,1.639183,1.647671,1.640516,1.662803,1.597546", \
"1.581253,1.580638,1.593841,1.586890,1.604201,1.610277,1.628775", \
"1.585836,1.563265,1.582202,1.597848,1.575458,1.589988,1.629346", \
"1.675193,1.663849,1.656774,1.650426,1.606094,1.639818,1.615022", \
"1.965207,1.974946,1.974925,1.899539,1.860904,1.803359,1.757031", \
"2.859964,2.802346,2.744321,2.643379,2.560613,2.388154,2.111897", \
"4.856577,4.779389,4.659093,4.452711,4.215306,3.902720,3.448695");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X2
Cell Description : Combinational cell (ISO_FENCE0_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE0_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 50300.303750;
leakage_power () {
when : "!A & !EN";
value : 55587.125000;
}
leakage_power () {
when : "!A & EN";
value : 35361.987500;
}
leakage_power () {
when : "A & !EN";
value : 51553.500000;
}
leakage_power () {
when : "A & EN";
value : 58698.602500;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.048184;
fall_capacitance : 0.992051;
rise_capacitance : 1.104318;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.269634,0.269590,0.269545,0.269598,0.269639,0.269749,0.270023");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.010521,-0.010551,-0.010588,-0.010663,-0.010812,-0.011110,-0.011707");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.177009;
fall_capacitance : 1.128872;
rise_capacitance : 1.225146;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.062032,1.062536,1.062389,1.061915,1.061241,1.060018,1.058472");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.023397,-0.023485,-0.023599,-0.023843,-0.024348,-0.025408,-0.027513");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.362300;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.003312,0.003814,0.004784,0.006658,0.010303,0.017448,0.031494", \
"0.004281,0.004891,0.005945,0.007796,0.011435,0.018581,0.032631", \
"0.004528,0.005328,0.006739,0.009120,0.012939,0.020026,0.034040", \
"0.004071,0.005125,0.006988,0.010118,0.015189,0.023125,0.036981", \
"0.001927,0.003314,0.005770,0.009914,0.016594,0.027073,0.043225", \
"-0.003881,-0.002088,0.001112,0.006551,0.015399,0.029235,0.050482", \
"-0.017295,-0.015031,-0.010955,-0.003932,0.007638,0.025950,0.054066");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.006939,0.008176,0.010563,0.015164,0.024091,0.041544,0.075820", \
"0.008043,0.009192,0.011481,0.016007,0.024921,0.042409,0.076733", \
"0.010050,0.011319,0.013483,0.017829,0.026545,0.043875,0.078109", \
"0.013039,0.014527,0.017260,0.022083,0.030503,0.047430,0.081311", \
"0.018343,0.020066,0.023229,0.028925,0.038842,0.055644,0.088722", \
"0.027731,0.029834,0.033640,0.040334,0.051986,0.072022,0.105461", \
"0.044552,0.047162,0.051906,0.060173,0.074137,0.097705,0.137770");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.001677,0.002085,0.002891,0.004480,0.007610,0.013776,0.025923", \
"0.002302,0.002573,0.003132,0.004491,0.007610,0.013776,0.025920", \
"0.003396,0.003754,0.004411,0.005552,0.007889,0.013776,0.025921", \
"0.005337,0.005780,0.006578,0.007961,0.010363,0.014725,0.025923", \
"0.008762,0.009353,0.010388,0.012122,0.015004,0.019878,0.028331", \
"0.014885,0.015677,0.017069,0.019388,0.023092,0.028987,0.038748", \
"0.026130,0.027154,0.029000,0.032142,0.037150,0.044863,0.056770");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004844,0.005921,0.008035,0.012177,0.020332,0.036377,0.067970", \
"0.004805,0.005896,0.008027,0.012177,0.020329,0.036380,0.067967", \
"0.005777,0.006556,0.008269,0.012167,0.020333,0.036379,0.067994", \
"0.007249,0.008271,0.010177,0.013326,0.020447,0.036392,0.067971", \
"0.009733,0.010772,0.012803,0.016663,0.023334,0.036912,0.067978", \
"0.014846,0.015904,0.017940,0.021900,0.029537,0.043097,0.069441", \
"0.024902,0.025999,0.028139,0.032255,0.040062,0.055066,0.082120");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004267,0.004820,0.005865,0.007838,0.011591,0.018830,0.032951", \
"0.005379,0.005921,0.006926,0.008875,0.012616,0.019847,0.033960", \
"0.006140,0.006863,0.008157,0.010370,0.014097,0.021276,0.035355", \
"0.006439,0.007373,0.009047,0.011939,0.016729,0.024366,0.038296", \
"0.005575,0.006766,0.008927,0.012666,0.018878,0.028896,0.044605", \
"0.002080,0.003590,0.006336,0.011137,0.019195,0.032169,0.052636", \
"-0.006961,-0.005071,-0.001629,0.004443,0.014744,0.031566,0.058100");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.009310,0.010519,0.012865,0.017423,0.026314,0.043737,0.077998", \
"0.010441,0.011639,0.013981,0.018564,0.027515,0.045019,0.079340", \
"0.011809,0.013027,0.015343,0.019872,0.028780,0.046280,0.080636", \
"0.013813,0.015240,0.017895,0.022754,0.031551,0.048892,0.083144", \
"0.018133,0.019723,0.022652,0.027985,0.037655,0.055034,0.088842", \
"0.026200,0.028152,0.031660,0.037813,0.048549,0.067660,0.101887", \
"0.040203,0.042698,0.047175,0.054909,0.067834,0.089507,0.127339");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.002699,0.003115,0.003926,0.005515,0.008646,0.014821,0.026987", \
"0.002975,0.003291,0.003981,0.005512,0.008649,0.014823,0.026984", \
"0.004228,0.004557,0.005156,0.006235,0.008801,0.014826,0.026992", \
"0.006274,0.006686,0.007432,0.008762,0.011054,0.015572,0.026994", \
"0.009826,0.010372,0.011318,0.012958,0.015777,0.020568,0.029140", \
"0.016106,0.016851,0.018156,0.020328,0.023854,0.029639,0.039388", \
"0.027406,0.028459,0.030300,0.033353,0.038125,0.045485,0.057143");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.004858,0.005925,0.008040,0.012178,0.020334,0.036389,0.067990", \
"0.004880,0.005942,0.008035,0.012182,0.020330,0.036377,0.067972", \
"0.005344,0.006243,0.008126,0.012186,0.020331,0.036389,0.067983", \
"0.006757,0.007695,0.009520,0.012944,0.020425,0.036377,0.067990", \
"0.009095,0.009980,0.011781,0.015415,0.022432,0.036842,0.067971", \
"0.013774,0.014629,0.016347,0.019810,0.026900,0.040995,0.069140", \
"0.022588,0.023458,0.025263,0.028834,0.035754,0.049611,0.077483");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("-0.000188,-0.000211,-0.000256,-0.000344,-0.000515,-0.000853,-0.001516", \
"-0.000468,-0.000491,-0.000535,-0.000622,-0.000793,-0.001131,-0.001795", \
"-0.000811,-0.000839,-0.000889,-0.000975,-0.001145,-0.001481,-0.002144", \
"0.429968,0.396922,0.318026,0.192075,0.064469,0.007180,-0.002845", \
"1.245762,1.231315,1.173697,1.034492,0.765568,0.446954,0.261272", \
"2.758337,2.781860,2.758559,2.698169,2.463220,1.927581,1.242209", \
"5.631402,5.703154,5.767507,5.806722,5.750959,5.325000,4.272209");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("2.232821,2.260581,2.264340,2.296194,2.288122,2.359542,2.171473", \
"2.250752,2.215858,2.253820,2.245324,2.300640,2.315926,2.148409", \
"2.432443,2.428803,2.405390,2.364150,2.329502,2.353826,2.368246", \
"2.905063,2.845954,2.825716,2.729655,2.645307,2.493778,2.428523", \
"3.745247,3.735468,3.698853,3.644707,3.431081,3.208170,2.892957", \
"5.953960,5.858324,5.721173,5.474654,5.220822,4.781081,4.203946", \
"10.519030,10.425310,10.250750,9.888587,9.350397,8.576570,7.716436");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("0.149078,0.172272,0.194917,0.222475,0.248623,0.271529,0.293077", \
"0.113696,0.125406,0.147419,0.175470,0.201395,0.225671,0.247539", \
"0.229505,0.211511,0.210963,0.221950,0.229934,0.242024,0.253348", \
"0.680638,0.640827,0.581887,0.486293,0.410797,0.362251,0.328682", \
"1.616114,1.576101,1.501671,1.355075,1.112313,0.829257,0.642177", \
"3.368492,3.367372,3.334151,3.227870,2.937674,2.380724,1.703212", \
"6.698333,6.748792,6.791371,6.809990,6.666535,6.115835,4.959000");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.720215,1.418730,2.794710,5.505210,10.844500,21.362300");
values ("3.087291,3.133690,3.160677,3.139873,3.194492,3.161031,3.148977", \
"3.013992,3.038576,3.052233,3.085854,3.095419,3.031221,3.061669", \
"3.023410,3.035865,3.038584,3.065358,3.088101,3.037390,3.093483", \
"3.254644,3.242497,3.225123,3.202893,3.159989,3.154600,2.985799", \
"3.857268,3.851219,3.806896,3.789457,3.650995,3.461018,3.326794", \
"5.758823,5.703944,5.559766,5.357210,5.140978,4.785404,4.381269", \
"9.910888,9.783913,9.545590,9.251090,8.646284,7.885696,7.110386");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE0_X4
Cell Description : Combinational cell (ISO_FENCE0_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE0_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 100600.796563;
leakage_power () {
when : "!A & !EN";
value : 111174.375000;
}
leakage_power () {
when : "!A & EN";
value : 70724.000000;
}
leakage_power () {
when : "A & !EN";
value : 103107.875000;
}
leakage_power () {
when : "A & EN";
value : 117396.936250;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.953377;
fall_capacitance : 1.838207;
rise_capacitance : 2.068548;
internal_power () {
when : "EN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.539362,0.539109,0.539180,0.539160,0.539277,0.539559,0.539990");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.021043,-0.021102,-0.021176,-0.021325,-0.021624,-0.022220,-0.023414");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.360050;
fall_capacitance : 2.267478;
rise_capacitance : 2.452622;
internal_power () {
when : "A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("2.124063,2.125107,2.124786,2.123797,2.122307,2.120266,2.117699");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.046793,-0.046970,-0.047212,-0.047699,-0.048710,-0.050817,-0.055028");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 42.724600;
function : "!(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003073,0.003766,0.004694,0.006514,0.010107,0.017252,0.031511", \
"0.003970,0.004829,0.005856,0.007653,0.011237,0.018383,0.032646", \
"0.004112,0.005240,0.006605,0.008945,0.012744,0.019829,0.034056", \
"0.003510,0.005002,0.006804,0.009881,0.014932,0.022932,0.036998", \
"0.001182,0.003138,0.005514,0.009590,0.016250,0.026804,0.043242", \
"-0.004856,-0.002329,0.0007607,0.006111,0.014927,0.028877,0.050494", \
"-0.018544,-0.015367,-0.011426,-0.004522,0.007004,0.025460,0.054072");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.006280,0.007987,0.010275,0.014748,0.023552,0.041011,0.075817", \
"0.007445,0.009014,0.011198,0.015593,0.024376,0.041870,0.076729", \
"0.009342,0.011123,0.013219,0.017428,0.026009,0.043342,0.078106", \
"0.012215,0.014290,0.016930,0.021663,0.029983,0.046904,0.081310", \
"0.017379,0.019790,0.022840,0.028414,0.038268,0.055138,0.088721", \
"0.026539,0.029495,0.033173,0.039736,0.051298,0.071440,0.105463", \
"0.043070,0.046726,0.051320,0.059437,0.073318,0.097009,0.137761");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.001453,0.002010,0.002780,0.004321,0.007404,0.013567,0.025904", \
"0.002123,0.002521,0.003044,0.004344,0.007403,0.013570,0.025904", \
"0.003183,0.003683,0.004316,0.005447,0.007713,0.013570,0.025900", \
"0.005066,0.005694,0.006461,0.007821,0.010207,0.014559,0.025904", \
"0.008402,0.009243,0.010247,0.011954,0.014817,0.019716,0.028312", \
"0.014396,0.015515,0.016866,0.019156,0.022857,0.028793,0.038726", \
"0.025506,0.026914,0.028713,0.031819,0.036841,0.044610,0.056736");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.004243,0.005718,0.007741,0.011764,0.019801,0.035857,0.067947", \
"0.004240,0.005692,0.007734,0.011770,0.019796,0.035858,0.067943", \
"0.005382,0.006415,0.008024,0.011752,0.019802,0.035850,0.067937", \
"0.006672,0.008089,0.009929,0.013005,0.019947,0.035850,0.067949", \
"0.009176,0.010588,0.012531,0.016297,0.022925,0.036438,0.067947", \
"0.014278,0.015721,0.017673,0.021516,0.029051,0.042703,0.069397", \
"0.024337,0.025806,0.027859,0.031861,0.039559,0.054580,0.082099");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.003957,0.004723,0.005729,0.007652,0.011357,0.018604,0.032950", \
"0.005058,0.005832,0.006795,0.008691,0.012384,0.019621,0.033959", \
"0.005724,0.006742,0.007995,0.010173,0.013867,0.021051,0.035351", \
"0.005908,0.007215,0.008839,0.011678,0.016450,0.024146,0.038295", \
"0.004897,0.006572,0.008657,0.012331,0.018521,0.028609,0.044606", \
"0.001229,0.003343,0.005993,0.010710,0.018726,0.031801,0.052633", \
"-0.008012,-0.005371,-0.002050,0.003899,0.014148,0.031081,0.058089");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.008631,0.010297,0.012545,0.016974,0.025737,0.043168,0.077960", \
"0.009773,0.011418,0.013660,0.018111,0.026935,0.044445,0.079303", \
"0.011085,0.012801,0.015023,0.019420,0.028200,0.045706,0.080593", \
"0.012985,0.014968,0.017528,0.022280,0.030975,0.048321,0.083103", \
"0.017195,0.019420,0.022244,0.027460,0.037038,0.054470,0.088802", \
"0.025037,0.027778,0.031176,0.037214,0.047864,0.067045,0.101843", \
"0.038717,0.042208,0.046554,0.054162,0.067027,0.088817,0.127282");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.002471,0.003042,0.003818,0.005360,0.008444,0.014620,0.026984", \
"0.002815,0.003232,0.003884,0.005356,0.008447,0.014622,0.026979", \
"0.004039,0.004498,0.005079,0.006123,0.008618,0.014626,0.026983", \
"0.006035,0.006608,0.007333,0.008631,0.010913,0.015405,0.026986", \
"0.009499,0.010268,0.011188,0.012798,0.015596,0.020421,0.029133", \
"0.015641,0.016696,0.017967,0.020110,0.023628,0.029441,0.039375", \
"0.026735,0.028211,0.030015,0.033042,0.037815,0.045243,0.057119");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.004270,0.005733,0.007739,0.011765,0.019798,0.035854,0.067944", \
"0.004299,0.005743,0.007748,0.011765,0.019802,0.035851,0.067957", \
"0.004875,0.006079,0.007858,0.011774,0.019801,0.035858,0.067947", \
"0.006234,0.007515,0.009269,0.012592,0.019920,0.035852,0.067954", \
"0.008616,0.009810,0.011522,0.015050,0.021992,0.036338,0.067936", \
"0.013305,0.014467,0.016111,0.019462,0.026428,0.040541,0.069112", \
"0.022131,0.023291,0.025005,0.028476,0.035302,0.049146,0.077456");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("-0.000352,-0.000415,-0.000501,-0.000671,-0.001010,-0.001684,-0.003033", \
"-0.000914,-0.000975,-0.001059,-0.001228,-0.001566,-0.002241,-0.003589", \
"0.223378,0.118690,0.008766,-0.001934,-0.002268,-0.002941,-0.004287", \
"1.100216,0.996246,0.840808,0.592578,0.320700,0.201686,0.122744", \
"2.672202,2.652870,2.558032,2.294111,1.751179,1.091962,0.707768", \
"5.703985,5.709456,5.721997,5.615950,5.169942,4.074683,2.670796", \
"11.494940,11.597780,11.677780,11.783010,11.701500,10.902850,8.722346");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("4.213789,4.286072,4.367629,4.423399,4.440157,4.418941,4.365859", \
"4.276228,4.250009,4.241952,4.266404,4.295261,4.433242,4.343269", \
"4.612256,4.616373,4.592243,4.548347,4.486371,4.305291,4.327410", \
"5.538182,5.500859,5.417446,5.275609,5.062423,4.755180,4.628063", \
"7.332566,7.247214,7.148809,7.080357,6.629781,6.022043,5.571896", \
"11.733470,11.554680,11.238450,10.877900,10.411270,9.432251,8.179849", \
"20.985090,20.712120,20.302610,19.589900,18.490730,17.052370,15.149460");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("0.476808,0.520299,0.575130,0.626943,0.680836,0.725953,0.771296", \
"0.391879,0.427636,0.478070,0.526842,0.588896,0.635449,0.680536", \
"0.674767,0.616473,0.604021,0.627210,0.647773,0.666184,0.692173", \
"1.571995,1.478621,1.366170,1.178179,1.008694,0.909430,0.841676", \
"3.443425,3.347349,3.204638,2.925726,2.430038,1.846347,1.467263", \
"6.940245,6.932511,6.876577,6.674995,6.090376,4.974870,3.586980", \
"13.449470,13.609820,13.768970,13.794850,13.519890,12.440970,10.089730");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.335140,2.670290,5.340570,10.681100,21.362300,42.724600");
values ("6.003928,6.045294,6.059316,6.132638,6.152686,5.963056,6.073813", \
"5.826285,5.830576,5.863501,5.946816,6.015833,5.919717,5.977994", \
"5.865580,5.845912,5.891696,5.922458,5.937630,5.952737,5.956760", \
"6.268629,6.221745,6.222676,6.183554,6.034801,6.049060,5.978427", \
"7.484984,7.422594,7.422762,7.415048,7.061786,6.650254,6.432193", \
"11.430420,11.154980,10.935340,10.488260,10.076590,9.367495,8.540787", \
"19.756570,19.422700,18.990520,18.317450,17.127270,15.699550,13.998230");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X1
Cell Description : Combinational cell (ISO_FENCE1N_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1N_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 23327.907284;
leakage_power () {
when : "!A & !EN";
value : 4158.700000;
}
leakage_power () {
when : "!A & EN";
value : 32803.125000;
}
leakage_power () {
when : "A & !EN";
value : 5229.929138;
}
leakage_power () {
when : "A & EN";
value : 51119.875000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.607663;
fall_capacitance : 0.586836;
rise_capacitance : 0.628491;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.481568,0.482881,0.483138,0.482872,0.483031,0.482430,0.481758");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.087750,-0.087793,-0.087846,-0.087954,-0.088168,-0.088597,-0.089455");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.623945;
fall_capacitance : 0.603821;
rise_capacitance : 0.644070;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.501769,0.472882,0.467503,0.463502,0.460435,0.457498,0.455664");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.268408,-0.268499,-0.268614,-0.268844,-0.269305,-0.270225,-0.272066");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.539400;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.005249,0.006512,0.008917,0.013512,0.022323,0.039251,0.071809", \
"0.006214,0.007455,0.009848,0.014441,0.023257,0.040193,0.072749", \
"0.007060,0.008628,0.011279,0.015797,0.024551,0.041449,0.073984", \
"0.007706,0.009651,0.012986,0.018512,0.027407,0.044133,0.076558", \
"0.007710,0.010178,0.014362,0.021260,0.032437,0.050019,0.082039", \
"0.005875,0.009040,0.014403,0.023161,0.037152,0.059383,0.094032", \
"-0.0001294,0.003863,0.010708,0.021968,0.039872,0.067813,0.111609");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.006007,0.007520,0.010376,0.015781,0.026098,0.045884,0.083893", \
"0.007386,0.008841,0.011649,0.017047,0.027384,0.047195,0.085232", \
"0.009318,0.010949,0.013674,0.018939,0.029176,0.048928,0.086940", \
"0.012030,0.014060,0.017553,0.023246,0.033187,0.052688,0.090534", \
"0.016319,0.018821,0.023107,0.030288,0.041877,0.060972,0.098259", \
"0.023093,0.026314,0.031750,0.040644,0.055089,0.078180,0.115121", \
"0.034043,0.038205,0.045270,0.056740,0.074864,0.103553,0.149062");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.003298,0.004370,0.006440,0.010412,0.018043,0.032745,0.061008", \
"0.003506,0.004414,0.006437,0.010411,0.018047,0.032747,0.061012", \
"0.004699,0.005551,0.007012,0.010448,0.018048,0.032735,0.061010", \
"0.006712,0.007642,0.009347,0.012309,0.018412,0.032740,0.061014", \
"0.010431,0.011526,0.013427,0.016786,0.022691,0.033951,0.061003", \
"0.017050,0.018458,0.020852,0.024785,0.031411,0.042952,0.064172", \
"0.029119,0.030886,0.034020,0.039104,0.047130,0.060140,0.082605");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.004060,0.005411,0.007997,0.012983,0.022574,0.041020,0.076526", \
"0.004100,0.005406,0.007999,0.012980,0.022558,0.041020,0.076523", \
"0.005262,0.006148,0.008205,0.012982,0.022565,0.041027,0.076511", \
"0.007252,0.008415,0.010408,0.013980,0.022579,0.041023,0.076514", \
"0.010559,0.011876,0.014282,0.018476,0.025366,0.041214,0.076529", \
"0.016641,0.018173,0.020901,0.025728,0.034138,0.047674,0.077278", \
"0.027902,0.029672,0.032967,0.038605,0.048187,0.064737,0.091354");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.006263,0.007521,0.009920,0.014511,0.023319,0.040247,0.072803", \
"0.006979,0.008256,0.010683,0.015305,0.024140,0.041088,0.073656", \
"0.007486,0.008928,0.011516,0.016154,0.025001,0.041959,0.074538", \
"0.007811,0.009570,0.012580,0.017720,0.026768,0.043723,0.076300", \
"0.007194,0.009487,0.013338,0.019597,0.029801,0.047378,0.079902", \
"0.004095,0.007101,0.012155,0.020309,0.033082,0.053246,0.087322", \
"-0.004667,-0.0007332,0.005900,0.016643,0.033413,0.059056,0.098695");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.007656,0.009148,0.011981,0.017375,0.027693,0.047478,0.085489", \
"0.009011,0.010478,0.013285,0.018662,0.028973,0.048753,0.086766", \
"0.011224,0.012632,0.015339,0.020604,0.030819,0.050537,0.088495", \
"0.014683,0.016492,0.019665,0.024976,0.034903,0.054359,0.092133", \
"0.020122,0.022311,0.026181,0.032861,0.043871,0.062766,0.099969", \
"0.029233,0.031953,0.036677,0.044736,0.058328,0.080557,0.117063", \
"0.044821,0.048308,0.054313,0.064350,0.080837,0.107972,0.152102");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.003298,0.004370,0.006439,0.010410,0.018044,0.032746,0.061014", \
"0.003367,0.004385,0.006439,0.010412,0.018050,0.032744,0.061019", \
"0.004023,0.004940,0.006705,0.010436,0.018051,0.032739,0.061014", \
"0.005557,0.006375,0.007987,0.011323,0.018255,0.032744,0.061015", \
"0.008637,0.009510,0.011096,0.014101,0.020290,0.033348,0.061012", \
"0.013945,0.015044,0.016985,0.020300,0.026132,0.037790,0.062519", \
"0.023065,0.024471,0.027036,0.031325,0.038216,0.049710,0.071914");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.005247,0.006600,0.009199,0.014208,0.023837,0.042318,0.077862", \
"0.005247,0.006600,0.009199,0.014203,0.023830,0.042329,0.077855", \
"0.005882,0.006953,0.009252,0.014203,0.023831,0.042306,0.077861", \
"0.007941,0.009084,0.010989,0.014879,0.023829,0.042311,0.077850", \
"0.010866,0.012301,0.014834,0.019057,0.026150,0.042426,0.077866", \
"0.015908,0.017618,0.020619,0.025825,0.034529,0.048304,0.078452", \
"0.025067,0.027181,0.030832,0.036975,0.047287,0.064615,0.091694");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.088104,0.092839,0.097274,0.102319,0.104365,0.107006,0.105386", \
"0.072913,0.076906,0.084683,0.091507,0.098426,0.101988,0.103948", \
"0.122526,0.116979,0.112551,0.109691,0.106988,0.108265,0.107562", \
"0.339368,0.292410,0.232444,0.197147,0.166133,0.144171,0.127552", \
"0.840256,0.775256,0.664858,0.513292,0.383914,0.289457,0.219738", \
"1.794796,1.750558,1.646851,1.420771,1.092722,0.781901,0.551976", \
"3.578384,3.573912,3.512486,3.348432,2.955152,2.280640,1.602222");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("1.098452,1.109440,1.116940,1.107984,1.134494,1.043700,1.058187", \
"1.090373,1.081209,1.100472,1.108973,1.100193,1.016421,1.047095", \
"1.165618,1.156887,1.153055,1.139174,1.124491,1.133474,1.142306", \
"1.348007,1.362454,1.300093,1.277460,1.210197,1.185850,1.178708", \
"1.844508,1.802594,1.764817,1.697708,1.553553,1.404765,1.310792", \
"2.981570,2.909921,2.825487,2.634726,2.454937,2.153421,1.713594", \
"5.292121,5.215266,5.137969,4.919921,4.448785,3.997662,3.320722");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("0.089430,0.095693,0.100827,0.104294,0.106779,0.106498,0.106756", \
"0.054706,0.064895,0.073264,0.085097,0.094483,0.100203,0.102800", \
"0.061447,0.068216,0.073877,0.081639,0.091566,0.097584,0.102510", \
"0.218494,0.184594,0.143816,0.127771,0.117644,0.113053,0.110028", \
"0.626446,0.577917,0.495474,0.372676,0.270951,0.211779,0.170741", \
"1.415764,1.387095,1.318864,1.155650,0.867698,0.593945,0.418655", \
"2.888536,2.905053,2.878222,2.777269,2.492109,1.908493,1.279385");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703402,1.353260,2.603520,5.008860,9.636450,18.539400");
values ("1.497627,1.496171,1.500007,1.494400,1.524731,1.472093,1.430198", \
"1.481017,1.489319,1.480022,1.478788,1.518453,1.509533,1.521652", \
"1.564297,1.563348,1.534431,1.547739,1.538384,1.447049,1.437224", \
"1.802856,1.771640,1.734177,1.673221,1.653264,1.571430,1.462003", \
"2.261816,2.248393,2.226757,2.145960,2.013393,1.835883,1.591138", \
"3.429450,3.397881,3.301493,3.179121,2.976541,2.636893,2.291995", \
"5.820164,5.787323,5.719515,5.505493,5.137612,4.635886,4.003879");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X2
Cell Description : Combinational cell (ISO_FENCE1N_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1N_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 48109.151000;
leakage_power () {
when : "!A & !EN";
value : 8563.037500;
}
leakage_power () {
when : "!A & EN";
value : 67541.250000;
}
leakage_power () {
when : "A & !EN";
value : 10767.316500;
}
leakage_power () {
when : "A & EN";
value : 105565.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.059344;
fall_capacitance : 1.018661;
rise_capacitance : 1.100028;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.999811,1.001322,1.001525,1.001163,1.000921,0.999935,0.998662");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.180655,-0.180743,-0.180854,-0.181074,-0.181516,-0.182399,-0.184165");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.132396;
fall_capacitance : 1.092474;
rise_capacitance : 1.172317;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.048500,0.982590,0.969434,0.960607,0.953788,0.948302,0.944345");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.552700,-0.552889,-0.553126,-0.553600,-0.554547,-0.556443,-0.560234");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 38.604700;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004325,0.005899,0.008119,0.012516,0.021269,0.038744,0.073677", \
"0.005259,0.006848,0.009048,0.013440,0.022199,0.039681,0.074618", \
"0.005803,0.007874,0.010434,0.014809,0.023497,0.040939,0.075854", \
"0.006160,0.008725,0.011917,0.017383,0.026377,0.043628,0.078422", \
"0.005790,0.009056,0.013067,0.019870,0.031210,0.049540,0.083897", \
"0.003543,0.007713,0.012849,0.021508,0.035692,0.058829,0.095883", \
"-0.002842,0.002401,0.008951,0.020061,0.038221,0.067283,0.114043");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004776,0.006640,0.009228,0.014300,0.024337,0.044331,0.084264", \
"0.006247,0.007988,0.010513,0.015564,0.025618,0.045641,0.085598", \
"0.007848,0.010003,0.012575,0.017476,0.027417,0.047375,0.087302", \
"0.010211,0.012845,0.016153,0.021764,0.031449,0.051140,0.090884", \
"0.013977,0.017272,0.021332,0.028324,0.039985,0.059417,0.098587", \
"0.019931,0.024198,0.029398,0.038124,0.052597,0.076385,0.115372", \
"0.029727,0.035202,0.041971,0.053283,0.071567,0.101102,0.149162");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002483,0.003809,0.005713,0.009513,0.017109,0.032298,0.062679", \
"0.002908,0.003922,0.005710,0.009513,0.017110,0.032300,0.062676", \
"0.004013,0.005107,0.006469,0.009617,0.017110,0.032292,0.062673", \
"0.005951,0.007144,0.008743,0.011689,0.017587,0.032298,0.062676", \
"0.009465,0.010922,0.012740,0.016018,0.021981,0.033576,0.062673", \
"0.015763,0.017664,0.019981,0.023876,0.030556,0.042574,0.065565", \
"0.027468,0.029815,0.032843,0.037914,0.046087,0.059631,0.083762");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002975,0.004599,0.006928,0.011592,0.020914,0.039568,0.076882", \
"0.003218,0.004598,0.006925,0.011590,0.020918,0.039566,0.076875", \
"0.004483,0.005596,0.007311,0.011592,0.020917,0.039570,0.076872", \
"0.006246,0.007730,0.009630,0.012911,0.020971,0.039567,0.076876", \
"0.009450,0.011116,0.013333,0.017387,0.024161,0.039846,0.076890", \
"0.015321,0.017302,0.019866,0.024477,0.032806,0.046677,0.077615", \
"0.026383,0.028647,0.031736,0.037228,0.046733,0.063604,0.091697");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.005350,0.006917,0.009130,0.013521,0.022270,0.039743,0.074676", \
"0.006020,0.007625,0.009867,0.014294,0.023076,0.040573,0.075521", \
"0.006330,0.008203,0.010640,0.015124,0.023916,0.041428,0.076386", \
"0.006357,0.008687,0.011563,0.016585,0.025658,0.043161,0.078118", \
"0.005316,0.008360,0.012060,0.018244,0.028544,0.046768,0.081667", \
"0.001712,0.005686,0.010535,0.018605,0.031567,0.052507,0.089001", \
"-0.007625,-0.002442,0.003911,0.014516,0.031545,0.058195,0.100465");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.006423,0.008247,0.010808,0.015862,0.025897,0.045892,0.085825", \
"0.007811,0.009588,0.012119,0.017152,0.027175,0.047171,0.087101", \
"0.009935,0.011778,0.014201,0.019112,0.029028,0.048940,0.088824", \
"0.013061,0.015372,0.018353,0.023525,0.033134,0.052776,0.092457", \
"0.018132,0.020917,0.024527,0.030985,0.042026,0.061183,0.100262", \
"0.026654,0.030155,0.034595,0.042393,0.055925,0.078783,0.117278", \
"0.041354,0.045850,0.051516,0.061308,0.077798,0.105606,0.152170");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.002483,0.003809,0.005713,0.009512,0.017110,0.032300,0.062677", \
"0.002647,0.003838,0.005715,0.009514,0.017109,0.032299,0.062675", \
"0.003351,0.004453,0.006065,0.009574,0.017109,0.032298,0.062675", \
"0.004934,0.005929,0.007394,0.010552,0.017371,0.032299,0.062674", \
"0.007924,0.009044,0.010522,0.013384,0.019482,0.032940,0.062672", \
"0.013036,0.014452,0.016296,0.019537,0.025359,0.037376,0.064075", \
"0.021873,0.023675,0.026117,0.030357,0.037346,0.049256,0.073189");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.004146,0.005774,0.008113,0.012794,0.022152,0.040826,0.078193", \
"0.004140,0.005774,0.008113,0.012791,0.022143,0.040830,0.078181", \
"0.005099,0.006294,0.008264,0.012794,0.022143,0.040835,0.078189", \
"0.006915,0.008409,0.010248,0.013730,0.022155,0.040834,0.078193", \
"0.009624,0.011458,0.013833,0.017961,0.024876,0.041024,0.078193", \
"0.014412,0.016637,0.019453,0.024459,0.033153,0.047262,0.078777", \
"0.023142,0.025990,0.029487,0.035445,0.045678,0.063408,0.092033");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.153876,0.169920,0.186760,0.196446,0.202278,0.206027,0.205918", \
"0.123828,0.141544,0.157634,0.172921,0.186790,0.197444,0.202091", \
"0.267948,0.231641,0.218090,0.209228,0.208088,0.206910,0.207779", \
"0.780096,0.633013,0.495929,0.398738,0.329268,0.281787,0.248591", \
"1.795418,1.652216,1.428107,1.097652,0.795043,0.587507,0.433382", \
"3.701280,3.618801,3.441763,3.021677,2.304393,1.607225,1.106197", \
"7.376284,7.357186,7.237053,6.989678,6.179028,4.719205,3.237992");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("2.011609,2.033897,2.061052,2.106132,2.086085,2.107077,1.927380", \
"1.993990,2.005852,2.020380,2.083433,2.078248,2.023760,1.943722", \
"2.204620,2.169412,2.127482,2.111281,2.141695,1.993144,2.003686", \
"2.546946,2.566279,2.536069,2.401383,2.261380,2.169456,2.078309", \
"3.620226,3.542614,3.410317,3.318199,3.007592,2.709035,2.347563", \
"5.966023,5.795047,5.618781,5.230815,4.754039,4.126154,3.565983", \
"10.713610,10.566760,10.329850,9.935070,9.136521,7.987504,6.493700");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("0.161109,0.175257,0.191033,0.195898,0.203416,0.208024,0.206504", \
"0.078979,0.107039,0.131688,0.159294,0.180132,0.194593,0.199324", \
"0.123344,0.116782,0.132794,0.151163,0.171024,0.187613,0.195678", \
"0.499079,0.399601,0.307398,0.251088,0.228529,0.220359,0.212830", \
"1.357703,1.247215,1.073231,0.803712,0.559140,0.423698,0.336148", \
"2.979230,2.905019,2.772309,2.454059,1.847924,1.224581,0.840901", \
"5.989320,6.027166,5.960543,5.766188,5.222524,3.970585,2.594710");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.206400,2.412790,4.825590,9.651180,19.302400,38.604700");
values ("2.830060,2.852307,2.862985,2.871655,2.899464,2.915094,2.884165", \
"2.811455,2.827820,2.848780,2.852534,2.831646,2.882468,2.774995", \
"3.000444,2.968707,2.930885,2.945466,2.868735,2.919713,2.603554", \
"3.444328,3.429996,3.348900,3.258528,3.105483,3.044406,2.766607", \
"4.474485,4.425148,4.340434,4.156126,3.864053,3.567158,3.290926", \
"6.881762,6.795263,6.658103,6.374972,5.895688,5.282064,4.349357", \
"11.731850,11.661290,11.592800,11.204470,10.443000,9.208634,7.918307");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1N_X4
Cell Description : Combinational cell (ISO_FENCE1N_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1N_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 96218.295750;
leakage_power () {
when : "!A & !EN";
value : 17126.000000;
}
leakage_power () {
when : "!A & EN";
value : 135082.500000;
}
leakage_power () {
when : "A & !EN";
value : 21534.683000;
}
leakage_power () {
when : "A & EN";
value : 211130.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.949865;
fall_capacitance : 1.862145;
rise_capacitance : 2.037585;
internal_power () {
when : "!EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.999650,2.003144,2.003137,2.002900,2.001263,2.000159,1.997588");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.361311,-0.361487,-0.361708,-0.362149,-0.363032,-0.364798,-0.368330");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 2.452924;
fall_capacitance : 2.365321;
rise_capacitance : 2.540526;
internal_power () {
when : "!A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("2.095759,1.965073,1.938785,1.921036,1.907450,1.896606,1.888794");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-1.105400,-1.105780,-1.106250,-1.107200,-1.109100,-1.112890,-1.120470");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 77.209500;
function : "!(A & EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004090,0.006014,0.008233,0.012630,0.021383,0.038859,0.073794", \
"0.004989,0.006960,0.009161,0.013554,0.022313,0.039796,0.074735", \
"0.005462,0.008008,0.010553,0.014921,0.023611,0.041053,0.075969", \
"0.005733,0.008887,0.012061,0.017511,0.026488,0.043743,0.078541", \
"0.005243,0.009254,0.013239,0.020021,0.031340,0.049653,0.084014", \
"0.002827,0.007950,0.013054,0.021685,0.035843,0.058957,0.095997", \
"-0.003762,0.002678,0.009194,0.020270,0.038386,0.067427,0.114169");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.004508,0.006788,0.009381,0.014463,0.024520,0.044555,0.084570", \
"0.006009,0.008131,0.010664,0.015725,0.025799,0.045862,0.085903", \
"0.007511,0.010161,0.012719,0.017636,0.027598,0.047594,0.087602", \
"0.009802,0.013038,0.016331,0.021925,0.031625,0.051357,0.091182", \
"0.013454,0.017507,0.021548,0.028522,0.040170,0.059631,0.098884", \
"0.019251,0.024499,0.029669,0.038366,0.052825,0.076612,0.115658", \
"0.028867,0.035586,0.042319,0.053598,0.071854,0.101385,0.149473");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002270,0.003887,0.005789,0.009589,0.017186,0.032374,0.062746", \
"0.002770,0.003987,0.005788,0.009589,0.017184,0.032373,0.062749", \
"0.003836,0.005169,0.006526,0.009685,0.017185,0.032372,0.062745", \
"0.005758,0.007217,0.008809,0.011742,0.017652,0.032371,0.062749", \
"0.009222,0.011011,0.012817,0.016084,0.022041,0.033638,0.062748", \
"0.015445,0.017769,0.020077,0.023960,0.030629,0.042637,0.065628", \
"0.027055,0.029943,0.032967,0.038025,0.046169,0.059703,0.083827");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002718,0.004698,0.007033,0.011709,0.021061,0.039775,0.077206", \
"0.003027,0.004697,0.007034,0.011709,0.021062,0.039776,0.077195", \
"0.004265,0.005656,0.007393,0.011709,0.021060,0.039775,0.077203", \
"0.005993,0.007808,0.009703,0.012996,0.021118,0.039773,0.077198", \
"0.009161,0.011201,0.013418,0.017470,0.024264,0.040037,0.077192", \
"0.014960,0.017396,0.019959,0.024569,0.032904,0.046816,0.077911", \
"0.025971,0.028752,0.031838,0.037320,0.046829,0.063733,0.091912");
}
}
timing () {
related_pin : "EN";
timing_sense : negative_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.005100,0.007015,0.009228,0.013618,0.022368,0.039843,0.074778", \
"0.005752,0.007721,0.009963,0.014390,0.023173,0.040672,0.075622", \
"0.006001,0.008305,0.010738,0.015219,0.024014,0.041526,0.076485", \
"0.005933,0.008807,0.011670,0.016684,0.025755,0.043261,0.078218", \
"0.004758,0.008511,0.012193,0.018356,0.028645,0.046868,0.081770", \
"0.0009861,0.005880,0.010702,0.018744,0.031683,0.052611,0.089108", \
"-0.008571,-0.002193,0.004121,0.014695,0.031690,0.058313,0.100574");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.006123,0.008352,0.010916,0.015977,0.026021,0.046035,0.086022", \
"0.007525,0.009694,0.012227,0.017267,0.027301,0.047314,0.087300", \
"0.009614,0.011877,0.014306,0.019226,0.029152,0.049088,0.089017", \
"0.012672,0.015503,0.018476,0.023632,0.033257,0.052922,0.092648", \
"0.017664,0.021079,0.024678,0.031122,0.042150,0.061328,0.100452", \
"0.026067,0.030356,0.034778,0.042564,0.056082,0.078933,0.117464", \
"0.040594,0.046103,0.051749,0.061522,0.077991,0.105794,0.152365");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.002273,0.003886,0.005789,0.009590,0.017186,0.032372,0.062748", \
"0.002474,0.003913,0.005790,0.009589,0.017185,0.032373,0.062748", \
"0.003180,0.004519,0.006133,0.009646,0.017185,0.032372,0.062748", \
"0.004771,0.005988,0.007453,0.010618,0.017443,0.032374,0.062750", \
"0.007748,0.009102,0.010580,0.013434,0.019547,0.033013,0.062749", \
"0.012797,0.014531,0.016366,0.019595,0.025410,0.037441,0.064144", \
"0.021585,0.023778,0.026215,0.030434,0.037413,0.049315,0.073253");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.003887,0.005871,0.008214,0.012901,0.022273,0.041004,0.078424", \
"0.003898,0.005870,0.008215,0.012902,0.022279,0.041004,0.078427", \
"0.004919,0.006368,0.008350,0.012902,0.022274,0.041004,0.078438", \
"0.006648,0.008484,0.010312,0.013814,0.022280,0.041001,0.078428", \
"0.009292,0.011545,0.013914,0.018041,0.024974,0.041179,0.078428", \
"0.013994,0.016735,0.019547,0.024548,0.033243,0.047382,0.079022", \
"0.022606,0.026117,0.029594,0.035534,0.045768,0.063517,0.092206");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.294528,0.347437,0.368028,0.390552,0.402396,0.410881,0.410126", \
"0.240787,0.282360,0.310073,0.349954,0.372504,0.393524,0.401947", \
"0.571701,0.456024,0.429830,0.418803,0.410209,0.413093,0.411839", \
"1.611329,1.251245,0.979602,0.798377,0.656324,0.560162,0.493320", \
"3.639102,3.275681,2.833700,2.180582,1.581819,1.167291,0.863755", \
"7.429807,7.242025,6.856298,6.008372,4.584159,3.199427,2.204681", \
"14.759110,14.683710,14.523830,13.949850,12.335000,9.404401,6.454600");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("4.131190,4.252737,4.313866,4.339910,4.332395,4.218581,4.178015", \
"4.137575,4.198913,4.228504,4.301997,4.330173,4.387883,4.418077", \
"4.555482,4.469422,4.408226,4.380566,4.222755,4.355184,3.925220", \
"5.282988,5.337485,5.152627,4.961608,4.759042,4.574569,4.570910", \
"7.457797,7.213456,6.993023,6.749803,6.022663,5.502807,5.153586", \
"12.083070,11.788160,11.441630,10.736250,9.876964,8.528567,7.316715", \
"21.574570,21.131480,20.841870,20.002470,18.128520,15.968290,13.377450");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("0.309684,0.360455,0.377883,0.394842,0.406339,0.411976,0.410450", \
"0.145685,0.211471,0.262362,0.313019,0.356336,0.386079,0.395759", \
"0.255095,0.232512,0.255677,0.301672,0.339060,0.372638,0.389790", \
"1.035405,0.790302,0.604922,0.498451,0.457859,0.437817,0.424888", \
"2.752733,2.460018,2.118373,1.590066,1.112301,0.845751,0.668502", \
"5.914881,5.759844,5.529431,4.885361,3.672675,2.437365,1.673387", \
"12.148550,11.924540,11.907810,11.519040,10.398440,7.910667,5.170326");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.412800,4.825590,9.651190,19.302400,38.604700,77.209500");
values ("5.755970,5.846511,5.875169,5.890143,5.855724,5.682828,5.732561", \
"5.742455,5.801978,5.802569,5.833548,5.844929,5.624755,5.513244", \
"6.132132,6.067835,6.063450,6.002876,5.865944,5.975146,5.217238", \
"7.024792,7.002677,6.865424,6.683603,6.373241,6.309709,5.517504", \
"9.127829,8.991148,8.831620,8.472640,7.892376,7.160285,6.861735", \
"13.917990,13.733100,13.366280,12.844970,11.896320,10.589890,9.299885", \
"23.559960,23.516010,23.249740,22.630020,21.055800,18.693670,15.687140");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X1
Cell Description : Combinational cell (ISO_FENCE1_X1) with drive strength X1
*******************************************************************************************/
cell (ISO_FENCE1_X1) {
drive_strength : 1;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 40686.375000;
leakage_power () {
when : "!A & !EN";
value : 50421.250000;
}
leakage_power () {
when : "!A & EN";
value : 30988.750000;
}
leakage_power () {
when : "A & !EN";
value : 38838.875000;
}
leakage_power () {
when : "A & EN";
value : 42496.625000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.543021;
fall_capacitance : 0.514875;
rise_capacitance : 0.571168;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.132341,0.132065,0.132024,0.131997,0.131994,0.132077,0.132206");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.121578,-0.121699,-0.121581,-0.121407,-0.121411,-0.121522,-0.121746");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.657579;
fall_capacitance : 0.634166;
rise_capacitance : 0.680993;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.515067,0.515354,0.515248,0.514674,0.513609,0.513799,0.514301");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.240847,-0.241010,-0.241225,-0.241659,-0.242539,-0.244297,-0.247812");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 19.035300;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.016249,0.017723,0.020237,0.024491,0.031778,0.044826,0.069418", \
"0.017163,0.018631,0.021142,0.025394,0.032682,0.045732,0.070328", \
"0.019123,0.020584,0.023085,0.027334,0.034621,0.047672,0.072268", \
"0.023082,0.024556,0.027070,0.031325,0.038618,0.051663,0.076245", \
"0.029360,0.030922,0.033555,0.037958,0.045439,0.058609,0.083175", \
"0.040139,0.041869,0.044748,0.049447,0.057182,0.070567,0.095355", \
"0.058808,0.060823,0.064179,0.069580,0.078212,0.092534,0.117899");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.010933,0.012497,0.015403,0.020908,0.031471,0.051804,0.091053", \
"0.012078,0.013627,0.016518,0.022014,0.032577,0.052915,0.092164", \
"0.013332,0.014865,0.017718,0.023168,0.033696,0.054025,0.093268", \
"0.014460,0.016024,0.018874,0.024287,0.034743,0.055022,0.094250", \
"0.014694,0.016406,0.019355,0.024742,0.035127,0.055321,0.094452", \
"0.012208,0.014155,0.017491,0.023110,0.033531,0.053739,0.092767", \
"0.003539,0.005753,0.009593,0.016043,0.026846,0.047270,0.086692");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.003917,0.004685,0.006127,0.008833,0.014018,0.024401,0.045298", \
"0.003916,0.004690,0.006132,0.008836,0.014020,0.024400,0.045297", \
"0.003933,0.004704,0.006147,0.008846,0.014025,0.024404,0.045298", \
"0.004279,0.004999,0.006360,0.008987,0.014104,0.024431,0.045302", \
"0.004966,0.005662,0.006974,0.009530,0.014562,0.024641,0.045330", \
"0.006206,0.006910,0.008163,0.010552,0.015308,0.025205,0.045676", \
"0.008556,0.009348,0.010693,0.013081,0.017666,0.027066,0.046708");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.003616,0.004853,0.007351,0.012350,0.022142,0.041101,0.077722", \
"0.003621,0.004856,0.007353,0.012353,0.022143,0.041096,0.077718", \
"0.003730,0.004924,0.007378,0.012359,0.022140,0.041098,0.077721", \
"0.004066,0.005186,0.007541,0.012434,0.022151,0.041093,0.077729", \
"0.004827,0.005877,0.008007,0.012662,0.022294,0.041135,0.077743", \
"0.006088,0.007231,0.009286,0.013487,0.022823,0.041494,0.077820", \
"0.008240,0.009418,0.011681,0.015715,0.024183,0.042770,0.078872");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.018540,0.020011,0.022526,0.026781,0.034067,0.047114,0.071710", \
"0.019661,0.021133,0.023645,0.027899,0.035186,0.048235,0.072832", \
"0.021024,0.022489,0.024996,0.029248,0.036536,0.049587,0.074184", \
"0.023711,0.025190,0.027712,0.031976,0.039274,0.052325,0.076910", \
"0.028688,0.030246,0.032886,0.037319,0.044807,0.057964,0.082548", \
"0.038068,0.039765,0.042621,0.047357,0.055231,0.068732,0.093470", \
"0.054089,0.056035,0.059299,0.064658,0.073454,0.088174,0.113879");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.012385,0.013969,0.016894,0.022411,0.032979,0.053336,0.092594", \
"0.013437,0.015016,0.017933,0.023440,0.034007,0.054362,0.093623", \
"0.014893,0.016449,0.019330,0.024793,0.035329,0.055666,0.094925", \
"0.016606,0.018182,0.021050,0.026465,0.036919,0.057199,0.096426", \
"0.017780,0.019467,0.022387,0.027738,0.038091,0.058269,0.097410", \
"0.017130,0.019034,0.022273,0.027757,0.038034,0.058057,0.097042", \
"0.012153,0.014317,0.018029,0.024175,0.034628,0.054681,0.093604");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.003915,0.004686,0.006129,0.008833,0.014018,0.024401,0.045298", \
"0.003918,0.004687,0.006130,0.008833,0.014019,0.024400,0.045297", \
"0.003927,0.004698,0.006140,0.008840,0.014022,0.024401,0.045298", \
"0.004176,0.004913,0.006301,0.008946,0.014082,0.024423,0.045299", \
"0.004695,0.005438,0.006826,0.009444,0.014471,0.024591,0.045324", \
"0.005715,0.006464,0.007844,0.010435,0.015352,0.025191,0.045560", \
"0.007656,0.008487,0.009949,0.012621,0.017683,0.027423,0.046902");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.003794,0.005009,0.007469,0.012426,0.022186,0.041119,0.077749", \
"0.003792,0.005011,0.007471,0.012425,0.022186,0.041125,0.077765", \
"0.003836,0.005039,0.007486,0.012428,0.022184,0.041125,0.077760", \
"0.004134,0.005261,0.007619,0.012487,0.022199,0.041131,0.077747", \
"0.004778,0.005825,0.007962,0.012634,0.022291,0.041179,0.077754", \
"0.005897,0.007005,0.009004,0.013223,0.022569,0.041348,0.077841", \
"0.007779,0.008923,0.011074,0.014983,0.023549,0.042120,0.078307");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("2.049130,2.132189,2.259207,2.364183,2.431877,2.448333,2.451902", \
"2.034533,2.119126,2.235732,2.347549,2.411017,2.426829,2.432083", \
"2.118717,2.194212,2.307386,2.416687,2.477338,2.496326,2.499250", \
"2.356109,2.426583,2.530324,2.629211,2.687411,2.701276,2.703974", \
"2.782100,2.858189,2.958194,3.107579,3.177905,3.183192,3.181241", \
"3.841346,3.904916,3.992432,4.119470,4.228718,4.291931,4.279257", \
"6.057454,6.118621,6.197769,6.338551,6.534051,6.671732,6.736836");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("0.918854,0.930006,0.932913,0.951753,0.937719,0.890024,0.943830", \
"0.922445,0.938280,0.931731,0.951817,0.963599,0.894609,0.913412", \
"0.995699,0.993378,0.996442,0.994569,0.990376,0.938219,0.872546", \
"1.220515,1.207673,1.198634,1.191255,1.177331,1.174155,1.158952", \
"1.799950,1.804924,1.804874,1.724122,1.711044,1.621819,1.503460", \
"2.756707,2.851040,2.937873,3.023074,3.015381,2.904832,2.811615", \
"4.445860,4.549809,4.666253,4.940072,5.379297,5.604326,5.404580");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("2.470707,2.550026,2.674894,2.786203,2.845435,2.864283,2.866716", \
"2.420176,2.504643,2.628465,2.738933,2.803620,2.819461,2.821217", \
"2.423945,2.496527,2.623507,2.733078,2.793980,2.813361,2.815785", \
"2.520157,2.591643,2.715161,2.822454,2.880471,2.895589,2.896853", \
"2.794076,2.870474,3.004371,3.161181,3.231648,3.245193,3.244886", \
"3.631925,3.704981,3.818435,3.973978,4.122155,4.205368,4.197232", \
"5.408856,5.519564,5.651797,5.847611,6.117896,6.321951,6.424240");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.706503,1.365220,2.638110,5.097790,9.850780,19.035300");
values ("1.156186,1.171027,1.188395,1.177442,1.205335,1.171595,1.136152", \
"1.140528,1.132932,1.168330,1.182701,1.178890,1.150956,1.080402", \
"1.198259,1.187400,1.187051,1.213512,1.203449,1.178336,1.104561", \
"1.398623,1.393560,1.374825,1.386217,1.365190,1.382021,1.255871", \
"1.973441,1.956099,1.947986,1.893747,1.857763,1.783044,1.770001", \
"3.096670,3.137953,3.163165,3.136277,3.073934,3.011263,2.875298", \
"5.073862,5.174815,5.294087,5.421505,5.569554,5.564013,5.451393");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X2
Cell Description : Combinational cell (ISO_FENCE1_X2) with drive strength X2
*******************************************************************************************/
cell (ISO_FENCE1_X2) {
drive_strength : 2;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 57355.031250;
leakage_power () {
when : "!A & !EN";
value : 74714.375000;
}
leakage_power () {
when : "!A & EN";
value : 45116.000000;
}
leakage_power () {
when : "A & !EN";
value : 52968.000000;
}
leakage_power () {
when : "A & EN";
value : 56621.750000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.541174;
fall_capacitance : 0.514155;
rise_capacitance : 0.568193;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.132406,0.132065,0.132066,0.131950,0.132006,0.132095,0.132244");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.121498,-0.121604,-0.121478,-0.121237,-0.121193,-0.121255,-0.121544");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.654937;
fall_capacitance : 0.630583;
rise_capacitance : 0.679291;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.515358,0.515559,0.515381,0.514634,0.513791,0.512892,0.514141");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.308270,-0.376541,-0.398715,-0.413313,-0.423632,-0.431306,-0.437111");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 39.596600;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.018859,0.021028,0.023643,0.028138,0.035903,0.049827,0.076416", \
"0.019733,0.021895,0.024507,0.028999,0.036764,0.050687,0.077278", \
"0.021597,0.023754,0.026357,0.030844,0.038608,0.052532,0.079122", \
"0.025821,0.027945,0.030519,0.034977,0.042721,0.056636,0.083213", \
"0.032841,0.035087,0.037770,0.042350,0.050226,0.064185,0.090725", \
"0.044407,0.046844,0.049744,0.054587,0.062717,0.076928,0.103639", \
"0.064366,0.067111,0.070395,0.075860,0.084793,0.099810,0.126960");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.011433,0.013562,0.016307,0.021539,0.031835,0.052353,0.093338", \
"0.012584,0.014705,0.017441,0.022664,0.032958,0.053479,0.094465", \
"0.014065,0.016158,0.018858,0.024032,0.034287,0.054792,0.095775", \
"0.015738,0.017911,0.020630,0.025765,0.035922,0.056350,0.097292", \
"0.016680,0.019037,0.021906,0.027054,0.037104,0.057402,0.098232", \
"0.015253,0.017839,0.021054,0.026573,0.036662,0.056861,0.097504", \
"0.007934,0.010859,0.014468,0.020783,0.031480,0.051799,0.092673");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.004350,0.005348,0.006697,0.009323,0.014482,0.025049,0.047282", \
"0.004348,0.005350,0.006699,0.009324,0.014483,0.025049,0.047282", \
"0.004349,0.005354,0.006704,0.009330,0.014486,0.025052,0.047282", \
"0.004510,0.005481,0.006812,0.009409,0.014538,0.025074,0.047287", \
"0.005462,0.006369,0.007594,0.010048,0.014977,0.025254,0.047320", \
"0.006834,0.007750,0.008930,0.011210,0.015892,0.025938,0.047630", \
"0.009352,0.010348,0.011597,0.013861,0.018284,0.027702,0.048569");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.003050,0.004532,0.006700,0.011278,0.020762,0.039884,0.078151", \
"0.003052,0.004536,0.006703,0.011281,0.020760,0.039879,0.078158", \
"0.003114,0.004579,0.006732,0.011291,0.020762,0.039881,0.078155", \
"0.003557,0.004938,0.006972,0.011393,0.020772,0.039880,0.078166", \
"0.004364,0.005743,0.007607,0.011714,0.020920,0.039925,0.078160", \
"0.005827,0.007192,0.009102,0.012832,0.021480,0.040228,0.078242", \
"0.008456,0.009722,0.011664,0.015459,0.023203,0.041450,0.079151");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.021119,0.023286,0.025902,0.030397,0.038162,0.052085,0.078672", \
"0.022264,0.024428,0.027041,0.031536,0.039302,0.053226,0.079815", \
"0.023598,0.025759,0.028369,0.032859,0.040625,0.054550,0.081139", \
"0.026482,0.028620,0.031210,0.035682,0.043436,0.057357,0.083941", \
"0.031860,0.034096,0.036782,0.041382,0.049276,0.063258,0.089824", \
"0.041834,0.044220,0.047075,0.051913,0.060126,0.074445,0.101159", \
"0.059122,0.061776,0.064969,0.070338,0.079329,0.094691,0.122199");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.012915,0.015079,0.017849,0.023095,0.033396,0.053924,0.094918", \
"0.013959,0.016122,0.018887,0.024127,0.034424,0.054951,0.095950", \
"0.015494,0.017635,0.020373,0.025576,0.035839,0.056350,0.097339", \
"0.017709,0.019893,0.022631,0.027779,0.037942,0.058372,0.099324", \
"0.019508,0.021846,0.024693,0.029823,0.039860,0.060149,0.100980", \
"0.019762,0.022311,0.025466,0.030856,0.040809,0.060865,0.101486", \
"0.015944,0.018819,0.022339,0.028439,0.038771,0.058744,0.099151");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.004348,0.005347,0.006697,0.009323,0.014482,0.025049,0.047282", \
"0.004350,0.005349,0.006698,0.009324,0.014482,0.025050,0.047281", \
"0.004352,0.005351,0.006702,0.009328,0.014485,0.025050,0.047283", \
"0.004479,0.005454,0.006785,0.009388,0.014522,0.025067,0.047286", \
"0.005103,0.006076,0.007368,0.009893,0.014883,0.025216,0.047312", \
"0.006137,0.007119,0.008400,0.010877,0.015775,0.025861,0.047545", \
"0.008216,0.009251,0.010594,0.013100,0.018012,0.027894,0.048700");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("0.003219,0.004699,0.006845,0.011375,0.020815,0.039923,0.078188", \
"0.003218,0.004700,0.006845,0.011373,0.020815,0.039918,0.078178", \
"0.003234,0.004716,0.006859,0.011380,0.020813,0.039921,0.078190", \
"0.003603,0.005003,0.007046,0.011458,0.020827,0.039921,0.078181", \
"0.004331,0.005699,0.007575,0.011709,0.020934,0.039963,0.078191", \
"0.005642,0.006988,0.008851,0.012568,0.021262,0.040118,0.078266", \
"0.007999,0.009229,0.011124,0.014777,0.022545,0.040848,0.078663");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("2.876064,2.935258,3.014929,3.139808,3.253956,3.287962,3.286182", \
"2.853574,2.911684,2.996400,3.118508,3.227350,3.260860,3.264517", \
"2.909718,2.970088,3.036276,3.166732,3.276214,3.316918,3.320838", \
"3.184560,3.240366,3.283027,3.395582,3.484973,3.510826,3.509295", \
"3.820882,3.837633,3.868217,3.935033,3.997523,3.996874,3.972175", \
"5.082044,5.071681,5.042192,5.037084,5.093702,5.098589,5.042318", \
"7.700117,7.724059,7.653803,7.565433,7.544386,7.530205,7.493621");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.639578,1.686626,1.685745,1.730096,1.758578,1.717024,1.646483", \
"1.647322,1.700918,1.694159,1.700711,1.692051,1.681107,1.642045", \
"1.742440,1.760250,1.755522,1.742411,1.735016,1.743009,1.704117", \
"2.036076,2.027404,2.013672,1.987782,1.883195,1.880548,1.779951", \
"2.707351,2.737423,2.681665,2.574021,2.476778,2.415570,2.249632", \
"4.010021,3.990675,4.022229,4.039133,3.915464,3.743878,3.391395", \
"6.360160,6.297125,6.326780,6.401489,6.612061,6.678818,6.410554");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("3.286395,3.357158,3.416659,3.555336,3.663605,3.701314,3.701483", \
"3.246728,3.310064,3.373897,3.512663,3.619986,3.657350,3.657151", \
"3.250321,3.308860,3.367663,3.501108,3.606086,3.647227,3.649463", \
"3.378323,3.425291,3.466833,3.584887,3.685743,3.719143,3.716642", \
"3.763913,3.809333,3.850526,3.958091,4.035686,4.054062,4.039686", \
"4.745918,4.755941,4.787837,4.829388,4.938311,4.982741,4.944701", \
"6.909035,6.940783,6.933548,6.953699,7.030970,7.115333,7.142609");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600");
values ("1.901182,1.927935,1.959885,1.962031,2.019882,1.972938,2.003071", \
"1.880966,1.923101,1.935232,1.964278,1.963434,1.862975,1.915369", \
"1.971993,1.999780,2.002357,1.976074,1.988759,1.986528,1.753917", \
"2.212694,2.242052,2.240381,2.206584,2.189014,2.044205,2.017195", \
"2.932797,2.911937,2.888230,2.789581,2.631270,2.471193,2.600525", \
"4.369940,4.325448,4.304131,4.218236,4.017416,3.786542,3.528960", \
"7.016218,6.939992,6.906964,6.929173,6.893759,6.671852,6.332111");
}
}
}
}
/******************************************************************************************
Module : ISO_FENCE1_X4
Cell Description : Combinational cell (ISO_FENCE1_X4) with drive strength X4
*******************************************************************************************/
cell (ISO_FENCE1_X4) {
drive_strength : 4;
dont_touch : true;
dont_use : true;
is_isolation_cell : true;
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 111356.062500;
leakage_power () {
when : "!A & !EN";
value : 145677.500000;
}
leakage_power () {
when : "!A & EN";
value : 87877.375000;
}
leakage_power () {
when : "A & !EN";
value : 102604.375000;
}
leakage_power () {
when : "A & EN";
value : 109265.000000;
}
pin (A) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.952125;
fall_capacitance : 0.902604;
rise_capacitance : 1.001646;
internal_power () {
when : "EN & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.246430,0.245676,0.245560,0.245356,0.245469,0.245651,0.245975");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.226826,-0.226439,-0.226115,-0.225641,-0.225577,-0.225704,-0.226081");
}
}
}
pin (EN) {
direction : input;
isolation_cell_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.135408;
fall_capacitance : 1.093134;
rise_capacitance : 1.177681;
internal_power () {
when : "A & Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.966037,0.966094,0.965835,0.965020,0.964104,0.962680,0.961415");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.576481,-0.703916,-0.745124,-0.773490,-0.793571,-0.808386,-0.819166");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 79.040500;
function : "(A | EN)";
power_down_function : "(!VDD | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.017892,0.020479,0.023026,0.027428,0.035078,0.048886,0.075387", \
"0.018780,0.021358,0.023900,0.028300,0.035948,0.049757,0.076259", \
"0.020661,0.023232,0.025766,0.030159,0.037806,0.051616,0.078118", \
"0.024881,0.027405,0.029909,0.034273,0.041902,0.055700,0.082186", \
"0.031749,0.034425,0.037034,0.041516,0.049280,0.063123,0.089567", \
"0.043165,0.046068,0.048885,0.053622,0.061612,0.075683,0.102295", \
"0.062875,0.066142,0.069343,0.074694,0.083483,0.098349,0.125389");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.010849,0.013428,0.016159,0.021384,0.031669,0.052157,0.093072", \
"0.012001,0.014570,0.017291,0.022506,0.032789,0.053279,0.094198", \
"0.013468,0.016001,0.018685,0.023850,0.034094,0.054566,0.095483", \
"0.015053,0.017677,0.020369,0.025492,0.035638,0.056038,0.096914", \
"0.015913,0.018748,0.021574,0.026691,0.036729,0.057002,0.097764", \
"0.014428,0.017528,0.020689,0.026138,0.036197,0.056378,0.096965", \
"0.007114,0.010611,0.014154,0.020372,0.030986,0.051278,0.092110");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004136,0.005321,0.006651,0.009255,0.014406,0.025005,0.047272", \
"0.004137,0.005321,0.006652,0.009257,0.014407,0.025005,0.047272", \
"0.004137,0.005327,0.006660,0.009263,0.014411,0.025007,0.047272", \
"0.004362,0.005487,0.006787,0.009356,0.014468,0.025030,0.047276", \
"0.005318,0.006381,0.007578,0.010003,0.014925,0.025214,0.047305", \
"0.006725,0.007795,0.008938,0.011170,0.015817,0.025881,0.047621", \
"0.009327,0.010470,0.011679,0.013883,0.018255,0.027671,0.048572");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002816,0.004617,0.006800,0.011391,0.020868,0.039962,0.078174", \
"0.002815,0.004620,0.006804,0.011391,0.020866,0.039966,0.078171", \
"0.002897,0.004670,0.006833,0.011401,0.020868,0.039960,0.078172", \
"0.003353,0.005017,0.007066,0.011504,0.020881,0.039959,0.078180", \
"0.004186,0.005823,0.007685,0.011820,0.021031,0.040014,0.078179", \
"0.005720,0.007306,0.009184,0.012914,0.021606,0.040331,0.078264", \
"0.008457,0.009908,0.011798,0.015549,0.023306,0.041592,0.079220");
}
}
timing () {
related_pin : "EN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.020182,0.022768,0.025314,0.029716,0.037365,0.051173,0.077672", \
"0.021323,0.023904,0.026448,0.030849,0.038499,0.052307,0.078808", \
"0.022655,0.025232,0.027770,0.032169,0.039819,0.053629,0.080133", \
"0.025512,0.028064,0.030583,0.034964,0.042604,0.056410,0.082902", \
"0.030779,0.033447,0.036066,0.040575,0.048359,0.062226,0.088700", \
"0.040614,0.043458,0.046241,0.050988,0.059089,0.073286,0.099897", \
"0.057595,0.060767,0.063884,0.069163,0.078049,0.093308,0.120735");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.012335,0.014957,0.017711,0.022949,0.033241,0.053740,0.094667", \
"0.013379,0.015999,0.018747,0.023979,0.034267,0.054768,0.095698", \
"0.014915,0.017506,0.020226,0.025418,0.035671,0.056153,0.097081", \
"0.017064,0.019703,0.022415,0.027550,0.037705,0.058108,0.098989", \
"0.018804,0.021616,0.024419,0.029517,0.039540,0.059804,0.100571", \
"0.019028,0.022093,0.025187,0.030507,0.040428,0.060462,0.101022", \
"0.015262,0.018710,0.022157,0.028149,0.038397,0.058345,0.098702");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.004135,0.005321,0.006650,0.009255,0.014406,0.025005,0.047272", \
"0.004137,0.005321,0.006652,0.009256,0.014406,0.025006,0.047272", \
"0.004138,0.005325,0.006656,0.009260,0.014409,0.025006,0.047272", \
"0.004308,0.005450,0.006754,0.009329,0.014451,0.025024,0.047274", \
"0.004935,0.006080,0.007351,0.009850,0.014827,0.025176,0.047300", \
"0.006010,0.007160,0.008414,0.010858,0.015726,0.025816,0.047530", \
"0.008143,0.009367,0.010670,0.013140,0.018028,0.027911,0.048723");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("0.002986,0.004785,0.006942,0.011484,0.020921,0.039999,0.078214", \
"0.002988,0.004784,0.006943,0.011484,0.020920,0.039992,0.078208", \
"0.003006,0.004803,0.006957,0.011490,0.020923,0.039996,0.078208", \
"0.003396,0.005084,0.007139,0.011569,0.020934,0.039998,0.078202", \
"0.004147,0.005771,0.007641,0.011809,0.021044,0.040044,0.078207", \
"0.005515,0.007079,0.008911,0.012640,0.021374,0.040210,0.078285", \
"0.007953,0.009362,0.011214,0.014826,0.022631,0.040958,0.078704");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("5.360395,5.459826,5.555074,5.745805,5.911881,5.964795,5.967696", \
"5.308229,5.391892,5.517922,5.696301,5.857289,5.915845,5.924062", \
"5.453143,5.544364,5.618661,5.806398,5.971275,6.027295,6.031282", \
"5.982959,6.036440,6.069055,6.228597,6.357491,6.395238,6.387112", \
"7.182149,7.185694,7.189120,7.243901,7.324824,7.308599,7.266376", \
"9.659103,9.550426,9.448977,9.365904,9.402522,9.387560,9.277364", \
"14.751730,14.623400,14.456430,14.204540,14.055860,13.966480,13.893730");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.240381,3.323391,3.383986,3.448837,3.397347,3.238950,3.106923", \
"3.269841,3.371203,3.426805,3.449123,3.354118,3.213820,3.238081", \
"3.469928,3.509927,3.522664,3.529971,3.455458,3.558910,3.350663", \
"4.051112,4.038915,4.015442,3.893869,3.824164,3.845212,3.317507", \
"5.327135,5.357796,5.281029,5.099056,4.887953,4.490725,4.220031", \
"7.874252,7.855682,7.887032,7.788767,7.632720,7.197846,6.515725", \
"12.544700,12.246240,12.206930,12.407230,12.664890,12.601930,11.666750");
}
}
internal_power () {
related_pin : "EN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("6.115332,6.209632,6.325341,6.517713,6.683221,6.741600,6.742412", \
"6.073629,6.166146,6.254707,6.436823,6.610635,6.661778,6.664775", \
"6.042151,6.116149,6.241456,6.421727,6.589136,6.639704,6.646610", \
"6.289483,6.375426,6.428427,6.598432,6.741833,6.784799,6.779953", \
"7.066139,7.123388,7.163118,7.292632,7.404366,7.415977,7.392841", \
"8.934623,8.962563,8.935506,8.969279,9.120260,9.179119,9.105982", \
"13.160830,13.138590,13.101670,12.996750,13.097450,13.221650,13.260380");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,2.470020,4.940030,9.880060,19.760100,39.520200,79.040500");
values ("3.732055,3.828811,3.857196,3.869226,3.970728,3.713345,4.086234", \
"3.715916,3.809750,3.850539,3.866609,3.863394,3.765744,3.835134", \
"3.879513,3.951074,3.902134,3.967336,3.916511,3.808794,3.429621", \
"4.417009,4.455359,4.414195,4.368714,4.201123,4.256029,4.045942", \
"5.779241,5.769602,5.642433,5.451627,5.254127,5.210932,5.006677", \
"8.555035,8.458357,8.411933,8.231781,7.855397,7.451689,6.926972", \
"13.692650,13.437100,13.356900,13.347820,13.243320,12.838610,12.277590");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X1
Cell Description : Level-shifter cell (LS_HLEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_HLEN_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1584824.281575;
leakage_power () {
when : "!A & !ISOLN";
value : 1570904.021500;
}
leakage_power () {
when : "!A & ISOLN";
value : 1592910.085000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1573662.297300;
}
leakage_power () {
when : "A & ISOLN";
value : 1601820.722500;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.516738;
fall_capacitance : 0.476108;
rise_capacitance : 0.557368;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.384159,0.384864,0.387646,0.383588,0.386045,0.388286,0.392517");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.175427,-0.171873,-0.171985,-0.172208,-0.172655,-0.173550,-0.175338");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.617270;
fall_capacitance : 0.581866;
rise_capacitance : 0.652674;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.388803,0.364753,0.362415,0.354398,0.353872,0.353806,0.356413");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.216222,-0.212679,-0.212804,-0.213056,-0.213558,-0.214564,-0.216574");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.847300;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.015166,0.016491,0.018643,0.022138,0.027999,0.038283,0.056946", \
"0.016889,0.018215,0.020365,0.023861,0.029724,0.040010,0.058669", \
"0.019583,0.020902,0.023051,0.026552,0.032418,0.042709,0.061378", \
"0.025111,0.026445,0.028607,0.032121,0.037998,0.048289,0.066946", \
"0.034170,0.035662,0.038039,0.041779,0.047828,0.058155,0.076791", \
"0.049086,0.050784,0.053488,0.057651,0.064091,0.074670,0.093397", \
"0.075134,0.077127,0.080301,0.085186,0.092515,0.103793,0.122836");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.013807,0.015606,0.018706,0.024123,0.033828,0.051473,0.083737", \
"0.014793,0.016583,0.019672,0.025083,0.034788,0.052443,0.084719", \
"0.015884,0.017663,0.020734,0.026128,0.035820,0.053484,0.085765", \
"0.016425,0.018232,0.021349,0.026811,0.036493,0.054134,0.086411", \
"0.015382,0.017226,0.020332,0.025720,0.035426,0.053172,0.085409", \
"0.010407,0.012481,0.015787,0.021243,0.030857,0.048429,0.080775", \
"-0.003622,-0.001156,0.002794,0.008862,0.018642,0.036314,0.068517");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.003559,0.004283,0.005568,0.007925,0.012376,0.020902,0.036957", \
"0.003560,0.004284,0.005572,0.007926,0.012376,0.020903,0.036957", \
"0.003576,0.004297,0.005582,0.007931,0.012378,0.020903,0.036957", \
"0.003792,0.004472,0.005709,0.008009,0.012415,0.020912,0.036959", \
"0.004654,0.005318,0.006488,0.008629,0.012779,0.021019,0.036968", \
"0.005923,0.006611,0.007790,0.009831,0.013688,0.021554,0.037181", \
"0.008011,0.008773,0.010053,0.012174,0.015842,0.023028,0.037874");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.004792,0.006114,0.008597,0.013306,0.022188,0.038652,0.068848", \
"0.004792,0.006122,0.008601,0.013306,0.022189,0.038648,0.068878", \
"0.004878,0.006183,0.008642,0.013324,0.022192,0.038649,0.068848", \
"0.005121,0.006430,0.008906,0.013513,0.022236,0.038651,0.068869", \
"0.005580,0.006760,0.009070,0.013636,0.022498,0.038825,0.068860", \
"0.006778,0.007858,0.009870,0.014032,0.022557,0.038930,0.069130", \
"0.008807,0.010073,0.012104,0.015729,0.023514,0.039431,0.069311");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.017470,0.018870,0.021113,0.024711,0.030663,0.041010,0.059707", \
"0.019302,0.020697,0.022941,0.026541,0.032494,0.042841,0.061542", \
"0.022025,0.023420,0.025661,0.029261,0.035216,0.045568,0.064263", \
"0.027770,0.029160,0.031398,0.034998,0.040956,0.051308,0.070006", \
"0.037825,0.039359,0.041774,0.045544,0.051599,0.061961,0.080636", \
"0.054105,0.055859,0.058610,0.062796,0.069221,0.079803,0.098536", \
"0.082473,0.084510,0.087722,0.092581,0.099721,0.110828,0.129709");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.014736,0.016536,0.019638,0.025056,0.034760,0.052410,0.084674", \
"0.015484,0.017278,0.020373,0.025790,0.035497,0.053150,0.085427", \
"0.016076,0.017864,0.020948,0.026355,0.036060,0.053719,0.086003", \
"0.016335,0.018146,0.021259,0.026698,0.036394,0.054050,0.086328", \
"0.014962,0.016824,0.019964,0.025378,0.035073,0.052766,0.085023", \
"0.009327,0.011399,0.014738,0.020263,0.029945,0.047539,0.079830", \
"-0.006216,-0.003760,0.0001674,0.006270,0.016259,0.034032,0.066322");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.003914,0.004617,0.005864,0.008165,0.012550,0.021009,0.037019", \
"0.003913,0.004615,0.005866,0.008166,0.012551,0.021008,0.037020", \
"0.003922,0.004624,0.005871,0.008172,0.012552,0.021009,0.037019", \
"0.004014,0.004705,0.005934,0.008211,0.012572,0.021015,0.037023", \
"0.004854,0.005490,0.006616,0.008704,0.012824,0.021090,0.037033", \
"0.006156,0.006826,0.007943,0.009897,0.013703,0.021571,0.037201", \
"0.008116,0.008862,0.010060,0.012012,0.015489,0.022639,0.037672");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.004792,0.006115,0.008600,0.013306,0.022186,0.038644,0.068848", \
"0.004794,0.006117,0.008603,0.013308,0.022185,0.038648,0.068874", \
"0.004843,0.006156,0.008623,0.013315,0.022188,0.038651,0.068843", \
"0.005053,0.006351,0.008802,0.013428,0.022214,0.038647,0.068849", \
"0.005480,0.006695,0.009020,0.013562,0.022356,0.038725,0.068861", \
"0.006534,0.007673,0.009790,0.014018,0.022518,0.038812,0.068983", \
"0.008391,0.009679,0.011781,0.015593,0.023548,0.039454,0.069236");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.515739,1.560066,1.620028,1.659552,1.678545,1.684807,1.688066", \
"1.449787,1.508677,1.561317,1.603356,1.625665,1.630714,1.634812", \
"1.451988,1.490730,1.551265,1.592944,1.615125,1.622083,1.626389", \
"1.481703,1.529798,1.590636,1.628538,1.650518,1.659440,1.662526", \
"1.554626,1.615522,1.689011,1.755810,1.781500,1.787495,1.792362", \
"1.789015,1.842348,1.921888,2.006275,2.071424,2.115816,2.116713", \
"2.438826,2.473290,2.534201,2.650585,2.753547,2.822592,2.877479");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.701852,0.714684,0.732183,0.730649,0.743482,0.752041,0.613211", \
"0.680571,0.683469,0.708468,0.718399,0.702066,0.661839,0.736929", \
"0.681715,0.683713,0.698029,0.708303,0.698769,0.713066,0.673813", \
"0.716542,0.719108,0.733489,0.738056,0.732582,0.724375,0.622540", \
"0.868291,0.867884,0.851333,0.835069,0.840769,0.811491,0.824314", \
"1.205656,1.233007,1.227911,1.213977,1.183005,1.145193,1.093068", \
"1.839384,1.881373,1.935746,2.003533,2.008426,1.986554,1.910633");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("1.804079,1.867616,1.932495,1.987233,2.019137,2.035992,2.046485", \
"1.770204,1.825501,1.896302,1.949595,1.980256,1.995662,2.005608", \
"1.762248,1.817621,1.886361,1.944929,1.976394,1.993579,2.002117", \
"1.804937,1.858652,1.931887,1.982435,2.013898,2.028621,2.039225", \
"1.903750,1.968646,2.055187,2.110477,2.141659,2.156688,2.168383", \
"2.143059,2.194951,2.288545,2.377898,2.443990,2.480515,2.488068", \
"2.812436,2.856157,2.936067,3.036670,3.122489,3.175428,3.227084");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670011,1.227830,2.250070,4.123370,7.556290,13.847300");
values ("0.701985,0.719173,0.726948,0.735511,0.744489,0.702335,0.744865", \
"0.680967,0.698644,0.707784,0.726142,0.727765,0.703629,0.716440", \
"0.664976,0.686892,0.685034,0.702259,0.700009,0.676636,0.660295", \
"0.683829,0.679924,0.690521,0.685384,0.690238,0.674758,0.598550", \
"0.783948,0.787392,0.786866,0.766905,0.769582,0.717985,0.766347", \
"1.070898,1.081974,1.073922,1.075678,1.042833,0.981648,0.998084", \
"1.619715,1.667508,1.722075,1.774927,1.766539,1.769053,1.717350");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X2
Cell Description : Level-shifter cell (LS_HLEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_HLEN_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1591838.129325;
leakage_power () {
when : "!A & !ISOLN";
value : 1578330.850000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1600338.940000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1581090.942300;
}
leakage_power () {
when : "A & ISOLN";
value : 1607591.785000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.558774;
fall_capacitance : 0.521584;
rise_capacitance : 0.595964;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.384550,0.384643,0.387324,0.383440,0.386042,0.388213,0.392652");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.188518,-0.186571,-0.187079,-0.187209,-0.186816,-0.185855,-0.185493");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.658525;
fall_capacitance : 0.625050;
rise_capacitance : 0.692000;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.388884,0.364765,0.362291,0.354333,0.353803,0.353849,0.356502");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.299177,-0.296290,-0.296822,-0.297507,-0.297671,-0.297587,-0.297587");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.915400;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.016854,0.018311,0.020412,0.023971,0.030069,0.041140,0.062591", \
"0.018599,0.020052,0.022151,0.025709,0.031808,0.042880,0.064332", \
"0.021235,0.022683,0.024776,0.028331,0.034431,0.045507,0.066963", \
"0.026925,0.028357,0.030436,0.033984,0.040084,0.051162,0.072617", \
"0.036898,0.038452,0.040689,0.044429,0.050673,0.061777,0.083204", \
"0.052873,0.054625,0.057144,0.061329,0.068111,0.079598,0.101099", \
"0.080294,0.082281,0.085176,0.089998,0.097735,0.110151,0.132031");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.014011,0.015808,0.018519,0.023446,0.032697,0.050784,0.086782", \
"0.015018,0.016812,0.019516,0.024434,0.033684,0.051772,0.087783", \
"0.016338,0.018116,0.020798,0.025689,0.034916,0.053004,0.089012", \
"0.017554,0.019369,0.022092,0.027033,0.036240,0.054286,0.090288", \
"0.017294,0.019194,0.021960,0.026879,0.036101,0.054225,0.090161", \
"0.013205,0.015332,0.018394,0.023513,0.032677,0.050590,0.086591", \
"0.0004276,0.002815,0.006350,0.012284,0.021941,0.039840,0.075588");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.003627,0.004308,0.005392,0.007468,0.011611,0.020297,0.038528", \
"0.003630,0.004312,0.005394,0.007469,0.011612,0.020298,0.038526", \
"0.003633,0.004316,0.005401,0.007474,0.011613,0.020298,0.038528", \
"0.003724,0.004397,0.005472,0.007525,0.011642,0.020308,0.038529", \
"0.004727,0.005340,0.006313,0.008189,0.012015,0.020425,0.038542", \
"0.006246,0.006879,0.007865,0.009701,0.013292,0.021171,0.038748", \
"0.008622,0.009287,0.010336,0.012250,0.015755,0.022936,0.039533");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.004014,0.005179,0.007097,0.010988,0.019090,0.035793,0.069464", \
"0.004014,0.005180,0.007099,0.010988,0.019089,0.035795,0.069479", \
"0.004050,0.005213,0.007127,0.011006,0.019100,0.035797,0.069478", \
"0.004365,0.005509,0.007415,0.011213,0.019161,0.035801,0.069473", \
"0.005035,0.006067,0.007795,0.011470,0.019443,0.035980,0.069466", \
"0.006297,0.007362,0.008963,0.012189,0.019648,0.036105,0.069742", \
"0.008464,0.009590,0.011383,0.014469,0.020963,0.036593,0.069872");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.018994,0.020531,0.022729,0.026406,0.032625,0.043790,0.065293", \
"0.020847,0.022384,0.024580,0.028258,0.034478,0.045643,0.067145", \
"0.023541,0.025071,0.027265,0.030939,0.037160,0.048328,0.069831", \
"0.029310,0.030829,0.033006,0.036673,0.042891,0.054060,0.075569", \
"0.040075,0.041685,0.043977,0.047763,0.054048,0.065224,0.086705", \
"0.057285,0.059097,0.061703,0.065967,0.072783,0.084293,0.105810", \
"0.086742,0.088812,0.091782,0.096684,0.104401,0.116678,0.138459");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.014941,0.016738,0.019450,0.024377,0.033628,0.051715,0.087718", \
"0.015714,0.017511,0.020217,0.025141,0.034393,0.052483,0.088483", \
"0.016407,0.018196,0.020890,0.025798,0.035039,0.053132,0.089137", \
"0.017013,0.018836,0.021564,0.026505,0.035739,0.053813,0.089822", \
"0.016241,0.018136,0.020922,0.025886,0.035131,0.053229,0.089200", \
"0.011372,0.013475,0.016502,0.021660,0.030936,0.048915,0.084889", \
"-0.003036,-0.0006575,0.002848,0.008710,0.018476,0.036622,0.072517");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.004013,0.004690,0.005749,0.007771,0.011841,0.020440,0.038601", \
"0.004012,0.004689,0.005749,0.007772,0.011841,0.020441,0.038602", \
"0.004016,0.004692,0.005755,0.007776,0.011844,0.020441,0.038602", \
"0.004048,0.004730,0.005788,0.007805,0.011860,0.020447,0.038602", \
"0.004897,0.005512,0.006460,0.008283,0.012108,0.020531,0.038615", \
"0.006433,0.007077,0.008059,0.009836,0.013349,0.021194,0.038779", \
"0.008651,0.009351,0.010405,0.012262,0.015603,0.022696,0.039423");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("0.004013,0.005179,0.007097,0.010986,0.019089,0.035794,0.069481", \
"0.004015,0.005181,0.007099,0.010987,0.019089,0.035794,0.069470", \
"0.004039,0.005201,0.007114,0.010998,0.019095,0.035793,0.069458", \
"0.004258,0.005413,0.007312,0.011137,0.019134,0.035807,0.069466", \
"0.004750,0.005849,0.007652,0.011372,0.019317,0.035892,0.069481", \
"0.005818,0.006919,0.008609,0.012029,0.019594,0.035995,0.069609", \
"0.007682,0.008878,0.010709,0.013954,0.020834,0.036629,0.069799");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.863567,1.942400,2.032096,2.147022,2.215803,2.247853,2.262345", \
"1.803207,1.888680,1.982441,2.096768,2.167782,2.197919,2.212475", \
"1.803826,1.868975,1.961069,2.077425,2.151523,2.181276,2.197549", \
"1.836928,1.911573,1.986049,2.097764,2.173420,2.204268,2.221843", \
"1.978691,2.040984,2.122692,2.226787,2.295346,2.324871,2.333457", \
"2.313879,2.332065,2.376589,2.470352,2.586411,2.637889,2.639117", \
"3.123080,3.115126,3.106478,3.150550,3.255699,3.330773,3.378117");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.107546,1.132611,1.178378,1.224697,1.241194,1.220267,1.137145", \
"1.102341,1.139448,1.146910,1.179061,1.220310,1.218357,1.085162", \
"1.105911,1.134462,1.159002,1.168521,1.171544,1.225282,1.174853", \
"1.162868,1.191723,1.200118,1.207157,1.191689,1.240042,1.075423", \
"1.324879,1.350211,1.341201,1.340373,1.294283,1.333487,1.235247", \
"1.715487,1.743938,1.727871,1.721987,1.679371,1.493105,1.461003", \
"2.502129,2.516405,2.512048,2.563167,2.520544,2.483483,2.364164");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("2.164971,2.228666,2.339557,2.461534,2.547950,2.589987,2.608739", \
"2.121381,2.202831,2.303032,2.419695,2.512022,2.553018,2.574389", \
"2.122677,2.196506,2.296418,2.410838,2.504075,2.544554,2.568031", \
"2.165956,2.237237,2.327776,2.446210,2.530575,2.573480,2.593672", \
"2.329614,2.385916,2.480959,2.583855,2.658468,2.693529,2.712433", \
"2.656388,2.696718,2.750030,2.857912,2.965179,3.013633,3.027249", \
"3.481321,3.492547,3.510998,3.561268,3.650726,3.715124,3.761627");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.903606,1.807210,3.614420,7.228850,14.457700,28.915400");
values ("1.113463,1.157974,1.184450,1.208261,1.243400,1.219050,1.063856", \
"1.079720,1.131031,1.154188,1.194897,1.223567,1.205769,1.266943", \
"1.101312,1.128206,1.138862,1.177587,1.213036,1.216783,1.164412", \
"1.109531,1.148961,1.170541,1.164098,1.202033,1.156503,1.107375", \
"1.240971,1.264313,1.266620,1.256108,1.229250,1.242756,1.237438", \
"1.524985,1.543427,1.579345,1.576394,1.499821,1.496889,1.359261", \
"2.177777,2.203110,2.241544,2.275464,2.283066,2.243328,2.115101");
}
}
}
}
/******************************************************************************************
Module : LS_HLEN_X4
Cell Description : Level-shifter cell (LS_HLEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_HLEN_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.330000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1620256.127250;
leakage_power () {
when : "!A & !ISOLN";
value : 1594081.900000;
}
leakage_power () {
when : "!A & ISOLN";
value : 1636805.765000;
}
leakage_power () {
when : "A & !ISOLN";
value : 1599439.694000;
}
leakage_power () {
when : "A & ISOLN";
value : 1650697.150000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.947591;
fall_capacitance : 0.870728;
rise_capacitance : 1.024455;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.747647,0.747397,0.750468,0.746637,0.749485,0.751889,0.755436");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.386153,-0.384383,-0.385041,-0.385237,-0.385800,-0.384802,-0.383451");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 1.110523;
fall_capacitance : 1.042435;
rise_capacitance : 1.178611;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.759331,0.708382,0.701524,0.690047,0.686925,0.684618,0.685384");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("-0.594684,-0.592048,-0.593038,-0.594083,-0.594452,-0.594536,-0.594243");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "(A & ISOLN)";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.015741,0.017667,0.019697,0.023156,0.029142,0.040122,0.061506", \
"0.017487,0.019407,0.021435,0.024894,0.030881,0.041863,0.063247", \
"0.020139,0.022051,0.024073,0.027529,0.033518,0.044503,0.065893", \
"0.025823,0.027710,0.029718,0.033168,0.039157,0.050142,0.071529", \
"0.035524,0.037589,0.039765,0.043414,0.049560,0.060567,0.081918", \
"0.051189,0.053511,0.055958,0.060034,0.066675,0.078028,0.099441", \
"0.078220,0.080863,0.083678,0.088391,0.095955,0.108195,0.129956");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.013117,0.015535,0.018219,0.023126,0.032378,0.050493,0.086549", \
"0.014121,0.016533,0.019209,0.024106,0.033355,0.051478,0.087538", \
"0.015408,0.017797,0.020451,0.025320,0.034549,0.052667,0.088733", \
"0.016455,0.018889,0.021580,0.026508,0.035719,0.053798,0.089855", \
"0.016006,0.018554,0.021271,0.026154,0.035370,0.053538,0.089536", \
"0.011692,0.014531,0.017529,0.022578,0.031710,0.049655,0.085729", \
"-0.001298,0.001872,0.005344,0.011188,0.020753,0.038677,0.074488");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003343,0.004241,0.005307,0.007368,0.011525,0.020257,0.038505", \
"0.003345,0.004241,0.005309,0.007370,0.011525,0.020257,0.038505", \
"0.003348,0.004249,0.005315,0.007375,0.011528,0.020257,0.038505", \
"0.003482,0.004356,0.005403,0.007437,0.011560,0.020267,0.038505", \
"0.004537,0.005334,0.006281,0.008133,0.011957,0.020385,0.038517", \
"0.006063,0.006875,0.007829,0.009621,0.013184,0.021098,0.038724", \
"0.008482,0.009331,0.010338,0.012203,0.015645,0.022827,0.039489");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003660,0.005231,0.007157,0.011078,0.019230,0.035987,0.069713", \
"0.003662,0.005233,0.007161,0.011081,0.019230,0.035986,0.069714", \
"0.003713,0.005275,0.007194,0.011101,0.019236,0.035984,0.069715", \
"0.004034,0.005565,0.007485,0.011317,0.019300,0.035994,0.069709", \
"0.004730,0.006103,0.007831,0.011542,0.019578,0.036182,0.069727", \
"0.006004,0.007413,0.008983,0.012227,0.019765,0.036293,0.069997", \
"0.008240,0.009692,0.011440,0.014483,0.021040,0.036800,0.070133");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.017869,0.019903,0.022024,0.025597,0.031697,0.042761,0.064188", \
"0.019716,0.021748,0.023870,0.027443,0.033544,0.044610,0.066039", \
"0.022418,0.024445,0.026562,0.030132,0.036234,0.047301,0.068732", \
"0.028197,0.030202,0.032306,0.035867,0.041966,0.053037,0.074469", \
"0.038749,0.040895,0.043124,0.046822,0.052996,0.064068,0.085474", \
"0.055656,0.058073,0.060600,0.064750,0.071430,0.082804,0.104231", \
"0.084791,0.087533,0.090430,0.095217,0.102751,0.114835,0.136474");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.014046,0.016464,0.019149,0.024056,0.033310,0.051428,0.087482", \
"0.014801,0.017215,0.019895,0.024799,0.034052,0.052173,0.088228", \
"0.015461,0.017866,0.020533,0.025420,0.034664,0.052785,0.088847", \
"0.015947,0.018396,0.021096,0.026018,0.035256,0.053361,0.089426", \
"0.014985,0.017531,0.020280,0.025211,0.034451,0.052587,0.088618", \
"0.009852,0.012676,0.015656,0.020764,0.030021,0.048031,0.084066", \
"-0.004860,-0.001673,0.001783,0.007575,0.017299,0.035475,0.071436");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003727,0.004618,0.005654,0.007662,0.011743,0.020389,0.038574", \
"0.003729,0.004617,0.005656,0.007662,0.011743,0.020390,0.038574", \
"0.003729,0.004623,0.005659,0.007666,0.011745,0.020390,0.038573", \
"0.003775,0.004669,0.005703,0.007699,0.011763,0.020396,0.038575", \
"0.004698,0.005500,0.006425,0.008220,0.012029,0.020482,0.038586", \
"0.006227,0.007063,0.008012,0.009739,0.013225,0.021118,0.038750", \
"0.008465,0.009361,0.010378,0.012170,0.015434,0.022538,0.039355");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003661,0.005231,0.007156,0.011077,0.019228,0.035983,0.069703", \
"0.003659,0.005232,0.007160,0.011080,0.019228,0.035988,0.069716", \
"0.003695,0.005258,0.007180,0.011091,0.019235,0.035982,0.069711", \
"0.003921,0.005472,0.007380,0.011234,0.019275,0.035985,0.069710", \
"0.004435,0.005902,0.007708,0.011453,0.019452,0.036092,0.069724", \
"0.005519,0.006983,0.008658,0.012093,0.019717,0.036190,0.069864", \
"0.007415,0.008984,0.010784,0.014008,0.020945,0.036831,0.070056");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("3.469561,3.662376,3.806528,4.006834,4.119248,4.174578,4.193376", \
"3.363475,3.552218,3.704014,3.896586,4.028525,4.075406,4.097669", \
"3.337111,3.520075,3.685835,3.865291,3.994997,4.046752,4.069671", \
"3.457884,3.602580,3.742929,3.924006,4.037637,4.095654,4.121305", \
"3.724817,3.857465,4.007978,4.175268,4.282372,4.330829,4.350605", \
"4.408758,4.439070,4.503071,4.672467,4.849578,4.949778,4.955404", \
"6.008790,5.991016,5.979487,6.027481,6.190570,6.313545,6.402662");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.140241,2.254078,2.335772,2.372392,2.406726,2.458260,2.355518", \
"2.106191,2.235684,2.257048,2.373954,2.358805,2.430129,2.511726", \
"2.172913,2.251094,2.289441,2.359506,2.364820,2.421024,2.475095", \
"2.234993,2.335396,2.349919,2.411874,2.427534,2.424925,2.277989", \
"2.618422,2.675994,2.674637,2.618607,2.645356,2.578047,2.485249", \
"3.365884,3.422723,3.436407,3.400300,3.260697,3.082335,3.005705", \
"4.929167,4.937471,5.004278,5.005452,4.983109,4.875918,4.718401");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("4.043624,4.235809,4.415620,4.609026,4.747426,4.820118,4.859764", \
"3.987456,4.169938,4.328614,4.542775,4.683099,4.754132,4.791129", \
"3.965126,4.149036,4.307437,4.519589,4.671992,4.741465,4.780192", \
"4.061660,4.223060,4.399533,4.584941,4.726495,4.798229,4.841244", \
"4.391402,4.545493,4.685472,4.849455,4.978622,5.041890,5.080667", \
"5.082653,5.145981,5.225887,5.384771,5.587019,5.666522,5.685466", \
"6.700219,6.715305,6.723877,6.799883,6.940353,7.038983,7.124101");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.124682,2.254302,2.347829,2.346648,2.396272,2.452559,2.540452", \
"2.132089,2.218266,2.279703,2.368938,2.441996,2.468339,2.470806", \
"2.117836,2.218578,2.266478,2.298235,2.298042,2.357059,2.272862", \
"2.174104,2.228926,2.283261,2.347364,2.381537,2.403923,2.155344", \
"2.396773,2.486116,2.507407,2.462796,2.488558,2.449834,2.459996", \
"2.984817,3.077292,3.119619,3.099933,2.968088,2.742092,2.876664", \
"4.302194,4.350491,4.442937,4.488404,4.462531,4.315732,4.204407");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X1
Cell Description : Level-shifter cell (LS_HL_X1) with drive strength X1
*******************************************************************************************/
cell (LS_HL_X1) {
drive_strength : 1;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1578799.782500;
leakage_power () {
when : "!A";
value : 1575127.615000;
}
leakage_power () {
when : "A";
value : 1582471.950000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.599095;
fall_capacitance : 0.538650;
rise_capacitance : 0.659540;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 13.923600;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.013319,0.014591,0.016658,0.020056,0.025844,0.036137,0.054914", \
"0.015157,0.016425,0.018493,0.021893,0.027683,0.037979,0.056761", \
"0.017986,0.019250,0.021317,0.024720,0.030514,0.040814,0.059597", \
"0.023442,0.024744,0.026844,0.030269,0.036071,0.046366,0.065146", \
"0.032164,0.033618,0.035934,0.039582,0.045549,0.055884,0.074622", \
"0.046837,0.048505,0.051129,0.055174,0.061470,0.071982,0.090805", \
"0.072982,0.074935,0.078037,0.082794,0.089955,0.101099,0.120187");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.010464,0.012133,0.015106,0.020461,0.030179,0.047914,0.080380", \
"0.011536,0.013185,0.016144,0.021496,0.031230,0.048983,0.081469", \
"0.012294,0.013938,0.016872,0.022204,0.031930,0.049687,0.082186", \
"0.012268,0.013908,0.016831,0.022162,0.031876,0.049626,0.082119", \
"0.010406,0.012102,0.015015,0.020256,0.029915,0.047689,0.080157", \
"0.004057,0.006047,0.009194,0.014466,0.024043,0.041677,0.074139", \
"-0.012345,-0.009925,-0.006075,-0.0001794,0.009533,0.027236,0.059637");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.003251,0.003963,0.005247,0.007633,0.012176,0.020850,0.037063", \
"0.003254,0.003968,0.005252,0.007636,0.012177,0.020850,0.037065", \
"0.003276,0.003985,0.005264,0.007642,0.012180,0.020850,0.037062", \
"0.003599,0.004246,0.005442,0.007742,0.012220,0.020859,0.037065", \
"0.004398,0.005047,0.006197,0.008336,0.012578,0.020965,0.037070", \
"0.005587,0.006262,0.007411,0.009434,0.013343,0.021399,0.037273", \
"0.007593,0.008337,0.009587,0.011670,0.015351,0.022720,0.037885");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.004042,0.005439,0.008072,0.012973,0.022011,0.038583,0.068996", \
"0.004056,0.005448,0.008074,0.012968,0.022004,0.038585,0.068995", \
"0.004181,0.005530,0.008097,0.012975,0.022006,0.038583,0.068994", \
"0.004380,0.005669,0.008215,0.013070,0.022041,0.038581,0.068990", \
"0.004961,0.006060,0.008384,0.013109,0.022130,0.038666,0.069012", \
"0.006248,0.007258,0.009206,0.013476,0.022242,0.038743,0.069118", \
"0.008286,0.009529,0.011505,0.015094,0.023074,0.039278,0.069333");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("1.348919,1.397631,1.440693,1.471231,1.486810,1.490381,1.492935", \
"1.303788,1.350158,1.396583,1.429151,1.443480,1.452228,1.451499", \
"1.316158,1.362649,1.403865,1.435343,1.452427,1.457951,1.461985", \
"1.365879,1.414385,1.457478,1.489754,1.505076,1.513685,1.516586", \
"1.440059,1.489389,1.568914,1.631529,1.651354,1.656103,1.659367", \
"1.699623,1.749959,1.823347,1.905226,1.958792,2.008083,2.008842", \
"2.380733,2.420318,2.487983,2.586899,2.680457,2.752721,2.807890");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.670625,1.230080,2.256260,4.138500,7.590970,13.923600");
values ("0.580658,0.597629,0.613611,0.619265,0.622949,0.561153,0.590510", \
"0.569892,0.564037,0.570129,0.597125,0.575041,0.568376,0.544533", \
"0.567270,0.573473,0.583033,0.577977,0.572895,0.612026,0.494271", \
"0.612339,0.606829,0.600826,0.607816,0.601824,0.555919,0.641124", \
"0.788627,0.787658,0.764651,0.758609,0.742034,0.686375,0.733731", \
"1.164971,1.174273,1.179836,1.178351,1.130456,1.085392,1.103439", \
"1.783371,1.839160,1.907048,1.964747,2.026752,2.002728,1.984165");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X2
Cell Description : Level-shifter cell (LS_HL_X2) with drive strength X2
*******************************************************************************************/
cell (LS_HL_X2) {
drive_strength : 2;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 0.798000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1585398.140000;
leakage_power () {
when : "!A";
value : 1582556.260000;
}
leakage_power () {
when : "A";
value : 1588240.020000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.593522;
fall_capacitance : 0.541163;
rise_capacitance : 0.645880;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 28.991700;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.015349,0.016748,0.018767,0.022205,0.028168,0.039159,0.060643", \
"0.017170,0.018563,0.020579,0.024016,0.029980,0.040973,0.062455", \
"0.019944,0.021331,0.023342,0.026776,0.032742,0.043739,0.065223", \
"0.025721,0.027096,0.029095,0.032523,0.038489,0.049484,0.070967", \
"0.035454,0.036965,0.039141,0.042782,0.048911,0.059926,0.081369", \
"0.051233,0.052931,0.055376,0.059437,0.066049,0.077387,0.098881", \
"0.078741,0.080673,0.083473,0.088157,0.095673,0.107847,0.129630");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.010659,0.012227,0.014676,0.019344,0.028470,0.046562,0.082644", \
"0.011817,0.013369,0.015800,0.020455,0.029581,0.047688,0.083773", \
"0.013001,0.014544,0.016949,0.021566,0.030673,0.048777,0.084870", \
"0.013641,0.015237,0.017658,0.022270,0.031339,0.049406,0.085499", \
"0.012459,0.014216,0.016736,0.021313,0.030289,0.048341,0.084374", \
"0.006930,0.008965,0.011860,0.016674,0.025559,0.043406,0.079388", \
"-0.008210,-0.005896,-0.002473,0.003235,0.012571,0.030356,0.066152");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.003454,0.004119,0.005185,0.007249,0.011432,0.020243,0.038618", \
"0.003454,0.004121,0.005187,0.007250,0.011432,0.020243,0.038618", \
"0.003461,0.004130,0.005195,0.007257,0.011435,0.020243,0.038618", \
"0.003615,0.004256,0.005294,0.007325,0.011470,0.020254,0.038618", \
"0.004640,0.005229,0.006181,0.008030,0.011871,0.020367,0.038629", \
"0.006096,0.006706,0.007661,0.009457,0.013038,0.021032,0.038819", \
"0.008374,0.009013,0.010024,0.011900,0.015354,0.022619,0.039500");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("0.003288,0.004415,0.006374,0.010462,0.018848,0.035738,0.069512", \
"0.003293,0.004420,0.006379,0.010465,0.018848,0.035735,0.069517", \
"0.003426,0.004509,0.006425,0.010477,0.018854,0.035728,0.069514", \
"0.003829,0.004825,0.006647,0.010611,0.018887,0.035727,0.069515", \
"0.004620,0.005524,0.007103,0.010783,0.018994,0.035811,0.069517", \
"0.005909,0.006917,0.008390,0.011519,0.019189,0.035883,0.069628", \
"0.008043,0.009156,0.010889,0.013848,0.020385,0.036370,0.069794");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("1.721321,1.793596,1.874127,1.977938,2.040807,2.064434,2.078254", \
"1.680427,1.741656,1.829827,1.931079,1.997004,2.022222,2.031456", \
"1.681738,1.750945,1.830529,1.926206,1.993477,2.020973,2.034727", \
"1.744727,1.805578,1.878271,1.977947,2.035233,2.064107,2.077795", \
"1.882009,1.928191,2.025644,2.118665,2.175361,2.197025,2.211911", \
"2.220037,2.242551,2.293248,2.377516,2.478449,2.530414,2.533367", \
"3.062345,3.048170,3.049393,3.085899,3.179072,3.249835,3.300837");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700");
values ("1.061364,1.093717,1.111841,1.125642,1.173117,1.106557,1.005481", \
"1.041600,1.079403,1.102964,1.115720,1.130801,1.064731,1.157432", \
"1.066180,1.084848,1.083589,1.117280,1.099435,1.113748,1.032045", \
"1.129216,1.132342,1.118584,1.133465,1.150551,1.095493,0.995833", \
"1.283883,1.312571,1.307469,1.283099,1.228099,1.247805,1.221789", \
"1.665194,1.707251,1.717519,1.703023,1.632532,1.521612,1.493188", \
"2.434080,2.416930,2.509395,2.523561,2.556359,2.499123,2.441020");
}
}
}
}
/******************************************************************************************
Module : LS_HL_X4
Cell Description : Level-shifter cell (LS_HL_X4) with drive strength X4
*******************************************************************************************/
cell (LS_HL_X4) {
drive_strength : 4;
input_voltage_range (1.10 , 1.30);
is_level_shifter : true;
level_shifter_type : HL;
output_voltage_range (0.70 , 0.90);
area : 1.064000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 1599347.367500;
leakage_power () {
when : "!A";
value : 1597622.290000;
}
leakage_power () {
when : "A";
value : 1601072.445000;
}
pin (A) {
direction : input;
level_shifter_data_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.641048;
fall_capacitance : 0.589521;
rise_capacitance : 0.692576;
}
pin (Z) {
direction : output;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
max_capacitance : 57.678200;
function : "A";
power_down_function : "(!VDDL | VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.019320,0.021408,0.023632,0.027415,0.033831,0.045229,0.066937", \
"0.021157,0.023240,0.025462,0.029243,0.035660,0.047059,0.068769", \
"0.023855,0.025934,0.028151,0.031928,0.038345,0.049746,0.071459", \
"0.029649,0.031705,0.033909,0.037673,0.044085,0.055487,0.077200", \
"0.040609,0.042734,0.044998,0.048822,0.055264,0.066656,0.088336", \
"0.057996,0.060340,0.062862,0.067109,0.074062,0.085796,0.107477", \
"0.087289,0.089885,0.092712,0.097517,0.105315,0.117891,0.139947");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.012680,0.014955,0.017508,0.022260,0.031407,0.049487,0.085527", \
"0.013847,0.016116,0.018661,0.023405,0.032551,0.050635,0.086681", \
"0.015322,0.017567,0.020088,0.024801,0.033923,0.052003,0.088050", \
"0.016869,0.019186,0.021727,0.026431,0.035486,0.053516,0.089546", \
"0.016815,0.019341,0.021997,0.026713,0.035707,0.053664,0.089612", \
"0.012813,0.015615,0.018608,0.023604,0.032532,0.050250,0.086095", \
"-0.00007880,0.002993,0.006419,0.012251,0.021715,0.039263,0.074781");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.004469,0.005364,0.006444,0.008527,0.012644,0.021219,0.039379", \
"0.004470,0.005365,0.006444,0.008528,0.012644,0.021220,0.039378", \
"0.004470,0.005368,0.006448,0.008531,0.012646,0.021220,0.039379", \
"0.004496,0.005400,0.006480,0.008559,0.012663,0.021226,0.039380", \
"0.005468,0.006233,0.007173,0.009045,0.012927,0.021327,0.039397", \
"0.007377,0.008130,0.009057,0.010837,0.014395,0.022152,0.039596", \
"0.010127,0.010879,0.011830,0.013639,0.017053,0.024118,0.040522");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("0.003568,0.005068,0.006968,0.010927,0.019186,0.036006,0.069741", \
"0.003571,0.005069,0.006970,0.010928,0.019188,0.036002,0.069735", \
"0.003619,0.005104,0.006997,0.010941,0.019188,0.036008,0.069749", \
"0.004109,0.005478,0.007269,0.011080,0.019215,0.036006,0.069736", \
"0.005023,0.006309,0.007892,0.011422,0.019391,0.036077,0.069744", \
"0.006479,0.007831,0.009353,0.012386,0.019699,0.036179,0.069850", \
"0.009021,0.010343,0.012031,0.015006,0.021184,0.036644,0.069993");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.815799,2.906507,2.992852,3.148278,3.282175,3.349206,3.372981", \
"2.799774,2.863705,2.940532,3.098967,3.233825,3.303623,3.333876", \
"2.766373,2.853899,2.945593,3.085022,3.219351,3.294421,3.325533", \
"2.848981,2.894303,2.984747,3.126094,3.253455,3.323234,3.358795", \
"3.159113,3.171550,3.210333,3.310551,3.413878,3.466981,3.487191", \
"3.754253,3.676826,3.644900,3.688032,3.796148,3.837568,3.833493", \
"4.988901,4.849339,4.729959,4.605652,4.614640,4.649861,4.663732");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.802440,3.604890,7.209770,14.419500,28.839100,57.678200");
values ("2.060139,2.162205,2.187529,2.255180,2.248099,2.351595,2.155067", \
"2.064784,2.139196,2.195690,2.221090,2.238382,2.178530,2.125838", \
"2.103197,2.168026,2.193900,2.242454,2.258685,2.320899,2.236632", \
"2.198225,2.259010,2.243282,2.240859,2.263945,2.234137,2.118825", \
"2.457083,2.487817,2.485774,2.436276,2.431958,2.375634,2.224591", \
"2.986621,2.983469,3.022951,2.966281,2.859665,2.694041,2.535601", \
"4.193596,4.115946,4.046959,4.025460,3.982782,3.621713,3.660837");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X1
Cell Description : Level-shifter cell (LS_LHEN_X1) with enable pin and drive strength X1
*******************************************************************************************/
cell (LS_LHEN_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 61909.904375;
leakage_power () {
when : "!A & !ISOLN";
value : 40701.460000;
}
leakage_power () {
when : "!A & ISOLN";
value : 61101.227000;
}
leakage_power () {
when : "A & !ISOLN";
value : 53507.968000;
}
leakage_power () {
when : "A & ISOLN";
value : 92328.962500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.498001;
fall_capacitance : 0.468422;
rise_capacitance : 0.527579;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.458782,1.444323,1.453007,1.506064,1.650557,1.996049,2.800476");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.821328,0.805911,0.807639,0.844246,0.966991,1.307606,2.123339");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.993162;
fall_capacitance : 0.987542;
rise_capacitance : 0.998782;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.596950,0.577162,0.570605,0.565317,0.561168,0.558064,0.555663");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.351483,0.367341,0.385649,0.427535,0.491595,0.581704,0.708930");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 21.514900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.100030,0.102049,0.105706,0.112458,0.125441,0.150969,0.201314", \
"0.101620,0.103638,0.107296,0.114048,0.127030,0.152561,0.202901", \
"0.103863,0.105883,0.109540,0.116291,0.129271,0.154800,0.205146", \
"0.108173,0.110192,0.113849,0.120601,0.133584,0.159112,0.209457", \
"0.115827,0.117847,0.121503,0.128255,0.141237,0.166767,0.217111", \
"0.127581,0.129602,0.133256,0.140069,0.153050,0.178576,0.228922", \
"0.146313,0.148334,0.151992,0.158718,0.171683,0.197207,0.247555");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.111376,0.113110,0.116198,0.121760,0.132207,0.152454,0.192664", \
"0.112572,0.114305,0.117392,0.122955,0.133402,0.153786,0.193987", \
"0.113970,0.115704,0.118791,0.124353,0.134800,0.155188,0.195386", \
"0.116591,0.118323,0.121411,0.126973,0.137421,0.157804,0.198011", \
"0.119976,0.121708,0.124796,0.130358,0.140806,0.161193,0.201397", \
"0.124094,0.125825,0.128914,0.134454,0.144901,0.165289,0.205492", \
"0.128523,0.130255,0.133343,0.138772,0.149133,0.169513,0.209712");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.004700,0.005956,0.008472,0.013713,0.024631,0.046617,0.090089", \
"0.004700,0.005957,0.008472,0.013713,0.024631,0.046614,0.090092", \
"0.004700,0.005958,0.008471,0.013713,0.024631,0.046614,0.090094", \
"0.004700,0.005954,0.008472,0.013714,0.024631,0.046617,0.090089", \
"0.004699,0.005959,0.008470,0.013713,0.024631,0.046617,0.090089", \
"0.004699,0.005954,0.008472,0.013714,0.024633,0.046615,0.090095", \
"0.004699,0.005957,0.008472,0.013714,0.024629,0.046613,0.090093");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.004451,0.005588,0.007798,0.012270,0.021564,0.040470,0.078042", \
"0.004449,0.005588,0.007798,0.012270,0.021560,0.040484,0.078038", \
"0.004452,0.005588,0.007798,0.012270,0.021561,0.040480,0.078039", \
"0.004450,0.005588,0.007798,0.012271,0.021560,0.040484,0.078037", \
"0.004449,0.005589,0.007800,0.012271,0.021563,0.040479,0.078031", \
"0.004452,0.005588,0.007798,0.012272,0.021557,0.040478,0.078037", \
"0.004450,0.005586,0.007800,0.012270,0.021562,0.040471,0.078029");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.014153,0.016141,0.019761,0.026500,0.039501,0.065045,0.115385", \
"0.015506,0.017487,0.021104,0.027846,0.040856,0.066407,0.116751", \
"0.017429,0.019390,0.022988,0.029719,0.042722,0.068271,0.118622", \
"0.020556,0.022569,0.026209,0.032926,0.045894,0.071423,0.121767", \
"0.024883,0.027011,0.030802,0.037643,0.050635,0.076098,0.126401", \
"0.030936,0.033288,0.037425,0.044653,0.057828,0.083290,0.133501", \
"0.038959,0.041660,0.046357,0.054443,0.068575,0.094770,0.145121");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.028345,0.030074,0.033150,0.038687,0.049099,0.069449,0.109635", \
"0.028980,0.030709,0.033783,0.039315,0.049722,0.070067,0.110246", \
"0.029806,0.031535,0.034609,0.040143,0.050548,0.070898,0.111080", \
"0.031448,0.033176,0.036249,0.041783,0.052191,0.072543,0.112727", \
"0.034440,0.036198,0.039306,0.044883,0.055316,0.075643,0.115810", \
"0.038375,0.040280,0.043563,0.049293,0.059813,0.080212,0.120389", \
"0.041311,0.043543,0.047381,0.053729,0.065095,0.086197,0.126806");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.004142,0.005458,0.008098,0.013511,0.024560,0.046585,0.090076", \
"0.004148,0.005463,0.008102,0.013513,0.024560,0.046590,0.090076", \
"0.004199,0.005504,0.008128,0.013522,0.024561,0.046587,0.090077", \
"0.004610,0.005854,0.008353,0.013599,0.024570,0.046588,0.090077", \
"0.005364,0.006573,0.008962,0.013995,0.024711,0.046592,0.090072", \
"0.006824,0.008027,0.010368,0.015084,0.025267,0.046801,0.090084", \
"0.009426,0.010692,0.013085,0.017768,0.027506,0.048187,0.090528");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("0.004592,0.005717,0.007905,0.012337,0.021583,0.040483,0.078034", \
"0.004605,0.005731,0.007916,0.012345,0.021589,0.040483,0.078054", \
"0.004603,0.005726,0.007912,0.012345,0.021587,0.040477,0.078030", \
"0.004572,0.005700,0.007892,0.012333,0.021583,0.040473,0.078059", \
"0.004793,0.005895,0.008059,0.012476,0.021647,0.040487,0.078042", \
"0.005590,0.006649,0.008693,0.012912,0.021958,0.040709,0.078100", \
"0.007462,0.008590,0.010719,0.014766,0.023495,0.042031,0.078765");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("10.596831,10.668708,10.730043,10.764764,10.776445,10.789268,10.795167", \
"10.581120,10.657664,10.718852,10.748421,10.760299,10.773348,10.779235", \
"10.577090,10.658403,10.728179,10.757693,10.769134,10.781780,10.788246", \
"10.654978,10.727215,10.788753,10.822994,10.834782,10.847626,10.853698", \
"10.915225,10.986532,11.050780,11.083635,11.098085,11.108514,11.114234", \
"11.512883,11.582629,11.646057,11.687371,11.700631,11.711442,11.718783", \
"12.739730,12.826817,12.900201,12.941492,12.968461,12.978394,12.985091");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("9.751456,9.784200,9.833148,9.847093,9.855543,9.844607,9.681455", \
"9.711903,9.771403,9.786824,9.835719,9.780770,9.773840,9.776177", \
"9.675842,9.730925,9.747322,9.753978,9.778365,9.758913,9.771554", \
"9.642217,9.716721,9.724944,9.770085,9.754898,9.713430,9.713844", \
"9.740726,9.770940,9.833400,9.819091,9.831704,9.801395,9.792193", \
"10.065458,10.095318,10.147333,10.147776,10.102743,10.161210,10.123048", \
"10.914355,10.953163,11.004275,10.998038,10.982872,10.989955,11.016997");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("2.225072,2.259857,2.296500,2.315743,2.329767,2.338606,2.343912", \
"2.199441,2.233411,2.264730,2.283957,2.299850,2.307759,2.313776", \
"2.257082,2.288546,2.317148,2.330880,2.345920,2.354301,2.363390", \
"2.441648,2.491341,2.512294,2.518947,2.528333,2.538942,2.544086", \
"2.843549,2.914809,2.989402,3.032784,3.031280,3.033375,3.036196", \
"3.841362,3.933091,4.046905,4.151112,4.222899,4.218905,4.214877", \
"5.841730,5.949702,6.126098,6.370741,6.638481,6.766691,6.778115");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.721070,1.422100,2.804670,5.531390,10.909100,21.514900");
values ("3.069388,3.131324,3.174299,3.171592,3.153425,3.166944,3.118872", \
"3.118823,3.179335,3.222662,3.190095,3.173221,3.209884,3.210151", \
"3.203810,3.257576,3.307762,3.292162,3.306571,3.216433,3.244448", \
"3.413793,3.462844,3.514856,3.500278,3.461486,3.505034,3.321900", \
"3.905226,3.933081,3.963766,3.976094,3.915485,3.934638,3.923739", \
"4.972343,5.004231,5.062416,5.059755,5.019076,4.947310,4.978765", \
"6.925170,6.992921,7.163003,7.315872,7.518566,7.464778,7.379373");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X2
Cell Description : Level-shifter cell (LS_LHEN_X2) with enable pin and drive strength X2
*******************************************************************************************/
cell (LS_LHEN_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 67673.141125;
leakage_power () {
when : "!A & !ISOLN";
value : 49494.851000;
}
leakage_power () {
when : "!A & ISOLN";
value : 70534.442500;
}
leakage_power () {
when : "A & !ISOLN";
value : 61320.592000;
}
leakage_power () {
when : "A & ISOLN";
value : 89342.679000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.442424;
fall_capacitance : 0.426679;
rise_capacitance : 0.458169;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.311299,1.297755,1.303242,1.343952,1.458660,1.720636,2.333472");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.722217,0.713567,0.716220,0.742645,0.837141,1.104959,1.744999");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.868220;
fall_capacitance : 0.866437;
rise_capacitance : 0.870003;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.392577,0.373152,0.366938,0.361625,0.358056,0.355132,0.353157");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("4.592551,4.541965,4.427972,4.377878,4.461299,4.537010,4.562211");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.405900;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.105276,0.106871,0.109447,0.113916,0.121797,0.136536,0.165623", \
"0.106778,0.108375,0.110951,0.115419,0.123300,0.138040,0.167128", \
"0.109068,0.110664,0.113241,0.117711,0.125592,0.140331,0.169413", \
"0.113757,0.115354,0.117930,0.122399,0.130280,0.145020,0.174102", \
"0.122631,0.124228,0.126804,0.131273,0.139154,0.153893,0.182974", \
"0.137622,0.139217,0.141794,0.146263,0.154118,0.168857,0.197939", \
"0.160296,0.161896,0.164471,0.168941,0.176715,0.191383,0.220468");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.108490,0.110254,0.113219,0.118653,0.128986,0.149411,0.190246", \
"0.109733,0.111497,0.114464,0.119898,0.130230,0.150653,0.191488", \
"0.111117,0.112880,0.115846,0.121281,0.131614,0.152035,0.192869", \
"0.113482,0.115245,0.118212,0.123646,0.133979,0.154405,0.195238", \
"0.116262,0.118025,0.120991,0.126425,0.136759,0.157181,0.198015", \
"0.119067,0.120830,0.123797,0.129231,0.139520,0.159944,0.200775", \
"0.120720,0.122482,0.125450,0.130883,0.141008,0.161245,0.202068");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.004454,0.005193,0.006537,0.009232,0.014802,0.026744,0.051680", \
"0.004455,0.005193,0.006538,0.009231,0.014802,0.026744,0.051679", \
"0.004455,0.005193,0.006538,0.009231,0.014801,0.026744,0.051680", \
"0.004458,0.005190,0.006538,0.009232,0.014801,0.026743,0.051678", \
"0.004453,0.005191,0.006537,0.009231,0.014802,0.026743,0.051678", \
"0.004457,0.005190,0.006539,0.009230,0.014802,0.026743,0.051681", \
"0.004458,0.005190,0.006538,0.009231,0.014801,0.026744,0.051680");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.004211,0.005413,0.007573,0.011938,0.021083,0.040014,0.078137", \
"0.004210,0.005414,0.007572,0.011936,0.021087,0.040004,0.078140", \
"0.004211,0.005414,0.007574,0.011936,0.021086,0.040004,0.078156", \
"0.004211,0.005414,0.007572,0.011936,0.021089,0.040007,0.078131", \
"0.004210,0.005413,0.007574,0.011939,0.021087,0.040002,0.078132", \
"0.004210,0.005414,0.007573,0.011936,0.021088,0.040003,0.078137", \
"0.004211,0.005413,0.007571,0.011938,0.021089,0.040002,0.078154");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.015930,0.017478,0.020002,0.024391,0.032190,0.046895,0.075973", \
"0.017188,0.018733,0.021254,0.025641,0.033442,0.048148,0.077232", \
"0.019203,0.020740,0.023251,0.027632,0.035431,0.050138,0.079217", \
"0.023357,0.024893,0.027392,0.031760,0.039545,0.054230,0.083292", \
"0.029634,0.031313,0.034005,0.038608,0.046579,0.061274,0.090266", \
"0.039391,0.041272,0.044263,0.049287,0.057734,0.072704,0.101687", \
"0.054792,0.056963,0.060438,0.066236,0.075735,0.091833,0.121339");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.027174,0.028930,0.031873,0.037258,0.047517,0.067865,0.108652", \
"0.027694,0.029448,0.032389,0.037765,0.048008,0.068347,0.109122", \
"0.028385,0.030138,0.033078,0.038455,0.048695,0.069024,0.109804", \
"0.029802,0.031555,0.034494,0.039869,0.050101,0.070423,0.111200", \
"0.032463,0.034236,0.037199,0.042601,0.052849,0.073118,0.113845", \
"0.035550,0.037433,0.040539,0.046002,0.056353,0.076636,0.117325", \
"0.036260,0.038359,0.041827,0.047852,0.058504,0.079311,0.120262");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.003811,0.004602,0.006027,0.008822,0.014540,0.026631,0.051634", \
"0.003813,0.004604,0.006030,0.008824,0.014541,0.026630,0.051635", \
"0.003826,0.004619,0.006045,0.008835,0.014546,0.026632,0.051634", \
"0.004185,0.004908,0.006251,0.008966,0.014609,0.026645,0.051636", \
"0.005199,0.005915,0.007195,0.009746,0.015069,0.026763,0.051643", \
"0.006796,0.007546,0.008809,0.011256,0.016255,0.027366,0.051781", \
"0.009598,0.010428,0.011811,0.014306,0.019180,0.029537,0.052739");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("0.004344,0.005539,0.007679,0.012012,0.021114,0.040020,0.078155", \
"0.004362,0.005555,0.007694,0.012021,0.021114,0.040008,0.078158", \
"0.004366,0.005558,0.007699,0.012023,0.021120,0.040017,0.078142", \
"0.004348,0.005544,0.007688,0.012016,0.021113,0.040010,0.078151", \
"0.004609,0.005774,0.007882,0.012186,0.021209,0.040020,0.078156", \
"0.005424,0.006537,0.008541,0.012630,0.021490,0.040246,0.078205", \
"0.007082,0.008191,0.010250,0.014196,0.022705,0.041255,0.078788");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("9.904103,9.966681,10.046680,10.134281,10.177109,10.184435,10.186755", \
"9.887475,9.950184,10.039277,10.122124,10.160836,10.167294,10.169572", \
"9.888966,9.955509,10.045603,10.131586,10.168326,10.175075,10.177588", \
"9.947198,10.001586,10.099162,10.177780,10.219946,10.225214,10.229596", \
"10.157064,10.229290,10.314115,10.392201,10.435808,10.440667,10.445973", \
"10.724109,10.795700,10.879850,10.965473,11.002024,11.007681,11.012461", \
"11.730666,11.792309,11.894113,11.982771,12.031972,12.045462,12.048179");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("9.257903,9.293582,9.313619,9.298178,9.295489,9.330467,9.313466", \
"9.238079,9.269933,9.283892,9.296700,9.261310,9.271543,9.218394", \
"9.195770,9.228687,9.249178,9.238074,9.240657,9.248717,9.180371", \
"9.159375,9.198017,9.213340,9.231679,9.218678,9.237665,9.078376", \
"9.202400,9.233996,9.249195,9.255364,9.249250,9.255005,9.252914", \
"9.436066,9.477158,9.503657,9.500394,9.494207,9.384100,9.425701", \
"10.124113,10.156912,10.183386,10.189372,10.179878,10.196809,10.112555");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("2.020652,2.088463,2.181427,2.266025,2.300905,2.314649,2.321346", \
"1.997963,2.058030,2.148080,2.225227,2.263920,2.277448,2.282112", \
"2.026182,2.084450,2.170128,2.251483,2.286380,2.299765,2.304686", \
"2.206999,2.253671,2.329242,2.394266,2.417677,2.420346,2.423167", \
"2.586273,2.614569,2.675949,2.765083,2.773116,2.760474,2.751469", \
"3.474761,3.484905,3.515701,3.562792,3.589815,3.582006,3.547859", \
"5.275093,5.299703,5.312954,5.311980,5.378954,5.395911,5.375135");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.793934,1.587870,3.175740,6.351470,12.702900,25.405900");
values ("3.056057,3.118791,3.142808,3.145234,3.117163,3.059892,2.872554", \
"3.124312,3.156196,3.191864,3.184376,3.136767,3.088011,2.946995", \
"3.192590,3.224036,3.227797,3.248292,3.199612,3.167016,3.165789", \
"3.372506,3.407943,3.440639,3.395123,3.317391,3.279108,3.260982", \
"3.798916,3.852075,3.852872,3.853765,3.784919,3.741703,3.552250", \
"4.801474,4.824627,4.815600,4.771674,4.724910,4.565181,4.614661", \
"6.563913,6.544550,6.596805,6.662359,6.741670,6.717918,6.471431");
}
}
}
}
/******************************************************************************************
Module : LS_LHEN_X4
Cell Description : Level-shifter cell (LS_LHEN_X4) with enable pin and drive strength X4
*******************************************************************************************/
cell (LS_LHEN_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 3.724000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 87471.469500;
leakage_power () {
when : "!A & !ISOLN";
value : 76192.118500;
}
leakage_power () {
when : "!A & ISOLN";
value : 97882.073000;
}
leakage_power () {
when : "A & !ISOLN";
value : 84117.958000;
}
leakage_power () {
when : "A & ISOLN";
value : 91693.728500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.396050;
fall_capacitance : 0.390102;
rise_capacitance : 0.401998;
internal_power () {
when : "!ISOLN & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("1.217745,1.203674,1.206674,1.236014,1.327058,1.529762,1.991573");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.663223,0.659038,0.661962,0.681991,0.752464,0.956711,1.453285");
}
}
}
pin (ISOLN) {
direction : input;
level_shifter_enable_pin : true;
related_power_pin : "VDD";
related_ground_pin : "VSS";
capacitance : 0.763330;
fall_capacitance : 0.777730;
rise_capacitance : 0.748930;
internal_power () {
when : "!A & !Z";
fall_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.297220,0.276839,0.271359,0.266455,0.263773,0.261457,0.260066");
}
rise_power(Hidden_power_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
values ("0.227680,0.235983,0.247641,0.266431,0.295588,0.328319,0.385896");
}
}
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 56.457500;
function : "(A & ISOLN)";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.086838,0.089903,0.093140,0.098726,0.108389,0.125652,0.158569", \
"0.088271,0.091337,0.094573,0.100158,0.109820,0.127082,0.159997", \
"0.090519,0.093585,0.096820,0.102405,0.112067,0.129329,0.162245", \
"0.095403,0.098468,0.101707,0.107293,0.116957,0.134221,0.167137", \
"0.105153,0.108224,0.111460,0.117046,0.126711,0.143971,0.176886", \
"0.121771,0.124836,0.128074,0.133636,0.143253,0.160516,0.193431", \
"0.148103,0.151166,0.154402,0.160031,0.169474,0.186684,0.219600");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.085816,0.088867,0.092270,0.098376,0.109372,0.130119,0.171112", \
"0.087177,0.090226,0.093629,0.099737,0.110732,0.131480,0.172472", \
"0.088839,0.091889,0.095290,0.101397,0.112393,0.133140,0.174132", \
"0.091416,0.094466,0.097867,0.103974,0.114970,0.135717,0.176706", \
"0.094133,0.097184,0.100586,0.106693,0.117688,0.138435,0.179425", \
"0.095984,0.099035,0.102436,0.108515,0.119454,0.140200,0.181191", \
"0.094982,0.098032,0.101434,0.107548,0.118184,0.138812,0.179799");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.006583,0.007869,0.009410,0.012463,0.018601,0.031432,0.058876", \
"0.006582,0.007872,0.009410,0.012463,0.018600,0.031432,0.058878", \
"0.006581,0.007872,0.009410,0.012463,0.018601,0.031432,0.058878", \
"0.006578,0.007869,0.009409,0.012462,0.018601,0.031432,0.058877", \
"0.006580,0.007871,0.009409,0.012462,0.018601,0.031431,0.058878", \
"0.006581,0.007869,0.009409,0.012461,0.018600,0.031432,0.058877", \
"0.006580,0.007870,0.009406,0.012461,0.018601,0.031431,0.058877");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.005357,0.007095,0.009367,0.013765,0.022545,0.040945,0.079008", \
"0.005355,0.007095,0.009368,0.013764,0.022545,0.040940,0.079018", \
"0.005355,0.007094,0.009367,0.013765,0.022546,0.040940,0.079018", \
"0.005355,0.007094,0.009367,0.013765,0.022544,0.040938,0.079017", \
"0.005356,0.007095,0.009367,0.013765,0.022546,0.040937,0.079008", \
"0.005356,0.007093,0.009369,0.013764,0.022543,0.040940,0.079010", \
"0.005356,0.007095,0.009368,0.013765,0.022546,0.040937,0.079012");
}
}
timing () {
related_pin : "ISOLN";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.025599,0.028621,0.031841,0.037409,0.047036,0.064248,0.097151", \
"0.026875,0.029893,0.033112,0.038680,0.048307,0.065519,0.098422", \
"0.028738,0.031755,0.034970,0.040534,0.050158,0.067370,0.100275", \
"0.032936,0.035940,0.039139,0.044679,0.054287,0.071492,0.104390", \
"0.041442,0.044449,0.047636,0.053122,0.062661,0.079791,0.112608", \
"0.054001,0.057276,0.060745,0.066607,0.076562,0.093906,0.126571", \
"0.073232,0.076819,0.080669,0.087172,0.097940,0.116157,0.149093");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.036212,0.039263,0.042660,0.048758,0.059732,0.080456,0.121432", \
"0.036707,0.039755,0.043153,0.049247,0.060220,0.080941,0.121916", \
"0.037174,0.040225,0.043622,0.049716,0.060686,0.081405,0.122376", \
"0.038027,0.041076,0.044476,0.050574,0.061544,0.082261,0.123232", \
"0.041609,0.044640,0.048023,0.054060,0.064967,0.085598,0.126494", \
"0.046843,0.050118,0.053593,0.059695,0.070640,0.091162,0.131843", \
"0.050849,0.054436,0.058271,0.064804,0.076281,0.096827,0.137344");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.006138,0.007450,0.009030,0.012144,0.018352,0.031280,0.058813", \
"0.006138,0.007453,0.009030,0.012144,0.018352,0.031280,0.058813", \
"0.006137,0.007453,0.009033,0.012146,0.018355,0.031281,0.058814", \
"0.006143,0.007466,0.009055,0.012170,0.018372,0.031289,0.058814", \
"0.007053,0.008227,0.009655,0.012576,0.018615,0.031389,0.058832", \
"0.009286,0.010477,0.011876,0.014586,0.020151,0.032149,0.058965", \
"0.012754,0.013969,0.015413,0.018083,0.023342,0.034487,0.059898");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("0.005390,0.007124,0.009395,0.013788,0.022562,0.040945,0.079011", \
"0.005395,0.007127,0.009399,0.013791,0.022562,0.040950,0.079010", \
"0.005398,0.007128,0.009399,0.013793,0.022565,0.040948,0.079016", \
"0.005396,0.007128,0.009397,0.013793,0.022564,0.040943,0.079020", \
"0.005765,0.007386,0.009591,0.013925,0.022634,0.040968,0.079010", \
"0.007422,0.008802,0.010765,0.014830,0.023199,0.041223,0.079051", \
"0.010519,0.011771,0.013559,0.017375,0.025118,0.042269,0.079511");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("8.425521,8.316440,8.177663,8.085295,8.055739,8.009818,7.972605", \
"8.398368,8.307437,8.158299,8.067484,8.035052,7.998948,7.954352", \
"8.403042,8.310045,8.161014,8.074730,8.037479,8.001415,7.956542", \
"8.432115,8.352370,8.210105,8.111050,8.077528,8.036296,7.998364", \
"8.614687,8.514250,8.368664,8.280303,8.244117,8.202179,8.159936", \
"9.037340,8.929413,8.782489,8.696232,8.666115,8.618546,8.580854", \
"9.811073,9.719819,9.586177,9.501257,9.483325,9.441076,9.402456");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("7.437039,7.285576,7.234901,7.178311,7.054633,6.987818,6.611004", \
"7.436775,7.278947,7.176689,7.097872,7.094261,6.817288,6.876056", \
"7.430483,7.249113,7.179971,7.124959,7.017005,6.801950,6.844792", \
"7.411433,7.237832,7.174571,7.120185,7.021073,6.788318,6.987066", \
"7.476600,7.314465,7.233944,7.165990,7.071224,6.829257,6.959577", \
"7.672318,7.496654,7.413733,7.375042,7.340522,7.099400,7.072522", \
"8.207391,8.040620,8.007394,7.956528,7.816634,7.607733,7.666899");
}
}
internal_power () {
related_pin : "ISOLN";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("3.577038,3.511122,3.403436,3.340831,3.345616,3.330452,3.304407", \
"3.560481,3.475166,3.373328,3.315626,3.317217,3.301172,3.275389", \
"3.598801,3.484832,3.370436,3.320027,3.318853,3.299760,3.274914", \
"3.670449,3.588371,3.470291,3.391183,3.381370,3.359955,3.331795", \
"4.267897,4.124440,3.945600,3.797321,3.706605,3.618347,3.549391", \
"5.514223,5.287252,5.064023,4.733616,4.498503,4.280760,4.120915", \
"7.767577,7.548210,7.262213,6.744483,6.216093,5.809497,5.488058");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.764300,3.528590,7.057190,14.114400,28.228800,56.457500");
values ("4.323070,4.151361,4.098149,4.013578,3.895715,3.676588,3.739458", \
"4.349101,4.170738,4.094261,4.028052,3.921452,3.888765,3.404516", \
"4.375817,4.225626,4.149158,4.100197,3.953736,3.857819,3.728238", \
"4.472927,4.313720,4.226989,4.176578,4.095375,3.799202,3.809173", \
"4.945352,4.735270,4.673151,4.579559,4.377243,4.125630,3.896363", \
"6.190242,5.856815,5.660822,5.474288,5.170584,4.820970,4.548588", \
"8.628041,8.189637,7.825006,7.410637,7.022857,6.688050,6.229811");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X1
Cell Description : Level-shifter cell (LS_LH_X1) with drive strength X1
*******************************************************************************************/
cell (LS_LH_X1) {
drive_strength : 1;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 37490.688500;
leakage_power () {
when : "!A";
value : 42013.638000;
}
leakage_power () {
when : "A";
value : 32967.739000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.401548;
fall_capacitance : 0.384580;
rise_capacitance : 0.418516;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 18.615700;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.052666,0.054369,0.057256,0.062168,0.070851,0.087102,0.118327", \
"0.054219,0.055919,0.058806,0.063718,0.072402,0.088652,0.119879", \
"0.056545,0.058244,0.061132,0.066044,0.074728,0.090978,0.122205", \
"0.061393,0.063091,0.065980,0.070891,0.079575,0.095824,0.127050", \
"0.070081,0.071775,0.074660,0.079574,0.088258,0.104507,0.135740", \
"0.082986,0.084682,0.087567,0.092451,0.101142,0.117396,0.148621", \
"0.104065,0.105755,0.108635,0.113515,0.122146,0.138326,0.169547");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.049477,0.051487,0.054816,0.060374,0.070576,0.090487,0.129362", \
"0.050821,0.052823,0.056161,0.061717,0.071917,0.091826,0.130710", \
"0.052538,0.054546,0.057874,0.063434,0.073639,0.093554,0.132435", \
"0.055170,0.057177,0.060511,0.066072,0.076269,0.096191,0.135075", \
"0.058083,0.060078,0.063408,0.068976,0.079175,0.099098,0.137993", \
"0.060902,0.062903,0.066246,0.071795,0.081952,0.101875,0.140762", \
"0.062286,0.064293,0.067633,0.073175,0.083138,0.102977,0.141859");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.004298,0.005176,0.006839,0.010099,0.016804,0.030526,0.057490", \
"0.004295,0.005175,0.006838,0.010097,0.016804,0.030526,0.057489", \
"0.004295,0.005174,0.006838,0.010097,0.016804,0.030526,0.057493", \
"0.004296,0.005175,0.006841,0.010098,0.016804,0.030526,0.057489", \
"0.004298,0.005180,0.006841,0.010099,0.016805,0.030527,0.057490", \
"0.004312,0.005192,0.006852,0.010103,0.016809,0.030527,0.057487", \
"0.004342,0.005214,0.006868,0.010116,0.016812,0.030527,0.057490");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("0.006217,0.007253,0.009186,0.013248,0.022406,0.041248,0.077884", \
"0.006216,0.007255,0.009185,0.013242,0.022402,0.041259,0.077890", \
"0.006216,0.007251,0.009182,0.013246,0.022400,0.041245,0.077877", \
"0.006207,0.007247,0.009177,0.013242,0.022400,0.041258,0.077874", \
"0.006203,0.007237,0.009177,0.013236,0.022404,0.041245,0.077878", \
"0.006188,0.007230,0.009164,0.013232,0.022393,0.041254,0.077879", \
"0.006166,0.007215,0.009152,0.013231,0.022397,0.041256,0.077877");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("5.919845,5.981830,6.038400,6.072248,6.078802,6.078480,6.079183", \
"5.898987,5.966468,6.026450,6.057301,6.063295,6.063031,6.063679", \
"5.908154,5.960246,6.037277,6.069310,6.074999,6.074831,6.075467", \
"6.007755,6.069182,6.137644,6.171582,6.178209,6.176176,6.176895", \
"6.346715,6.401440,6.460675,6.497848,6.503195,6.503009,6.504271", \
"6.943175,7.002188,7.066082,7.102834,7.111320,7.110121,7.111119", \
"8.115299,8.184075,8.249328,8.288287,8.314188,8.340451,8.343275");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.703884,1.355120,2.608870,5.022590,9.669490,18.615700");
values ("5.664556,5.809735,5.856335,5.804682,5.746606,5.632264,5.527301", \
"5.659858,5.803265,5.855179,5.803538,5.713462,5.647055,5.608819", \
"5.632127,5.782103,5.836602,5.795584,5.701477,5.597861,5.519719", \
"5.666607,5.792061,5.824608,5.783460,5.727539,5.614034,5.532800", \
"5.759307,5.890234,5.946842,5.884366,5.806492,5.740600,5.659201", \
"6.096580,6.193890,6.271254,6.209588,6.141013,6.034702,5.985756", \
"6.740708,6.920548,6.975836,6.942417,6.902436,6.782134,6.709958");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X2
Cell Description : Level-shifter cell (LS_LH_X2) with drive strength X2
*******************************************************************************************/
cell (LS_LH_X2) {
drive_strength : 2;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 44778.078500;
leakage_power () {
when : "!A";
value : 51020.840500;
}
leakage_power () {
when : "A";
value : 38535.316500;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.391838;
fall_capacitance : 0.376180;
rise_capacitance : 0.407496;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 25.444000;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.054303,0.055896,0.058455,0.062884,0.070691,0.085381,0.114472", \
"0.055848,0.057438,0.059997,0.064428,0.072235,0.086925,0.116020", \
"0.058168,0.059760,0.062320,0.066750,0.074557,0.089246,0.118336", \
"0.063044,0.064631,0.067190,0.071622,0.079428,0.094118,0.123212", \
"0.071903,0.073496,0.076057,0.080480,0.088284,0.102973,0.132062", \
"0.085176,0.086765,0.089326,0.093749,0.101548,0.116235,0.145325", \
"0.106845,0.108432,0.110984,0.115403,0.123134,0.137748,0.166836");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.052080,0.054001,0.057094,0.062382,0.072218,0.092083,0.132529", \
"0.053424,0.055346,0.058436,0.063729,0.073563,0.093435,0.133875", \
"0.055141,0.057063,0.060159,0.065448,0.075282,0.095154,0.135605", \
"0.057750,0.059673,0.062764,0.068055,0.077893,0.097773,0.138217", \
"0.060619,0.062534,0.065630,0.070922,0.080760,0.100641,0.141097", \
"0.063313,0.065232,0.068322,0.073615,0.083407,0.103290,0.143735", \
"0.064435,0.066351,0.069450,0.074745,0.084362,0.104095,0.144537");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.004289,0.005028,0.006369,0.009027,0.014595,0.026619,0.051655", \
"0.004292,0.005031,0.006367,0.009028,0.014596,0.026619,0.051655", \
"0.004290,0.005031,0.006368,0.009028,0.014595,0.026619,0.051656", \
"0.004291,0.005031,0.006368,0.009028,0.014595,0.026619,0.051655", \
"0.004293,0.005032,0.006368,0.009028,0.014596,0.026619,0.051653", \
"0.004306,0.005042,0.006377,0.009035,0.014600,0.026621,0.051654", \
"0.004334,0.005066,0.006400,0.009050,0.014606,0.026622,0.051654");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("0.006018,0.006990,0.008758,0.012446,0.021078,0.039898,0.078097", \
"0.006019,0.006989,0.008758,0.012446,0.021083,0.039901,0.078092", \
"0.006019,0.006986,0.008751,0.012447,0.021082,0.039898,0.078092", \
"0.006013,0.006982,0.008746,0.012441,0.021080,0.039910,0.078104", \
"0.006003,0.006976,0.008745,0.012438,0.021080,0.039899,0.078090", \
"0.005996,0.006966,0.008740,0.012436,0.021078,0.039901,0.078101", \
"0.005981,0.006959,0.008726,0.012429,0.021072,0.039900,0.078085");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("6.388143,6.443774,6.519204,6.579468,6.593714,6.590266,6.586549", \
"6.382860,6.438091,6.507766,6.564757,6.578371,6.575201,6.571367", \
"6.380889,6.446350,6.513342,6.575255,6.589097,6.585436,6.581953", \
"6.483075,6.539660,6.611928,6.671950,6.685387,6.681750,6.678381", \
"6.803390,6.874033,6.936544,6.995047,7.013078,7.006480,7.002366", \
"7.410171,7.473698,7.543467,7.597876,7.619081,7.615810,7.608815", \
"8.599706,8.633241,8.716695,8.779249,8.809579,8.832135,8.833137");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,0.795125,1.590250,3.180500,6.361000,12.722000,25.444000");
values ("6.284995,6.400318,6.434872,6.372055,6.225849,6.117177,5.864117", \
"6.222465,6.358248,6.427399,6.363506,6.253722,5.985439,5.785168", \
"6.257876,6.370581,6.423283,6.352178,6.221987,5.961099,5.950190", \
"6.262037,6.373817,6.429082,6.338832,6.226066,6.101316,6.026364", \
"6.323685,6.478073,6.521362,6.439645,6.313741,6.076859,6.074066", \
"6.659255,6.787167,6.820757,6.737550,6.623407,6.438596,6.148716", \
"7.344157,7.481460,7.533044,7.458471,7.380610,7.242145,7.145169");
}
}
}
}
/******************************************************************************************
Module : LS_LH_X4
Cell Description : Level-shifter cell (LS_LH_X4) with drive strength X4
*******************************************************************************************/
cell (LS_LH_X4) {
drive_strength : 4;
input_voltage_range (0.70 , 0.90);
is_level_shifter : true;
level_shifter_type : LH;
output_voltage_range (1.10 , 1.30);
area : 2.926000;
pg_pin(VDD) {
voltage_name : VDD;
pg_type : primary_power;
}
pg_pin(VDDL) {
voltage_name : VDDL;
pg_type : primary_power;
std_cell_main_rail : true;
}
pg_pin(VSS) {
voltage_name : VSS;
pg_type : primary_ground;
}
cell_leakage_power : 65351.646250;
leakage_power () {
when : "!A";
value : 78013.840500;
}
leakage_power () {
when : "A";
value : 52689.452000;
}
pin (A) {
direction : input;
related_power_pin : "VDDL";
related_ground_pin : "VSS";
capacitance : 0.393678;
fall_capacitance : 0.378062;
rise_capacitance : 0.409293;
}
pin (Z) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
max_capacitance : 51.879900;
function : "A";
power_down_function : "(!VDD & VSS)";
timing () {
related_pin : "A";
timing_sense : positive_unate;
cell_fall(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.060175,0.062915,0.065876,0.070976,0.079851,0.096165,0.128103", \
"0.061713,0.064460,0.067421,0.072521,0.081399,0.097709,0.129641", \
"0.064038,0.066777,0.069740,0.074841,0.083716,0.100024,0.131969", \
"0.068903,0.071645,0.074607,0.079709,0.088585,0.104894,0.136833", \
"0.077811,0.080553,0.083512,0.088614,0.097489,0.113797,0.145732", \
"0.091228,0.093966,0.096924,0.102015,0.110882,0.127186,0.159119", \
"0.113184,0.115918,0.118870,0.123959,0.132765,0.149003,0.180938");
}
cell_rise(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.057900,0.060897,0.064128,0.069667,0.079660,0.099539,0.140127", \
"0.059241,0.062241,0.065470,0.071010,0.081003,0.100881,0.141476", \
"0.060951,0.063949,0.067184,0.072720,0.082715,0.102594,0.143195", \
"0.063550,0.066547,0.069778,0.075319,0.085317,0.105200,0.145794", \
"0.066398,0.069393,0.072621,0.078158,0.088154,0.108038,0.148640", \
"0.069023,0.072024,0.075264,0.080802,0.090754,0.110645,0.151236", \
"0.070029,0.073034,0.076269,0.081808,0.091554,0.111349,0.151939");
}
fall_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.005171,0.006344,0.007802,0.010709,0.016688,0.029587,0.056885", \
"0.005171,0.006343,0.007800,0.010709,0.016688,0.029586,0.056884", \
"0.005171,0.006343,0.007800,0.010709,0.016688,0.029586,0.056885", \
"0.005168,0.006343,0.007802,0.010708,0.016688,0.029586,0.056885", \
"0.005172,0.006345,0.007801,0.010709,0.016688,0.029587,0.056884", \
"0.005175,0.006347,0.007808,0.010714,0.016691,0.029588,0.056885", \
"0.005192,0.006363,0.007818,0.010724,0.016697,0.029589,0.056883");
}
rise_transition(Timing_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("0.006631,0.007803,0.009546,0.013204,0.021555,0.040238,0.078691", \
"0.006627,0.007802,0.009544,0.013202,0.021554,0.040247,0.078686", \
"0.006624,0.007797,0.009543,0.013201,0.021553,0.040246,0.078702", \
"0.006615,0.007792,0.009540,0.013197,0.021552,0.040239,0.078704", \
"0.006610,0.007787,0.009535,0.013196,0.021551,0.040245,0.078692", \
"0.006605,0.007783,0.009530,0.013193,0.021550,0.040241,0.078688", \
"0.006592,0.007772,0.009523,0.013189,0.021550,0.040238,0.078698");
}
}
internal_power () {
related_pin : "A";
fall_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("8.246976,8.204295,8.140879,8.152616,8.140286,8.104939,8.076414", \
"8.231535,8.180004,8.153675,8.141013,8.121448,8.091090,8.061457", \
"8.229215,8.189349,8.161796,8.150195,8.130880,8.100491,8.071745", \
"8.352002,8.284466,8.239511,8.239833,8.231239,8.192269,8.165683", \
"8.667025,8.632631,8.585695,8.572322,8.559952,8.525515,8.494158", \
"9.301718,9.238574,9.194794,9.186961,9.178910,9.139208,9.110810", \
"10.486642,10.447289,10.410895,10.402864,10.410179,10.395888,10.365073");
}
rise_power(Power_7_7) {
index_1 ("0.000932129,0.004570,0.009140,0.018280,0.036560,0.073120,0.146240");
index_2 ("0.365616,1.621250,3.242490,6.484990,12.970000,25.940000,51.879900");
values ("8.527271,8.508336,8.437033,8.213339,7.840381,7.458438,7.228263", \
"8.496655,8.488074,8.421277,8.184157,7.856835,7.392800,7.302151", \
"8.501394,8.467936,8.384363,8.200983,7.810490,7.610729,7.356660", \
"8.511924,8.467298,8.373454,8.215070,7.800990,7.617247,7.334592", \
"8.542332,8.562095,8.471045,8.273640,7.921888,7.692311,7.045164", \
"8.871124,8.841304,8.776302,8.562802,8.259002,7.772778,7.388786", \
"9.576260,9.529618,9.483548,9.257973,9.038339,8.673096,8.498556");
}
}
}
}
}
/*
* End of file
*/