| // Automatically edited by removePhraseFromMultipleFiles.pl |
| // iptguser Thu Aug 25 16:08:57 EDT 2011 |
| // `suppress_faults;`enable_portfaults\n |
| // `ifdef TETRAMAX;`endif\n |
| // `ifdef functional;`timescale |
| // `ifndef dont_change_delay_mode;`endif\n |
| // `ifndef dont_change_delay_mode;`else\n |
| // `ifndef dont_change_delay_mode;`endif\n |
| // `endif;\n |
| // `disable_portfaults;`nosuppress_faults |
| |
| // Automatically modified by replacePGwithIfDef.pl |
| // iptguser Thu Aug 25 14:39:12 EDT 2011 |
| |
| `celldefine |
| |
| |
| `timescale 1ns / 1ps |
| module scs130ms_a22oi_4 ( |
| |
| output Y, |
| input A1, |
| input A2, |
| input B1, |
| input B2 |
| |
| `ifdef SC_USE_PG_PIN |
| , |
| input vpwr, |
| input vgnd, |
| input vpb, |
| input vnb |
| `endif |
| |
| ); |
| |
| `ifdef functional |
| `else |
| `ifdef SC_USE_PG_PIN |
| `else |
| supply1 vpwr; |
| supply0 vgnd; |
| supply1 vpb; |
| supply0 vnb; |
| `endif |
| `endif |
| |
| |
| wire csi_opt_298; |
| wire csi_opt_296; |
| |
| `ifdef functional |
| `else |
| reg csi_notifier; |
| |
| specify |
| if ((A2&!B1&!B2)) (A1 -=> Y) = (0:0:0,0:0:0); |
| if ((A2&!B1&B2)) (A1 -=> Y) = (0:0:0,0:0:0); |
| if ((A2&B1&!B2)) (A1 -=> Y) = (0:0:0,0:0:0); |
| if ((A1&!B1&!B2)) (A2 -=> Y) = (0:0:0,0:0:0); |
| if ((A1&!B1&B2)) (A2 -=> Y) = (0:0:0,0:0:0); |
| if ((A1&B1&!B2)) (A2 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1&!A2&B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1&A2&B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((A1&!A2&B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1&!A2&B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1&A2&B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| if ((A1&!A2&B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| endspecify |
| `endif |
| |
| nand ( csi_opt_298 , A2 , A1 ) ; |
| nand ( csi_opt_296 , B2 , B1 ) ; |
| and ( UDP_IN_Y , csi_opt_298 , csi_opt_296 ) ; |
| `ifdef SC_USE_PG_PIN |
| |
| scs130ms_pg_U_VPWR_VGND (UDP_OUT_Y, UDP_IN_Y, vpwr, vgnd) ; |
| buf (Y, UDP_OUT_Y) ; |
| `else |
| buf ( Y , UDP_IN_Y ) ; |
| `endif |
| endmodule |
| `endcelldefine |