blob: 94754a69d972630785f22d8b344218c399605e27 [file] [log] [blame]
// Automatically edited by removePhraseFromMultipleFiles.pl
// iptguser Tue Aug 23 13:13:19 EDT 2011
// \`suppress_faults;^\n
// \`ifdef TETRAMAX;^\n
// \`ifdef functional;\`else
// \`delay_mode_path;^\n
// \`disable_portfaults;\`nosuppress_faults
// Automatically modified by replacePGwithIfDef.pl
// iptguser Tue Aug 23 12:32:34 EDT 2011
`celldefine
`timescale 1ns / 1ps
module scs130ls_and3_2 (
output X,
input A,
input B,
input C
`ifdef SC_USE_PG_PIN
, input vpwr
, input vgnd
, input vpb
, input vnb
`endif
);
`ifdef functional
`else
`ifdef SC_USE_PG_PIN
`else
supply1 vpwr;
supply0 vgnd;
supply1 vpb;
supply0 vnb;
`endif
`endif
`ifdef functional
`else
reg csi_notifier;
specify
(A +=> X) = (0:0:0,0:0:0);
(B +=> X) = (0:0:0,0:0:0);
(C +=> X) = (0:0:0,0:0:0);
endspecify
`endif
and ( UDP_IN_X , C , A , B ) ;
`ifdef SC_USE_PG_PIN
scs130ls_pg_U_VPWR_VGND (UDP_OUT_X, UDP_IN_X, vpwr, vgnd) ;
buf (X, UDP_OUT_X) ;
`else
buf ( X , UDP_IN_X ) ;
`endif
endmodule
`endcelldefine