blob: 60b607481a925cbbf106608d224c5ac8eb1feb04 [file] [log] [blame]
// Automatically modified by replacePGwithIfDef.pl
// iptguser Fri Aug 12 15:57:32 EDT 2011
// Automatically edited by removePhraseFromMultipleFiles.pl
// iptguser Fri Aug 12 15:57:12 EDT 2011
// `suppress_faults;`enable_portfaults\n
// `ifdef TETRAMAX;`endif\n
// `ifdef functional;`endif\n
// `ifndef dont;`endif\n
// `else;`delay_mode_path\n
// `endif;\n
// `disable_portfaults;`nosuppress_faults\n
`celldefine
`timescale 1ns / 1ps
module scs130hvl_dfstp_1 (
output Q,
input CLK,
input D,
input SETB
`ifdef SC_USE_PG_PIN
,
input vpwr,
input vgnd,
input vpb,
input vnb
`endif
);
`ifdef functional
`else
`ifdef SC_USE_PG_PIN
`else
supply1 vpwr;
supply0 vgnd;
supply1 vpb;
supply0 vnb;
`endif
`endif
wire buf_Q;
wire set;
`ifdef functional
not (set,SETB);
`ifdef SC_USE_PG_PIN
scs130hvl_pg_U_DF_P_S_NO_pg #0.001 ( buf_Q , D , CLK , set , , vpwr , vgnd ) ;
`else
scs130hvl_pg_U_DF_P_S #0.001 ( buf_Q , D , CLK , set ) ;
`endif
`else
reg notifier;
wire COND0;
wire D_delayed;
wire SETB_delayed;
wire CLK_delayed;
not (set,SETB_delayed);
scs130hvl_pg_U_DF_P_S_NO_pg ( buf_Q , D_delayed , CLK_delayed , set , notifier , vpwr , vgnd ) ;
assign COND0 = (SETB_delayed === 1'b1);
specify
(negedge SETB => (Q -: SETB ) ) = 0:0:0; // delay is tris
(posedge CLK => (Q : CLK ) ) = (0:0:0,0:0:0); // delays are tris,tfall
$width (posedge CLK &&& (SETB===1'b1) , 0:0:0, 0, notifier);
$width (negedge CLK &&& (SETB===1'b1) , 0:0:0, 0, notifier);
$width (negedge SETB , 0:0:0 , 0 , notifier ) ;
$recrem ( posedge SETB , posedge CLK , 0:0:0, 0:0:0, notifier , , , SETB_delayed , CLK_delayed ) ;
$setuphold ( posedge CLK , posedge D , 0:0:0, 0:0:0, notifier , , COND0 , CLK_delayed , D_delayed ) ;
$setuphold ( posedge CLK , negedge D , 0:0:0, 0:0:0, notifier , , COND0 , CLK_delayed , D_delayed ) ;
endspecify
`endif
`ifdef SC_USE_PG_PIN
buf (UDP_IN_Q,buf_Q);
scs130hvl_pg_U_VPWR_VGND (Q, UDP_IN_Q, vpwr, vgnd) ;
`else
buf ( Q , buf_Q ) ;
`endif
endmodule
`endcelldefine