blob: 96d565de8f1a9fd9fb31ab59dc3a486f981b1b17 [file] [log] [blame]
// Automatically edited by removePhraseFromMultipleFiles.pl
// iptguser Thu Aug 25 16:08:57 EDT 2011
// `suppress_faults;`enable_portfaults\n
// `ifdef TETRAMAX;`endif\n
// `ifdef functional;`timescale
// `ifndef dont_change_delay_mode;`endif\n
// `ifndef dont_change_delay_mode;`else\n
// `ifndef dont_change_delay_mode;`endif\n
// `endif;\n
// `disable_portfaults;`nosuppress_faults
// Automatically modified by replacePGwithIfDef.pl
// iptguser Thu Aug 25 14:39:13 EDT 2011
`celldefine
`timescale 1ns / 1ps
module scs8ms_mux2_2 (
output X,
input A0,
input A1,
input S
`ifdef SC_USE_PG_PIN
,
input vpwr,
input vgnd,
input vpb,
input vnb
`endif
);
`ifdef functional
`else
`ifdef SC_USE_PG_PIN
`else
supply1 vpwr;
supply0 vgnd;
supply1 vpb;
supply0 vnb;
`endif
`endif
`ifdef functional
`else
reg csi_notifier;
specify
if ((!A1&!S)) (A0 +=> X) = (0:0:0,0:0:0);
if ((A1&!S)) (A0 +=> X) = (0:0:0,0:0:0);
if ((!A0&S)) (A1 +=> X) = (0:0:0,0:0:0);
if ((A0&S)) (A1 +=> X) = (0:0:0,0:0:0);
if ((!A0&A1)) (S +=> X) = (0:0:0,0:0:0);
if ((A0&!A1)) (S -=> X) = (0:0:0,0:0:0);
endspecify
`endif
// aug27,2003 - bnb changed to udp model for muxs
scs8ms_pg_U_MUX_2_1 (UDP_IN_X, A0, A1, S);
`ifdef SC_USE_PG_PIN
scs8ms_pg_U_VPWR_VGND (UDP_OUT_X, UDP_IN_X, vpwr, vgnd) ;
buf (X, UDP_OUT_X) ;
`else
buf ( X , UDP_IN_X ) ;
`endif
endmodule
`endcelldefine