blob: ad3a28cda4621c7fafadb0ca63df85d41a8bd9a3 [file] [log] [blame]
// Automatically modified by replacePGwithIfDef.pl
// iptguser Thu Aug 18 13:10:15 EDT 2011
// Automatically edited by removePhraseFromMultipleFiles.pl
// iptguser Mon Aug 8 14:40:00 EDT 2011
// \`suppress_faults;^\n
// \`ifdef TETRAMAX;^\n
// \`ifdef functional;\`else
// \`delay_mode_path;^\n
// \`disable_portfaults;\`nosuppress_faults
`celldefine
`timescale 1ns / 1ps
module scs8lp_bufkapwr_1 (
output X,
input A
`ifdef SC_USE_PG_PIN
, input vpwr
, input vgnd
, input kapwr
, input vpb
, input vnb
`endif
);
`ifdef functional
`else
`ifdef SC_USE_PG_PIN
`else
supply1 vpwr;
supply0 vgnd;
supply1 kapwr;
supply1 vpb;
supply0 vnb;
`endif
`endif
// modification by BNB, based on SPR13943. need to have
// the reg and specify gone when using a functional model.
`ifdef functional
`else
reg csi_notifier;
specify
(A +=> X ) = (0:0:0,0:0:0); // delays are tris,tfall
endspecify
`endif
buf ( UDP_IN_X , A ) ;
`ifdef SC_USE_PG_PIN
scs8lpa_U_VPWR_VGND (UDP_OUT_X, UDP_IN_X, kapwr, vgnd) ;
buf (X, UDP_OUT_X) ;
`else
buf ( X , UDP_IN_X ) ;
`endif
endmodule
`endcelldefine