| // Automatically edited by removePhraseFromMultipleFiles.pl |
| // iptguser Thu Aug 25 16:08:58 EDT 2011 |
| // `suppress_faults;`enable_portfaults\n |
| // `ifdef TETRAMAX;`endif\n |
| // `ifdef functional;`timescale |
| // `ifndef dont_change_delay_mode;`endif\n |
| // `ifndef dont_change_delay_mode;`else\n |
| // `ifndef dont_change_delay_mode;`endif\n |
| // `endif;\n |
| // `disable_portfaults;`nosuppress_faults |
| |
| // Automatically modified by replacePGwithIfDef.pl |
| // iptguser Thu Aug 25 14:39:13 EDT 2011 |
| |
| `celldefine |
| |
| |
| `timescale 1ns / 1ps |
| module scs8ms_xor2_2 ( |
| |
| output X, |
| input A, |
| input B |
| |
| `ifdef SC_USE_PG_PIN |
| , |
| input vpwr, |
| input vgnd, |
| input vpb, |
| input vnb |
| `endif |
| |
| ); |
| |
| `ifdef functional |
| `else |
| `ifdef SC_USE_PG_PIN |
| `else |
| supply1 vpwr; |
| supply0 vgnd; |
| supply1 vpb; |
| supply0 vnb; |
| `endif |
| `endif |
| |
| |
| |
| `ifdef functional |
| `else |
| reg csi_notifier; |
| |
| specify |
| if ((!B)) (A +=> X ) = (0:0:0,0:0:0); // delays are tris,tfall |
| if ((B)) (A -=> X ) = (0:0:0,0:0:0); // delays are tris,tfall |
| if ((!A)) (B +=> X ) = (0:0:0,0:0:0); // delays are tris,tfall |
| if ((A)) (B -=> X ) = (0:0:0,0:0:0); // delays are tris,tfall |
| endspecify |
| `endif |
| |
| xor ( UDP_IN_X , B , A ) ; |
| `ifdef SC_USE_PG_PIN |
| |
| scs8ms_pg_U_VPWR_VGND (UDP_OUT_X, UDP_IN_X, vpwr, vgnd) ; |
| buf (X, UDP_OUT_X) ; |
| `else |
| buf ( X , UDP_IN_X ) ; |
| `endif |
| endmodule |
| `endcelldefine |