| // Automatically modified by replacePGwithIfDef.pl |
| // iptguser Thu Aug 18 13:10:21 EDT 2011 |
| |
| // Automatically edited by removePhraseFromMultipleFiles.pl |
| // iptguser Mon Aug 8 14:40:04 EDT 2011 |
| // \`suppress_faults;^\n |
| // \`ifdef TETRAMAX;^\n |
| // \`ifdef functional;\`else |
| // \`delay_mode_path;^\n |
| // \`disable_portfaults;\`nosuppress_faults |
| |
| `celldefine |
| `timescale 1ns / 1ps |
| module scs8lp_o2bb2ai_2 ( |
| output Y, |
| |
| input A1N, |
| input A2N, |
| input B1, |
| input B2 |
| |
| `ifdef SC_USE_PG_PIN |
| , input vpwr |
| , input vgnd |
| , input vpb |
| , input vnb |
| `endif |
| |
| ); |
| |
| `ifdef functional |
| `else |
| `ifdef SC_USE_PG_PIN |
| `else |
| supply1 vpwr; |
| supply0 vgnd; |
| supply1 vpb; |
| supply0 vnb; |
| `endif |
| `endif |
| |
| |
| wire csi_opt_296; |
| wire csi_opt_294; |
| |
| // modification by BNB, based on SPR13943. need to have |
| `ifdef functional |
| `else |
| reg csi_notifier; |
| |
| specify |
| if ((A2N&!B1&B2)) (A1N +=> Y) = (0:0:0,0:0:0); |
| if ((A2N&B1&!B2)) (A1N +=> Y) = (0:0:0,0:0:0); |
| if ((A2N&B1&B2)) (A1N +=> Y) = (0:0:0,0:0:0); |
| if ((A1N&!B1&B2)) (A2N +=> Y) = (0:0:0,0:0:0); |
| if ((A1N&B1&!B2)) (A2N +=> Y) = (0:0:0,0:0:0); |
| if ((A1N&B1&B2)) (A2N +=> Y) = (0:0:0,0:0:0); |
| if ((!A1N&!A2N&!B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1N&A2N&!B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((A1N&!A2N&!B2)) (B1 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1N&!A2N&!B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| if ((!A1N&A2N&!B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| if ((A1N&!A2N&!B1)) (B2 -=> Y) = (0:0:0,0:0:0); |
| endspecify |
| `endif |
| |
| nand ( csi_opt_296 , A2N , A1N ) ; |
| or ( csi_opt_294 , B2 , B1 ) ; |
| nand ( UDP_IN_Y , csi_opt_296 , csi_opt_294 ) ; |
| `ifdef SC_USE_PG_PIN |
| |
| scs8lpa_U_VPWR_VGND (UDP_OUT_Y, UDP_IN_Y, vpwr, vgnd) ; |
| buf (Y, UDP_OUT_Y) ; |
| `else |
| buf ( Y , UDP_IN_Y ) ; |
| `endif |
| endmodule |
| `endcelldefine |