blob: 7b5e684c3aaf2b8cd61ddca7783fbe2ab72cd3ca [file] [log] [blame]
`celldefine
`suppress_faults
`enable_portfaults
`ifdef TETRAMAX
`define functional
`endif
`ifdef functional
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_distributed
`endif
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_unit
`endif
`else
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_path
`endif
`endif
module scs8hs_dlxtn_2 ( Q , D , GATEN , vpwr , vgnd ) ;
input vpwr , vgnd ;
output Q ;
input D , GATEN ;
wire gate , buf_Q , GATEN_delayed , D_delayed ;
`ifdef functional
not ( gate , GATEN ) ;
U_DL_P_pg ( buf_Q , D , gate , vpwr , vgnd ) ;
`else
reg notifier ;
not ( gate , GATEN_delayed ) ;
U_DL_P_NO_pg ( buf_Q , D_delayed , gate , notifier , vpwr , vgnd ) ;
`endif
buf ( Q , buf_Q ) ;
`ifdef functional
`else
wire AWAKE ;
assign AWAKE = ( vpwr === 1'b1 ) ;
specify
( D +=> Q ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
( negedge GATEN => ( Q +: D ) ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
$width ( posedge GATEN &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ;
$width ( negedge GATEN &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ;
$setuphold ( posedge GATEN , posedge D , 0:0:0 , 0:0:0 , notifier , AWAKE , AWAKE , GATEN_delayed , D_delayed ) ;
$setuphold ( posedge GATEN , negedge D , 0:0:0 , 0:0:0 , notifier , AWAKE , AWAKE , GATEN_delayed , D_delayed ) ;
endspecify
`endif
endmodule
`endcelldefine
`disable_portfaults
`nosuppress_faults