blob: 6a39cff903ae9136efe8793873251124f571ae3a [file] [log] [blame]
`celldefine
`suppress_faults
`enable_portfaults
`ifdef TETRAMAX
`define functional
`endif
`ifdef functional
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_distributed
`endif
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_unit
`endif
`else
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_path
`endif
`endif
module scs8hs_dlrbp_2 ( RESETB , D , GATE , Q , QN , vpwr , vgnd ) ;
input vpwr , vgnd ;
output Q , QN ;
input RESETB , D , GATE ;
wire reset ;
`ifdef functional
not ( reset , RESETB ) ;
U_DL_P_R_pg #0.001 ( buf_Q , D , GATE , reset , vpwr , vgnd ) ;
`else
reg notifier ;
wire D_delayed , GATE_delayed , RESET_delayed ;
not ( reset , RESETB_delayed ) ;
U_DL_P_R_NO_pg ( buf_Q , D_delayed , GATE_delayed , reset , notifier , vpwr , vgnd ) ;
wire AWAKE , COND0 , COND1 ;
assign AWAKE = ( vpwr === 1'b1 ) ;
assign COND0 = ( AWAKE && ( RESETB_delayed === 1'b1 ) ) ;
assign COND1 = ( AWAKE && ( RESETB === 1'b1 ) ) ;
specify
( negedge RESETB => ( Q +: RESETB ) ) = ( 0:0:0 , 0:0:0 ) ; // delay is tfall
( D +=> Q ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
( posedge GATE => ( Q : GATE ) ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
( negedge RESETB => ( QN -: RESETB ) ) = ( 0:0:0 , 0:0:0 ) ; // delay is tfall
( D -=> QN ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
( posedge GATE => ( QN : GATE ) ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall
$recrem ( posedge RESETB , negedge GATE , 0:0:0 , 0:0:0 , notifier , AWAKE , AWAKE , RESETB_delayed , GATE_delayed ) ;
$setuphold ( negedge GATE , posedge D , 0:0:0 , 0:0:0 , notifier , COND0 , COND0 , GATE_delayed , D_delayed ) ;
$setuphold ( negedge GATE , negedge D , 0:0:0 , 0:0:0 , notifier , COND0 , COND0 , GATE_delayed , D_delayed ) ;
$width ( posedge GATE &&& COND1 , 1.0:1.0:1.0 , 0 , notifier ) ;
$width ( negedge GATE &&& COND1 , 1.0:1.0:1.0 , 0 , notifier ) ;
$width ( negedge RESETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ;
$width ( posedge RESETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ;
endspecify
`endif
buf ( Q , buf_Q ) ;
not ( QN , buf_Q ) ;
endmodule
`endcelldefine
`disable_portfaults
`nosuppress_faults