blob: cd0e81284d83f5e8cdd570b2d25390c576e71fba [file] [log] [blame]
`celldefine
`suppress_faults
`enable_portfaults
`ifdef TETRAMAX
`define functional
`endif
`ifdef functional
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_distributed
`endif
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_unit
`endif
`else
`timescale 1ns / 1ps
`ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode
`delay_mode_path
`endif
`endif
module scs8hs_and3b_4 ( X , AN , B , C , vpwr , vgnd );
input vpwr, vgnd;
output X ;
input AN , B , C ;
wire X , AN , B , C ;
wire csi_opt_280 ;
`ifdef functional
`else
reg csi_notifier;
specify
(AN -=> X) = (0:0:0,0:0:0);
(B +=> X) = (0:0:0,0:0:0);
(C +=> X) = (0:0:0,0:0:0);
endspecify
`endif
not ( csi_opt_280 , AN ) ;
and ( UDP_IN_X , C , csi_opt_280 , B ) ;
U_VPWR_VGND (UDP_OUT_X, UDP_IN_X, vpwr, vgnd) ;
buf (X, UDP_OUT_X) ;
endmodule
`endcelldefine
`disable_portfaults
`nosuppress_faults